<4D F736F F F696E74202D2031A1C4BCC6A6ECAABAB0F2A5BBB7A7A9C0>

Size: px
Start display at page:

Download "<4D F736F F F696E74202D2031A1C4BCC6A6ECAABAB0F2A5BBB7A7A9C0>"

Transcription

1 邏輯設計 數位的基本概念 王宏祺講師 Department of Computer and Communication Kun San University Tainan, Taiwan, R.O.C. Feb. 23,

2 1-1 數位量與類比量 1-2 二進位數字 邏輯準位和數位波形 1-3 基本邏輯運算 1-4 基本邏輯功能 1-5 數位積體電路 1-6 可程式邏輯 : 概論 1-7 程式規劃 1-8 VHDL 概論 2

3 1-1 數位量與類比量 電子電路可概分為兩類 : 數位電路與類比電路 數位電子學用的是以離散值表示的量值 類比電子學所用的是以連續值來表示的量值 3

4 類比電子系統 用來將聲音放大, 使龐大的聽眾能聽見的擴音設備就是類比電路的應用實例 圖 1-3 為聲波 ( 在自然中為類比量 ) 的基本圖形, 聲波經由麥克風轉換為稱作聲頻信號 (audio signal) 的小類比電壓 此電壓隨著聲音頻率與音量的改變而變化, 並傳送到線性放大器的輸入端 放大器將輸入信號放大後, 由其輸出端輸出, 再傳送到喇叭 喇叭再將放大後的音頻信號轉變回聲波的形式, 此聲波的音量比原來由麥克風進入的聲波大了很多 4

5 類比電子系統 5

6 1-2 二進位數字 邏輯準位和數位波形 數位電子學所涉及的電路與系統中只有兩種可能的狀態 兩種狀態以兩個不同的電壓準位來表示 :HIGH 和 LOW 數位系統就用這兩種狀態的組合, 即代碼, 來表示數目 符號 英文字母 或其它的資料形態 這種雙態的計數系統就稱為二進位, 它只採用 1 和 0 兩個數字 一個二進位數字又稱為 1 位元 6

7 邏輯準位 用來表示 1 與 0 的電壓, 又稱為邏輯準位 (logic level) 7

8 數位波形 切合實際的脈波圖形 8

9 數位波形 9

10 數位波形傳送二進位資料 時脈波形數位系統中, 所有的波形都和基本的計時波形 ( 即時脈 (clock) 波形 ) 同步調 時脈波形具有週期性, 其波形中兩脈波之間的間隔等於一個位元的時間 10

11 資料傳送 資料 (data) 指的是傳達某類資訊的位元群 由數位波形來表示的二進位資料必須在數位系統內的電路之間, 或各個系統之間傳送, 才能達成某特定功能 11

12 資料傳送 二進位資料的兩種傳送方式 : 串列與並列 12

13 例題一 1. 試求圖 1-42, 數位波形的週期與頻率

14 Ans: 例題一

15 1-3 基本邏輯運算 組成所有邏輯運算的三種基本的邏輯運算 : NOT 運算 AND 運算 OR 運算 15

16 NOT 如圖 1-16 所示,NOT 運算會改變邏輯準位 輸入為 HIGH (1) 時, 輸出為 LOW (0) 當輸入為 LOW 時, 輸出則為 HIGH 不管是那一種狀況, 輸出準位都會不同於輸入準位 NOT 運算是由稱為反相器 (inverter) 的邏輯電路來執行的 16

17 AND 只有在所有的輸入皆為 HIGH 時,AND 運算才會產生 HIGH 的輸出 圖 1-17 以有兩個輸入端的情形為例 在兩輸入皆為 HIGH 時, 輸出才為 HIGH 只要輸入準位有任何一個為 LOW, 或全部為 LOW, 輸出便為 LOW AND 運算是由稱為 AND 閘的邏輯電路來執行的 17

18 OR 輸入有任何一個為 HIGH 時,OR 運算產生 HIGH 的輸出, 圖 1-18 以有兩個輸入端的情形為例 當任一輸入準位為 HIGH, 或兩者皆為 HIGH 時, 輸出亦為 HIGH 當兩輸入準位同時為 LOW 時, 輸出才為 LOW OR 運算是由稱為 OR 閘的邏輯電路來執行的 18

19 1-4 基本邏輯功能 組合這三種基本的邏輯元件 (AND, OR, NOT), 可以形成更複雜的邏輯電路, 這些邏輯電路能用來執行許多有用的運算, 也能用來連接成完整的數位系統 一般的邏輯功能有比較 計算 代碼轉換 編碼 解碼 資料選擇 儲存和計數 19

20 計算功能 加法加法是由稱為加法器 (adder) 的邏 輯電路來執行的 加法器將兩二進位數 ( 分別在 A B 兩 輸入端, 旁邊還有一個進位輸入端 C in ) 相加, 產生一個 總和輸出 ( ) 和一個進位輸出 ( ), C out 20

21 編碼功能 編碼功能由邏輯電路編碼器 (encoder) 來執行, 編碼器將諸如十進位數字或英文字母的資料轉換成某種代碼形式 21

22 資料選擇功能 用來選擇資料的兩種電路分別是多工器和解多工器 多工器 (multi-plexer, 或簡寫為 mux) 是依指定時序, 將數條輸入線上的數位資料切換到單一輸出線上的邏輯電路 解多工器 (demultiplexer, 或簡寫成 demux) 是依指定時序將單一輸入線上的數位資料切換到數條輸出線上的邏輯電路 22

23 資料選擇功能 23

24 儲存功能 正反器 (Flip-flop) 正反器是一次只能儲存一位元 (1 或 0) 的雙態 ( 兩種穩定狀態 ) 邏輯電路 暫存器 (register) 暫存器是由數個正反器組合而成的, 所以可以儲存整組的位元值 這類元件又稱為移位暫存器 (shift register) 24

25 儲存功能 25

26 儲存功能 26

27 計數功能 數位系統中的計數功能是非常重要的 數位計數器有 很多種, 但其基本用途就是要計數由準位或脈波變化來表 示的事件數, 或者是要產生一特定的代碼順序 27

28 例題二 1. 觀察圖 1-44 各個方塊電路的輸入和輸出信號準位 根據您的觀察結果, 說出各方塊的功能 28

29 例題二 Ans: 29

30 1-5 數位積體電路 單晶片積體電路 (IC) 是完全建構在單一矽晶片上的電子電路 組成電路的所有元件 - 電晶體 二極體 電阻 和電容 30

31 IC 包裝 常見 IC 包裝使用表面粘著技術 (surface-mount technology, SMT) SMT 包裝常見的四種類型為小型 IC (small-outline IC, SOIC) 塑封載體包裝 (plastic leaded chip carrier, PLCC) 無接腳陶瓷晶片載體 (leadless ceramic chip carrier, LCCC) 及平面式包裝 (flat pack, FP) 31

32 積體電路複雜程度的分類 積體電路根據其電路的複雜程度來分類 小型積體電路 (small-scale integration, SSI) 單一晶片上最多有 12 個等效閘電路的積體電路, 其中包含了基本閘和正反器 中型積體電路 (medium-scale integration, MSI) 單一晶片上有 12 到 99 個等效閘電路, 其中包含了編碼器 解碼器 計數器 暫存器 多工器 算術運算電路 小量記憶體 及其它邏輯功能 32

33 積體電路複雜程度的分類 大型積體電路 (large-scale integration, LSI) 單一晶片上有 100 到 9,999 個等效閘電路, 包含有記憶體 超大型積體電路 (very large-scale integration, VLSI) 單一晶片上有 10,000 到 99,999 個等效閘電路 極大型積體電路 (ultra large-scale integration, ULSI) 具有非常大的記憶體 大型微處理器 及大型的單晶片電子計算機 100,000 個以上的等效閘電路 33

34 PLD 類型 可規劃邏輯元件之三種主要類型為 : SPLD(simple programmable logic device) CPLD (complex programmable logic device) 及 FPGA(field programmable gate array, 現場可编程邏輯閘陣列 ) 每一主要類型通常會有各製造商之特定次分類, 比如 CPLD 為製造商以 Altera 為主, 而 FPGA 製造商則以 Xilinx 為主 34

35 PLD 類型 複雜可規劃邏輯元件 CPLD 具有遠較 SPLD 為高之容量, 使更複雜之邏輯可規劃於其中 典型之 CPLD 可等效於 2 個至 64 個 SPLD 35

36 PLD 規劃程式 為 PLD (programmable logic device) 設計之邏輯電路可用兩種方法之一輸入 ( 有時亦可混合使用此二法 ): 圖形輸入 (Schematic) 文字輸入 (VHDL) 36

37 使用固定功能邏輯 固定功能邏輯元件 (IC) 只需插入以固接導線連接之電路板即可使用 每一元件具有特定邏輯功能, 而各元件則互相連接以產生特定輸出 一旦元件於印刷電路板上互相連接, 此設計則無法輕易更動 要改變設計, 可能需要移除並替換某些元件, 並且 ( 或者 ) 改變導線之連接 設計更動甚至必須重新製作新電路板 雖然具有此不利之處, 在許多小規模應用上, 固定功能邏輯可能仍為較佳之選擇 37

38 使用固定功能邏輯 38

39 VHDL VHDL 為用來規劃 PLD 之一種硬體描述語言 (hardware description language, HDL) 其中 V 代表 VHSIC (Very High Speed Integrated Circuit) VHDL 為 IEEE 協會 (Institute Electrical and Electronics Engineers) 所採用之標準語言, 為數種硬體描述語言 (HDL) 之一 39

Microsoft PowerPoint - STU_EC_Ch01.ppt

Microsoft PowerPoint - STU_EC_Ch01.ppt 樹德科技大學資訊工程系 Chapter 1: Digital Concepts Shi-Huang Chen Sept. 2010 1 Chapter Outline 1.1 Digital and Analog Quantities 1.2 Binary Digits, Logic Level, and Digital Waveform 1.3 Basic Logic Operations 1.4

More information

<4D F736F F F696E74202D20BCC6A6ECA874B2CEBEC9BDD7C1BFB871B2C4A440B3B9>

<4D F736F F F696E74202D20BCC6A6ECA874B2CEBEC9BDD7C1BFB871B2C4A440B3B9> 數位系統導論 蔡宗漢 (Tsung-Han Tsai) Dept. of E.E., N.C.U. 1 教學目標 : 1 了解數位電子電路的基本原理, 例如資訊的二進位系統 布林代數 2 了解數位電子電路的基本原件, 如 : 組合電路 循序電路 加法器 比較器 等等 授課大綱 : 1 數位邏輯的原理 2 元件的認識( 如 AND/OR 閘, 加法器 ) 3 數位邏輯功能單元 4 數位邏輯的設計 2

More information

前言

前言 FPGA/CPLD FPGA/CPLD FPGA/CPLD FPGA/CPLD FPGA/CPLD 1.1 FPGA/CPLD CPLD Complex Programable Logic Device FPGA Field Programable Gate Array 1.3 CPLD/FPGA PLD PLD ASIC PLD PLD PLD FPGA PLD 7032LC 3 PLD 70 1

More information

萬用閘的應用

萬用閘的應用 篇名 萬用閘的應用 作者 吳依珊 國立澎湖海事資訊科二年級甲班吳珮琪 國立澎湖海事資訊科二年級甲班王靜婷 國立澎湖海事資訊科二年級甲班 - 1 - 壹 前言 萬用閘的應用 電腦實際上並不會瞭解我們指派給它的任務及資訊, 藉由判斷每一個積體電路開或關的狀態, 並將這些訊號轉成 0 與 1 的的數位訊號, 組合成一組數字, 並轉換成欲執行的指令 現代的電腦系統以位元 (bit,binary digit)

More information

附件1:

附件1: 2013 年 增 列 硕 士 专 业 学 位 授 权 点 申 请 表 硕 士 专 业 学 位 类 别 ( 工 程 领 域 ): 工 程 ( 集 成 电 路 工 程 ) 申 报 单 位 名 称 : 南 开 大 学 国 务 院 学 位 委 员 会 办 公 室 制 表 2013 年 12 月 18 日 填 一 申 请 增 列 硕 士 专 业 学 位 授 权 点 论 证 报 告 集 成 电 路 产 业 是

More information

Microsoft Word - FPGA設計實務_0A.doc

Microsoft Word - FPGA設計實務_0A.doc VHDL 關鍵字與中英文名詞對照表 本章內容豐富 主要包括兩部分 VHDL 關 鍵 字 中 英 文 名詞對照表 A-2 FPGA 設計實務 A-1 VHDL 關鍵字 FF PPG AA 設計實務 abs access after alias all and architecture array assert attribute begin block body buffer bus case component

More information

P.1

P.1 P.1 P.2 1. 2. IC 3. 4. IC 5. P.3 (Interconnection).. P.4 (Wafer) (Chip) (MCM) P.5 電子構裝之主要功能 電源供應層 1.有效供應電源 信號分佈層 2.提供信號傳輸 協助散熱 保護元件 3.協助排除耗熱 4.保護電子組件 5.建構人機介面 Images 3D Graphics 建構人機介面 P.6 DIP Dual In-Line

More information

組合邏輯的設計

組合邏輯的設計 R R R LED RL 第一部份 : 組合邏輯的設計 步驟 :. 決定輸出入變數, 繪製方塊圖. 列出真值表. 化簡輸出函數 4. 繪製電路圖 範例 : 設計一個三人用表決電路, 當多數人贊成的時候, 輸出 LED 亮起, 否則熄滅 解答 :. 決定輸出入變數, 繪製方塊圖. 列出真值表. 化簡輸出函數 F ( )( )( ) F 4. 繪製電路圖 Vcc U U U4 範例 : 設計一個三輸入的加總電路,

More information

Microsoft Word - CS-981.doc

Microsoft Word - CS-981.doc 4. 資料表示法 4.1 十進位與數字系統 (1). 基本觀念 數字系統的觀念 人們習慣以十進位的計量方式來計算 不同的數字系統有二進位 (Binary) 八進位 (Octal) 十進位 (Decimal) 十六進位(Hexadecimal) 二進位 電腦內部用來表達訊號的資料只有兩種符號 : 0 表示沒電,1 表示有電透過多個電路的組合表示出無數符號, 電腦便利用這些符號來表示不同的數字 利用兩條電線可以表示出

More information

untitled

untitled Tianshui Huatian Technology Co., Ltd. 14 1012 16 1 2 500 2006 7 21 1 2 500 2006 7 21 1 2 300 2006 7 21 3 12 1 2 1 16 1 2 500 2006 7 21 1 2 500 2006 7 21 1 2 300 2006 7 21 3 12 1 2 2 2006 12 31 103,333,261.89

More information

Microsoft PowerPoint - chap09

Microsoft PowerPoint - chap09 第 9 章 多工器 解碼器與可程式 規劃邏輯元件 簡介 小型積體電路 (SSI): 功能包括 NAND NOR AND 和 OR 閘 反相器及正反器 SSI 積體電路內典型的包裝通常具有 1 到 4 個閘,6, 個反相器, 或 1 或 2 個正反器 中型積體電路 (MSI): 如加法器, 多工器, 解碼器, 暫存器, 及計數器 包裝大概含有相當於 12 到 100 個閘 大型積體電路 (LSI):

More information

ebook105-1

ebook105-1 C D 1.1 0 1 0 1 2 ( 0 1 ) ( b i t s ) 0 1 1. 2. 0 1 3. ( ) 1-1 1-1 2 A B C A B C X Y 1.2 1.2.1 ( C D ) ( H D L ) H D L H D L J a v a C + + 1.2.2 C P U ( ) 1 3 1-2 C RT ( ) 1-2 ( C P U ) C P U C P U C P

More information

逢甲大學

逢甲大學 逢 甲 大 學 資 訊 工 程 學 系 專 題 研 究 報 告 Altera DE2-70 搭 配 LTM 實 作 遊 戲 - 小 蜜 蜂 指 導 教 授 : 陳 德 生 學 生 : 林 桂 廷 ( 資 訊 四 丙 ) 張 育 祥 ( 資 訊 四 丙 ) 中 華 民 國 壹 百 年 十 一 月 摘 要 本 專 題 是 利 用 Altera DE2-70 開 發 板 和 TRDB_LTM 觸 控 面

More information

積體電路設計方法

積體電路設計方法 積體電路設計方法 賴源泰 電機系 成功大學 1 積體電路時代 Transistors integrated on a single chip 10-100 in 1960 1K-20K in 1970 20K-500K in 1980 10M-20M in 1990 2 積體電路時代 Minimum line width in mass production 5μm in 1977 2μm in 1984

More information

1.招股意向书.doc

1.招股意向书.doc ( 广 州 市 高 新 技 术 产 业 开 发 区 科 学 城 海 云 路 88 号 ) 首 次 公 开 发 行 股 票 招 股 意 向 书 保 荐 人 ( 主 承 销 商 ) ( 北 京 市 西 城 区 金 融 大 街 35 号 国 际 企 业 大 厦 C 座 2~6 层 ) 发 行 概 况 发 行 股 票 类 型 : 人 民 币 普 通 股 (A 股 ) 预 计 发 行 股 数 : 每 股 面

More information

Wire Wound Ceramic Chip Inductor 繞線式陶瓷晶片大电流電感 HPWS Series for High Frequency HPWS 系列適用於高頻 INTRODUCTION 產品介紹 The HPWS is the chip inductors of a wire w

Wire Wound Ceramic Chip Inductor 繞線式陶瓷晶片大电流電感 HPWS Series for High Frequency HPWS 系列適用於高頻 INTRODUCTION 產品介紹 The HPWS is the chip inductors of a wire w INTRODUCTION 產品介紹 The HPWS is the chip inductors of a wire wound type widely used in the communication applications, such as cellular phones, television tuners, radios, and other electronic devices. The

More information

Microsoft Word 電子構裝結構分析1221.doc

Microsoft Word 電子構裝結構分析1221.doc 電 子 構 裝 結 構 分 析 徐 祥 禎 ( 義 守 大 學 機 械 與 自 動 化 工 程 學 系 副 教 授 ) 前 言 電 子 構 裝 (Electronic Packaging), 主 要 是 利 用 固 定 接 著 技 術, 將 積 體 電 路 (Integrated Circuit, IC) 晶 片 固 定 在 承 載 襯 墊 (Die Pad) 上, 並 利 用 細 微 連 接 技

More information

untitled

untitled 1-1 Quartus II ModelSim-Altera Starter 1-2 1-3 FBBCar 1-4 1-1 Quartus II ModelSim-Altera Starter 1-2 1-3 FBBCar 1-1 Quartus II ModelSim-Altera Starter 1-1-1 Quartus II Altera altera http://www.altera.com

More information

0 0 = 1 0 = 0 1 = = 1 1 = 0 0 = 1

0 0 = 1 0 = 0 1 = = 1 1 = 0 0 = 1 0 0 = 1 0 = 0 1 = 0 1 1 = 1 1 = 0 0 = 1 : = {0, 1} : 3 (,, ) = + (,, ) = + + (, ) = + (,,, ) = ( + )( + ) + ( + )( + ) + = + = = + + = + = ( + ) + = + ( + ) () = () ( + ) = + + = ( + )( + ) + = = + 0

More information

Microsoft PowerPoint - chap04.ppt

Microsoft PowerPoint - chap04.ppt 第四章 組合邏輯 4- 組合電路 4-3 設計步驟 組合電路的設計. 由電路的敘述, 決定所需的輸入與輸出的個數並且對每一個輸入與輸出安排一個變數符號 2. 導出真值表並定義輸入與輸出間的關係 3. 對每一個輸出求出以輸入變數為函數之簡化的布林函數 4. 畫出邏輯圖並且證明設計的正確性 BCD 碼到超 3 碼轉換器 2 BCD 到超 3 碼卡諾圖 BCD 到超 3 碼電路圖 3 4-4 二進位加法器

More information

1 1

1 1 1 1 2 Idea Architecture Design IC Fabrication Wafer (hundreds of dies) Sawing & Packaging Block diagram Final chips Circuit & Layout Design Testing Layout Bad chips Good chips customers 3 2 4 IC Fabless

More information

題目預覽~教師專用

題目預覽~教師專用 CH10 積體電路一 選擇題 ( 每題 0.93 分, 共 29.76 分 : 1. D 在數位邏輯中, 反或閘的符號為 (A (B (C (D 2. A 右圖符號表示何種閘 (A 集極開路輸出 (B 射極開路輸出 (C 集極閉路輸出 (D 射極閉路輸出 3. B 右圖 DIP IC 頂視圖, 第一支接腳位置在 (AA 腳 (BB 腳 (CC 腳 (DD 腳 4. B 右圖 符號為 (AAND GATE

More information

IC封装形式图片介绍

IC封装形式图片介绍 www.ecbbs.com IC IC BGA Ball Grid Array EBGA 680L TQFP 100L SC-70 5L SIP Single Package Inline SOP Small Outline Package SOJ 32L J SOJ www.ecbbs.com SOP EIAJ TYPE II 14L SOT220 SSOP 16L SSOP TO-18 TO-220

More information

<4D6963726F736F667420576F7264202D20B7A2D0D0B9C9B7DDBCB0D6A7B8B6CFD6BDF0B9BAC2F2D7CAB2FAB2A2C4BCBCAFC5E4CCD7D7CABDF0F4DFB9D8C1AABDBBD2D7D6AEB6C0C1A2B2C6CEF1B9CBCECAB1A8B8E6A3A8D0DEB6A9B8E5A3A9>

<4D6963726F736F667420576F7264202D20B7A2D0D0B9C9B7DDBCB0D6A7B8B6CFD6BDF0B9BAC2F2D7CAB2FAB2A2C4BCBCAFC5E4CCD7D7CABDF0F4DFB9D8C1AABDBBD2D7D6AEB6C0C1A2B2C6CEF1B9CBCECAB1A8B8E6A3A8D0DEB6A9B8E5A3A9> 国 信 证 券 股 份 有 限 公 司 关 于 杭 州 远 方 光 电 信 息 股 份 有 限 公 司 发 行 股 份 及 支 付 现 金 购 买 资 产 并 募 集 配 套 资 金 暨 关 联 交 易 之 独 立 财 务 顾 问 报 告 ( 修 订 稿 ) 独 立 财 务 顾 问 签 署 日 期 : 二 O 一 六 年 二 月 独 立 财 务 顾 问 声 明 与 承 诺 国 信 证 券 股 份 有

More information

12 Differential Low-Power 6x6 12 bit multiply 1

12 Differential Low-Power 6x6 12 bit multiply 1 12 Differential Low-Power 6x6 12 bit multiply 1 2 07 1.1 07 1.2 07 1.2.1 (Sequential Structure Multiplier )07 1.2.2 (Array Structure Multiplier) 09 1.2.3 (Parallel Multiplier) 10 1.2.3.1 10 1.2.3.2 10

More information

untitled

untitled 01 1-1 Altera Installer 1-2 1-3 FBBCar 1-4 FPGA 1. 2. 3. 4. FBBCar Altera FPGA FBBCar Quartus II ModelSim-Altera 1-1 1-1 FBBCar 1 220 2 10k 2 1k 2 2k 2 470k 2 1 950nm 2 2 38kHz 2 2 3PIN 2 2 1 1 2 01 Altera

More information

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如 FPGA 工 程 师 面 试 试 题 一 1 同 步 电 路 和 异 步 电 路 的 区 别 是 什 么?( 仕 兰 微 电 子 ) 2 什 么 是 同 步 逻 辑 和 异 步 逻 辑?( 汉 王 笔 试 ) 同 步 逻 辑 是 时 钟 之 间 有 固 定 的 因 果 关 系 异 步 逻 辑 是 各 时 钟 之 间 没 有 固 定 的 因 果 关 系 3 什 么 是 " 线 与 " 逻 辑, 要 实

More information

untitled

untitled ( ) 2005 2 27 1 70 :SSI(Small Scale Integration), 1 10,MSI (Medium Scale Integration),,, 80 LSI(Large Scale Integration),, 16,Motoral M68000(7 ),Intel 80286 (12.5 ),80386 (27.5 ) 90 : VLSI(Very Large Scale

More information

Microsoft Word - 1-1 ÕйÉ˵Ã÷Êé.doc

Microsoft Word - 1-1 ÕйÉ˵Ã÷Êé.doc 深 圳 雷 柏 科 技 股 份 有 限 公 司 Shenzhen Rapoo Technology Co.,Ltd. ( 深 圳 市 宝 安 区 福 永 街 道 凤 凰 第 三 工 业 区 第 一 工 业 园 A1 栋 B1 幢 1 号 楼 B1 幢 2 号 楼 二 期 第 一 幢 ) 首 次 公 开 发 行 股 票 ( 申 报 稿 ) 保 荐 人 ( 主 承 销 商 ) ( 深 圳 市 福 田 区

More information

第七組顯示卡規範書

第七組顯示卡規範書 第七組顯示卡規範書 1. Nvidia 繪圖晶片 1-1. 1-1-1. 繪圖晶片 : GeForce 8400GS 系列 ( 含 ) 以上 ( 請註明採用商品之廠牌及型號, 並於型錄標示 對應到主要規格之項次 ) GeForce 1-1-2. 繪圖介面 : 支援 PCI Express 2.0( 含 ) 以上高速繪圖晶片 8400GS 低 1-1-3. 提供 512MB DDR2 SDRAM( 含

More information

交流活动

交流活动 信 息 科 学 技 术 学 院 简 报 School of Electronics Engineering and Computer Science 二 零 一 六 年 第 二 期 ( 总 第 102 期 ) 北 京 大 学 信 息 科 学 技 术 学 院 二 零 一 六 年 三 月 三 十 一 日 党 政 : 3 月 7 日, 北 京 大 学 校 长 助 理 教 育 基 金 会 秘 书 长 邓 娅

More information

IC DRAM (patent portfolio) 2 (enforceable) (valuable) (Texas Instruments, TI) 1986-1998 (formal notice of infringement) Melvin Sharp 3 (Chief Patent C

IC DRAM (patent portfolio) 2 (enforceable) (valuable) (Texas Instruments, TI) 1986-1998 (formal notice of infringement) Melvin Sharp 3 (Chief Patent C 1984 (Court of Appeals for the Federal Circuit CAFC) CAFC 1 CAFC 1984 CAFC CAFC CAFC (claim) 180 1 CAFC (U.S. Supreme Court) CAFC 1 IC DRAM (patent portfolio) 2 (enforceable) (valuable) (Texas Instruments,

More information

LCD模組之應用

LCD模組之應用 液晶顯示幕 LCD 模組之應用 第十三章 2018/11/30 例說 89S51-C 語言 13-1 本章內容 2018/11/30 例說 89S51-C 語言 13-2 1 液晶顯示幕 LCD 之日常應用 3 https://s.yimg.com/hg/pimg2/ae/60/p099374833664-item-2330xf2x0600x0600-m.jpg 液晶顯示幕 LCD 之日常應用 4

More information

實驗八 數位邏輯 實驗大綱 : 一 邏輯訓練器的介紹簡介 二 LED 的電路操作 三 了解基本邏輯閘的應用邏輯閘的應用 (AND,OR,NOT,NAND,NOR,) 四 邏輯閘的組合應用 ( 數個連接起來做應用, 卡諾圖訓練 ) 實驗原理 : 一 邏輯訓練器的介紹 OFF ON

實驗八 數位邏輯 實驗大綱 : 一 邏輯訓練器的介紹簡介 二 LED 的電路操作 三 了解基本邏輯閘的應用邏輯閘的應用 (AND,OR,NOT,NAND,NOR,) 四 邏輯閘的組合應用 ( 數個連接起來做應用, 卡諾圖訓練 ) 實驗原理 : 一 邏輯訓練器的介紹 OFF ON 實驗八 數位邏輯 實驗大綱 : 一 邏輯訓練器的介紹簡介 二 LED 的電路操作 三 了解基本邏輯閘的應用邏輯閘的應用 (ND,OR,NOT,NND,NOR,) 四 邏輯閘的組合應用 ( 數個連接起來做應用, 卡諾圖訓練 ) 實驗原理 : 一 邏輯訓練器的介紹 OFF ON 7 6 5 4 3 2 POWER U-3 STRIGHT HEDER HI :RED LO:NO DISPLY +V -V

More information

篇名

篇名 篇名 利用 PLD 實現 3 對 8 解碼器 作者 : 蔡家翔 國立澎湖海事職校 資訊科三年甲班黃智軒 國立澎湖海事職校 資訊科三年甲班楊芊慧 國立澎湖海事職校 資訊科三年甲班 壹 前言 : 先前數位邏輯系統的設計法是依照所需的規格定義出輸入輸出 列出真值表 推導布林等式, 使用 K-map 或邏輯代數公式求出簡化後的邏輯方程式, 之後選用標準邏輯功能 IC(TTL CMOS 等 ) 印刷電路板 (PCB)

More information

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010)

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010) ,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN 7-5635-1099-0...............TP36 CIP (2005)076733 : ( 10 ) :100876 : (010 )62282185 : (010)62283578 : publish@bupt.edu.cn : : : 787 mm960 mm 1/

More information

混訊設計流程_04.PDF

混訊設計流程_04.PDF CIC Referenced Flow for Mixed-signal IC Design Version 1.0 (Date) (Description) (Version) V. 1.0 2010/11/ Abstract CIC IC (Mixed-signal Design Flow) IC (Front End) (Back End) Function Timing Power DRC

More information

<4D F736F F D20B8C9A552B8EAAEC62D54544CB0F2A5BBB968>

<4D F736F F D20B8C9A552B8EAAEC62D54544CB0F2A5BBB968> 關於布林代數與邏輯閘 補充資料 : 關於布林代數與邏輯閘 數字系統 : 十進位 (Decimal) 二進位 (Binary) 八進位 (Octal) 十六進位 (Hexadecimal) 十進位 (Decimal) 二進位 (Binary) 二進位轉換成十進位的方法 0 0 0 0 1 1 0 1 10 1 0 1 + 0 1 0 3 11 1 + 1 1 0 4 100 1 + 0 + 0 1 0

More information

<4D6963726F736F667420576F7264202D20D5D0B9C9CBB5C3F7CAE9A3A8C9EAB1A8B8E5A3A92E646F63>

<4D6963726F736F667420576F7264202D20D5D0B9C9CBB5C3F7CAE9A3A8C9EAB1A8B8E5A3A92E646F63> 本 次 发 行 概 况 发 行 股 票 类 型 : 人 民 币 普 通 股 (A 股 ) 发 行 股 数 : 1,120 万 股 每 股 面 值 : 1.00 元 每 股 发 行 价 格 : [ ] 元 预 计 发 行 日 期 : [ ] 年 [ ] 月 [ ] 日 拟 上 市 的 证 券 交 易 所 : 发 行 后 总 股 本 : 深 圳 证 券 交 易 所 4,460 万 股 本 公 司 控 股

More information

決議、附帶決議及注意事項

決議、附帶決議及注意事項 一 通 案 決 議 部 分 : ( 一 ) 104 年 度 中 央 政 府 總 預 算 釋 股 收 入 380 億 元 不 予 保 留 105 非 本 局 職 掌 業 務 年 度 中 央 政 府 總 預 算 釋 股 收 入 288 億 元 如 下 表, 倘 財 政 狀 況 良 好, 原 則 不 予 出 售 ; 釋 股 對 象 以 政 府 四 大 基 金 為 限, 釋 股 費 用 併 同 調 整 預

More information

untitled

untitled 1 08 00 11 30 2 08 00 11 30 14 30 17 30 3 4 5 6 100 10 7 12 83339749 8 9 20 10 87766668 31310 87667731 7 5 15 6 15 8 00 11 30 2 30 5 30 12 83337716 11 12 13 14 15 16 17 18 2002 1 1 2 3 4 1 2 3 4 19 08

More information

YYW1.nps

YYW1.nps 第三章 事务文书 事务文书是党政机关 社会团体 企事业单位办理日常事务时广泛使用的一类文书 包括计划 总结 调查报告 工作研究 规章制度 公示等 事务文书的主要特点是行文主体灵活 行文格式无 法定要求 但相对固定 事务文书的种类很多 本章着重介绍计划 总结 调查报告 工作研究和 公示 第一节 计 划 一 例文阅示 例文一 教育部 2008 年工作要点 2008 年教育工作的总体要求是 认真学习贯彻党的十七大精神

More information

表 决, 审 议 程 序 符 合 有 关 法 律 法 规 和 本 公 司 章 程 的 规 定 3 本 议 案 尚 需 提 交 股 东 大 会 审 议, 与 该 等 交 易 有 利 害 关 系 的 关 联 股 东 将 放 弃 在 股 东 大 会 上 对 相 关 议 案 的 投 票 权 ( 二 ) 公

表 决, 审 议 程 序 符 合 有 关 法 律 法 规 和 本 公 司 章 程 的 规 定 3 本 议 案 尚 需 提 交 股 东 大 会 审 议, 与 该 等 交 易 有 利 害 关 系 的 关 联 股 东 将 放 弃 在 股 东 大 会 上 对 相 关 议 案 的 投 票 权 ( 二 ) 公 证 券 代 码 :600850 证 券 简 称 : 华 东 电 脑 编 号 : 临 2016-014 上 海 华 东 电 脑 股 份 有 限 公 司 关 于 预 计 2016 年 日 常 关 联 交 易 的 公 告 本 公 司 董 事 会 及 全 体 董 事 保 证 本 公 告 内 容 不 存 在 任 何 虚 假 记 载 误 导 性 陈 述 或 者 重 大 遗 漏, 并 对 其 内 容 的 真 实

More information

<4D6963726F736F667420576F7264202D20B9F0D5FEB0ECB7A2A3A832303136A3A93532BAC52E646F63>

<4D6963726F736F667420576F7264202D20B9F0D5FEB0ECB7A2A3A832303136A3A93532BAC52E646F63> 广 西 壮 族 自 治 区 人 民 政 府 办 公 厅 文 件 桂 政 办 发 2016 52 号 广 西 壮 族 自 治 区 人 民 政 府 办 公 厅 关 于 印 发 广 西 医 疗 卫 生 服 务 体 系 规 划 (2016 2020 年 ) 的 通 知 各 市 县 人 民 政 府, 自 治 区 人 民 政 府 各 组 成 部 门 各 直 属 机 构 : 广 西 医 疗 卫 生 服 务 体 系

More information

103_02.xls

103_02.xls 103 學 年 度 大 學 考 試 入 學 分 發 各 系 組 最 低 錄 取 分 數 及 錄 取 人 數 一 覽 表 0001 國 立 臺 灣 大 學 中 國 文 學 系 國 文 x1.50 英 文 x1.25 數 學 乙 x1.00 歷 史 x1.25 地 理 x1.00 32 493.40 **** ----- ----- ----- 0002 國 立 臺 灣 大 學 外 國 語 文 學 系

More information

<313032A655A874B2D5B3CCA743BFFDA8FABCD0B7C7AAED2E786C73>

<313032A655A874B2D5B3CCA743BFFDA8FABCD0B7C7AAED2E786C73> 102 學 年 度 大 學 考 試 入 學 分 發 各 系 組 最 低 錄 取 分 數 及 錄 取 人 數 一 覽 表 校 系 0001 國 立 臺 灣 大 學 中 國 文 學 系 國 文 x1.50 英 文 x1.25 數 學 乙 x1.00 歷 史 x1.25 地 理 x1.00 30 491.85 **** 614.02 ----- ----- 0002 國 立 臺 灣 大 學 外 國 語 文

More information

柳州历史上的今天内文改版式.FIT)

柳州历史上的今天内文改版式.FIT) 1 月 1 日 1 月 1 月 1 日 1929 年 1 月 1 日 广 西 省 第 一 次 建 设 会 议 在 柳 召 开 新 年 伊 始, 新 桂 系 执 政 后 召 开 第 一 次 全 省 建 设 会 议, 开 幕 式 在 柳 州 羊 角 山 广 西 实 业 院 内 举 行, 会 期 10 天 省 政 府 各 部 门 负 责 人 名 流 专 家 学 者 等 93 人 参 加 会 议 国 内 著

More information

生 產 準 備 您 接 近 生 產 之 注 意 事 項 : 備 妥 住 院 用 物, 勿 遠 行 ( 生 產 用 物 包 ) 最 好 有 人 在 家 陪 伴, 或 和 陪 產 者 保 持 連 繫, 有 任 何 狀 況 可 立 即 趕 到 可 做 家 事 散 步 蹲 下 等 運 動, 以 不 太 累

生 產 準 備 您 接 近 生 產 之 注 意 事 項 : 備 妥 住 院 用 物, 勿 遠 行 ( 生 產 用 物 包 ) 最 好 有 人 在 家 陪 伴, 或 和 陪 產 者 保 持 連 繫, 有 任 何 狀 況 可 立 即 趕 到 可 做 家 事 散 步 蹲 下 等 運 動, 以 不 太 累 主題 主題 (1)準媽咪之待產準備及產後保養 (1)準媽咪之待產準備及產後保養 (2)產後如何確保奶水充足 (2)產後如何確保奶水充足 產後病房護理師: 產後病房護理師:黃皖寧 生 產 準 備 您 接 近 生 產 之 注 意 事 項 : 備 妥 住 院 用 物, 勿 遠 行 ( 生 產 用 物 包 ) 最 好 有 人 在 家 陪 伴, 或 和 陪 產 者 保 持 連 繫, 有 任 何 狀 況 可 立

More information

省十二届人大常委会

省十二届人大常委会 省 十 二 届 人 大 常 委 会 第 二 十 六 次 会 议 文 件 (4) 关 于 中 国 ( 广 东 ) 自 由 贸 易 试 验 区 条 例 ( 试 行 草 案 ) 审 议 结 果 的 报 告 2016 年 5 月 24 日 在 广 东 省 第 十 二 届 人 民 代 表 大 会 常 务 委 员 会 第 二 十 六 次 会 议 上 广 东 省 人 大 法 制 委 员 会 副 主 任 委 员 刘

More information

Q8. 公 營 事 業 機 構 之 公 務 員 兼 具 勞 工 身 分 者, 於 97 年 3 月 19 日 以 前, 原 選 擇 參 加 勞 保, 調 任 其 他 公 營 事 業 機 構 時, 應 改 參 加 公 保 所 謂 調 任 其 他 公 營 事 業 機 構 之 判 別 依 據 ( 或 標

Q8. 公 營 事 業 機 構 之 公 務 員 兼 具 勞 工 身 分 者, 於 97 年 3 月 19 日 以 前, 原 選 擇 參 加 勞 保, 調 任 其 他 公 營 事 業 機 構 時, 應 改 參 加 公 保 所 謂 調 任 其 他 公 營 事 業 機 構 之 判 別 依 據 ( 或 標 承 保 業 務 常 見 問 題 加 保 Q1. 公 教 人 員 可 否 依 個 人 意 願 選 擇 參 加 公 保? 否 公 保 係 政 府 為 保 障 公 教 人 員 生 活 而 辦 理 之 社 會 保 險, 屬 強 制 性 保 險, 凡 法 定 機 關 或 公 私 立 學 校 編 制 內 之 有 給 專 任 人 員 應 一 律 參 加 保 險 為 被 保 險 人 Q2. 被 保 險 人 同 時

More information

学生工作部处2010年工作总结

学生工作部处2010年工作总结 夯 实 基 础, 凝 聚 特 色, 打 造 德 学 理 工 学 生 工 作 部 ( 处 ) 武 装 部 2010 年 工 作 总 结 2010 年 是 实 施 十 一 五 规 划 的 收 官 之 年, 是 我 校 建 校 70 周 年 的 庆 祝 之 年, 是 我 校 圆 满 完 成 工 信 部 组 织 的 高 校 党 建 创 优 工 程 评 估 和 北 京 普 通 高 等 学 校 党 建 和 思

More information

天人炁功行入與感應經驗分享

天人炁功行入與感應經驗分享 天 人 炁 功 行 入 與 感 應 經 驗 分 享 天 人 炁 功 行 入 與 感 應 經 驗 分 享 天 人 炁 功 指 導 院 黃 淑 惠 ( 凝 本 ) 劉 建 功 ( 顯 翼 ) 林 瑛 佩 ( 素 擎 ) 黃 淑 惠 : 道 名 凝 本, 隸 屬 天 極 行 宮 劉 建 功 : 道 名 顯 翼, 隸 屬 新 竹 市 初 院 林 瑛 佩 : 道 名 素 擎, 隸 屬 新 竹 市 初 院 497

More information

穨邱秀玲綜合展望報告.PDF

穨邱秀玲綜合展望報告.PDF 91-1 1 86 91 86 91 91 8,214 1 86 91 \ 86 87 88 89 90 91 812 842 901 1,082 1,281 1,576 4,071 4,196 4,465 4,646 5,068 5,276 1,309 1,410 1,533 1,585 1,744 1,796 997 961 1,160 1,339 1,529 1,739 4,613 4,928

More information

<4D F736F F D20312D3120D5D0B9C9CBB5C3F7CAE95FC9EAB1A8B8E55F2E646F63>

<4D F736F F D20312D3120D5D0B9C9CBB5C3F7CAE95FC9EAB1A8B8E55F2E646F63> 深 圳 市 崇 达 电 路 技 术 股 份 有 限 公 司 SHENZHEN SUNTAK CIRCUIT TECHNOLOGY CO.,LTD. ( 深 圳 市 宝 安 区 沙 井 街 道 新 桥 横 岗 下 工 业 区 新 玉 路 3 栋 三 楼 ) 首 次 公 开 发 行 股 票 招 股 说 明 书 ( 申 报 稿 ) 保 荐 人 ( 主 承 销 商 ) ( 重 庆 市 江 北 区 桥 北 苑

More information

Microsoft PowerPoint - 3C¬ì§Þ»P¥Í¬¡-chapter2

Microsoft PowerPoint - 3C¬ì§Þ»P¥Í¬¡-chapter2 Chapter II 軟硬之間 電腦的程式與硬體 1 資料的二進位表示法 資訊在電腦外部及內部表示法上的不同 2 資料的二進位表示法 二進位與十進位轉換表 3 資料的二進位表示法 1035 0000010000001011-1035 直接符號 1000010000001011 1 s 補數 1111101111110100 2 s 補數 1111101111110101 負整數表示法 4 資料的二進位表示法

More information

<4D F736F F D D332DA57CA7DEA447B14D2DB971BEF7B971A46CB873B8EAB971C3FEB14DA447B8D5C344>

<4D F736F F D D332DA57CA7DEA447B14D2DB971BEF7B971A46CB873B8EAB971C3FEB14DA447B8D5C344> 第一部份 : 數位邏輯. 有關 74 系列 TTL 數位 IC 之敘述, 下列何者錯誤? (A) 工作電壓範圍為 4.75 V~5.25 V (B) 開集極 (open-collector) 輸出結構, 可接為線接及閘 (Wired-AND Gate) 用 (C) 輸入接腳空接時, 視為高態輸入 (D) 輸出級的電晶體工作在主動區, 所以交換速度最快 2. 採用 2 的補數編碼的 8 位元數做減法運算,

More information

Microsoft Word - 741小論文0.doc

Microsoft Word - 741小論文0.doc 篇名 作者 李靖群 高雄縣中山工商 綜合高中 二年六班 壹 前言 物理量的感測在一般應用中, 經常使用各類感測器將位移 角度 壓力 與流量等物理量轉換為電流或電壓訊號, 之後再藉由量測此電壓電流訊號間接推算出物理量變化, 藉以達成感測 控制的目的 但有時感測器所輸出的電壓電流訊號可能非常微小, 以致訊號處理時難以察覺其間的變化, 故需要以放大器進行訊號放大以順利測得電流電壓訊號, 而放大器所能達成的工作不僅是放大訊號而已,

More information

强 度 调 制 器 相 位 延 迟 器 表 面 声 光 偏 转 器 磁 光 隔 离 器 偏 振 控 制 器 等 器 件 的 原 理 及 应 用 讲 述 介 质 波 导 波 导 色 散 光 纤 模 式 等 概 念 结 合 基 础 介 绍 学 科 前 沿 知 识 30130333 精 密 仪 器 设 计

强 度 调 制 器 相 位 延 迟 器 表 面 声 光 偏 转 器 磁 光 隔 离 器 偏 振 控 制 器 等 器 件 的 原 理 及 应 用 讲 述 介 质 波 导 波 导 色 散 光 纤 模 式 等 概 念 结 合 基 础 介 绍 学 科 前 沿 知 识 30130333 精 密 仪 器 设 计 精 密 仪 器 系 00130022 光 盘 存 储 及 应 用 技 术 2 学 分 32 学 时 CD ROM and Its Applications 本 课 程 主 要 讨 论 光 学 数 字 数 据 存 储 技 术 基 本 原 理, 光 盘 读 写 擦 系 统 的 种 类 特 点, 工 作 机 理, 信 号 读 出 时 钟 恢 复 均 衡 信 号 评 价, 光 盘 数 据 格 式 与 数 据

More information

ICCAD 2019 CAD Contest Problem D: Logic Synthesis using Programmable Logic Gates Contents Taiwan Semiconductor Research Institute (TSRI), NARL 0. Anno

ICCAD 2019 CAD Contest Problem D: Logic Synthesis using Programmable Logic Gates Contents Taiwan Semiconductor Research Institute (TSRI), NARL 0. Anno ICCAD 2019 CAD Contest Problem D: Logic Synthesis using Programmable Logic Gates Contents Taiwan Semiconductor Research Institute (TSRI), NARL 0. Announcement...P2 I. Introduction.....P3 II. Problem Statement..P3

More information

立项报告内容提要

立项报告内容提要 深 圳 市 联 诚 发 科 技 股 份 有 限 公 司 主 办 券 商 二 〇 一 六 年 三 月 1 声 明 公 司 及 全 体 董 事 监 事 高 级 管 理 人 员 承 诺 不 存 在 虚 假 记 载 误 导 性 陈 述 或 重 大 遗 漏, 并 对 其 真 实 性 准 确 性 完 整 性 承 担 个 别 和 连 带 的 法 律 责 任 公 司 负 责 人 和 主 管 会 计 工 作 的 负

More information

Microsoft PowerPoint - chap18.ppt

Microsoft PowerPoint - chap18.ppt 第 1 8 章 算術運算電路 具有累積器之串列加法器 Chap 18 2 具有累積器之串列加法器 X 暫存器被當做累積器, 而 Y 暫存器被視為被加數暫存器, 加法動作完成之後,X, 暫存器的內容值就被 X 及 Y 的和所取代 被加數暫存器被接成為循環移位暫存器的型式, 因此移位四次後, 它將還原成原來的數值 移位暫存器盒的最左邊標示著輸入符號 : Sh(shift register),si(serial

More information

第 二 章 古 代 慢 慢 睁 开 眼 睛, 我 的 面 前 出 现 一 个 女 孩 子, 大 约 十 六 七 岁, 身 穿 淡 绿 色 布 裙, 头 上 两 个 小 圆 髻 特 别 娇 俏 可 爱 医 院 什 么 时 候 出 现 这 么 一 个 可 爱 的 古 装 护 士 啊! 这 医 院 真 有

第 二 章 古 代 慢 慢 睁 开 眼 睛, 我 的 面 前 出 现 一 个 女 孩 子, 大 约 十 六 七 岁, 身 穿 淡 绿 色 布 裙, 头 上 两 个 小 圆 髻 特 别 娇 俏 可 爱 医 院 什 么 时 候 出 现 这 么 一 个 可 爱 的 古 装 护 士 啊! 这 医 院 真 有 迷 糊 妻 主 : 夫 君 太 妖 孽 / 作 者 : 小 骨 头 第 一 章 穿 越 今 天 又 是 解 剖 课, 作 为 一 名 医 学 生, 对 此 我 表 示 万 分 头 痛! 怪 只 怪 当 初 高 考 差 了 几 分, 远 离 最 爱 的 文 学 专 业 而 去 学 医! 想 当 初 鲁 迅 先 生 弃 医 从 文, 我 这 是 与 伟 大 的 学 者 思 想 家 背 道 而 驰 啊!

More information

<4D F736F F D20BCC6A6ECC5DEBFE8B35DAD70BD64A8D2B1D0A5BB2E646F63>

<4D F736F F D20BCC6A6ECC5DEBFE8B35DAD70BD64A8D2B1D0A5BB2E646F63> 逢甲大學 自動控制工程學系專題製作 專題論文 數位邏輯設計範例教本 The Model Book Of Digital Logic 指導教授 : 林南州 學生 : 顏忠逸 任佑軒 中華民國九十六年六月六日 誌謝 感謝專題指導老師林南州老師在平時撥空與我們討論專題內容以及給予問題解答的方向, 也謝謝兩位評審老師洪三山老師及張興政老師在第一次發表專題時所提出的修正要點, 使得我們的專題內容能夠更完整,

More information

Microsoft PowerPoint - chap07.ppt

Microsoft PowerPoint - chap07.ppt 第 7 章 多階閘電路 /NAND 和 NOR 閘 多階閘電路 階數 (level): 在一個電路的輸入和輸出之間所能串接的最大閘數稱為閘的階數 AND-OR 電路 OR-AND 電路 OR-AND AND-OR 電路 AND 和 OR 閘電路 Chap 7 2 多階閘電路 ( 續 1) 對於一個 AND-OR 電路, 我們可以分解分解其所導出的積項和表示式來增加它的階數 對於一個 OR-AND 電路,

More information

逢甲大學

逢甲大學 Behavior Model DES PCI DES PCI DES DES(Data Encryption Standard) IBM DES DES DES DES DES DES / DES DES P. - (Round) / - k,k,,k k,k,,k P. - (Initial Permutation) L R R k f L (XOR) R R L Ri = Li- XOR f(ri-,ki)

More information

目 录

目    录 ... 1... 2... 6...6 1... 6 2... 15 3... 24 4... 42 5... 44 6... 56 7... 60 8... 74... 80 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 Database System Design and Development 1. 2. 3. 4. 5. 6. E-R DBMS

More information

Microsoft PowerPoint - chap12.ppt

Microsoft PowerPoint - chap12.ppt 步並列資料轉移 CLK 位址與命令 資料 匯流排週期 (a) 單一時脈週期 匯流排週期 CLK 位址與命令 資料 匯流排週期 匯流排週期 (b) 多時脈週期 林銘波編著 --- 全華科技圖書公司 2. 閃脈控制方式 --- 來源裝置啟動 來源裝置 閃脈控制線 標的裝置 (a) 方塊圖 成立 閃脈控制線 (b) 時序圖 標的裝置將資料閘入資料暫存器中 林銘波編著 --- 全華科技圖書公司 2.2 閃脈控制方式

More information

Microsoft Word - 00封面

Microsoft Word - 00封面 核 准 文 號 : 教 育 部 104 年 3 月 27 日 臺 教 國 署 高 字 第 1040034407 號 函 核 定 國 立 嘉 義 高 級 工 業 職 業 學 校 群 科 課 程 綱 要 總 體 課 程 計 畫 書 (104 學 年 度 入 學 學 生 適 用 ) 中 華 民 國 103 年 3 月 27 日 國 立 嘉 義 高 級 工 業 職 業 學 校 群 科 課 程 綱 要 總

More information

NANO COMMUNICATION 23 No. 2-3D IC 29 6T SRAM, ReRAM, sense amplifiers, analog amplifiers and gas sensors was integrated to confirm the superiority in

NANO COMMUNICATION 23 No. 2-3D IC 29 6T SRAM, ReRAM, sense amplifiers, analog amplifiers and gas sensors was integrated to confirm the superiority in 5 28-3D IC Low-Cost and TSV-free Monolithic 3D-IC with Heterogeneous Integration of Logic, Memory and Sensor Analogy Circuitry for Internet of Things 綉 3D IC (MOSFET) 40 50% 3D IC 3D IC IO(ultra-wide-IO)

More information

untitled

untitled XILINX Platform Cbale USB www.hseda.com ...... Platform Cable USB Compatible.................. impact.........1 platform Cable USB Compatible.........1...1...1...1...1...1 Platform Cable USB Compatible

More information

Microsoft Word _醫療用恆溫保溫袋.doc

Microsoft Word _醫療用恆溫保溫袋.doc 以加 / 減法器實現之 2 的補數乘法器 Implementation of a 2 s Complement Multiplier Using Adding/subtracting Circuits 王晟瑋 (Cheng-Wei Wang) 國立虎尾科技大學光電與材料科技研究所 milk_tea_boy@hotmail.com 劉偉行 *(WeihSing Liu) 國立虎尾科技大學電子工程系 whliu@sunws.nfu.edu.tw

More information

時脈樹設計原則

時脈樹設計原則 時 脈 樹 設 計 原 則 在 高 效 能 應 用 中, 例 如 通 訊 無 線 基 礎 設 施 伺 服 器 廣 播 視 訊 以 及 測 試 和 測 量 裝 置, 當 系 統 整 合 更 多 功 能 並 需 要 提 高 效 能 水 準 時, 硬 體 設 計 就 變 得 日 益 複 雜, 這 種 趨 勢 進 一 步 影 響 到 為 系 統 提 供 參 考 時 序 的 電 路 板 設 計 階 段 (board-level)

More information

Microsoft Word - PLC與GP接線說明_缺WDH_2.doc

Microsoft Word - PLC與GP接線說明_缺WDH_2.doc PC 與 HMI 下載線路圖 HMI PC 1 RS422 R+ 2 RS232 RX 3 RS232 TX 2 RS232 RX 3 RS232 TX 4RS485 D+/RS422 T+ 6 RS422 R- 7 RS232 RTS 8 RS232 CTS 7 RS232 RTS 8 RS232CTS 9RS485 D-/RS422 T- SH( 外殼 ) DB9( 母 ) 接頭 SH( 外殼

More information

2003 1

2003 1 2003 2003 DATANG TELECOM TECHNOLOGY CO., LTD 2004 4 16 2003 1 2003 3 4 6 9 12 14 16 31 32 35 62 2 2003 DATANG TELECOM TECHNOLOGY CO., LTD DATANG TELECOM 40 0086-10-62303607 0086-10-62303607 dtt@datang.com

More information

圖形編輯設計簡介 建立電路符號檔與轉換成VHDL程式碼 2

圖形編輯設計簡介 建立電路符號檔與轉換成VHDL程式碼 2 圖形編輯設計法 圖形編輯設計簡介 建立電路符號檔與轉換成VHDL程式碼 2 建立電路符號檔 1.執行 File Create/ Update Create Symbol Files for Current File 時 可將目前的半加法器建立一個電路符號 Halfadd.bsf 3 建立電路符號檔 2.利用 File Open 開啟Halfadd.bsf檔案時 便可查看所建 立的電路符號 Halfadd

More information

<4D F736F F D20C4CFBEA9B0A3CBB9B6D9D7D4B6AFBBAFB9C9B7DDD3D0CFDEB9ABCBBECAD7B4CEB9ABBFAAB7A2D0D0B9C9C6B1D5D0B9C9CBB5C3F7CAE9A3A8C9EAB1A8B8E C4EA34D4C23239C8D5B1A8CBCDA3A9>

<4D F736F F D20C4CFBEA9B0A3CBB9B6D9D7D4B6AFBBAFB9C9B7DDD3D0CFDEB9ABCBBECAD7B4CEB9ABBFAAB7A2D0D0B9C9C6B1D5D0B9C9CBB5C3F7CAE9A3A8C9EAB1A8B8E C4EA34D4C23239C8D5B1A8CBCDA3A9> 南 京 埃 斯 顿 自 动 化 股 份 有 限 公 司 NANJING ESTUN AUTOMATION CO., LTD ( 南 京 江 宁 经 济 技 术 开 发 区 将 军 南 路 155 号 ) 首 次 公 开 发 行 股 票 招 股 说 明 书 ( 申 报 稿 ) 保 荐 人 暨 主 承 销 商 ( 深 圳 市 福 田 区 民 田 路 178 号 华 融 大 厦 5 6 楼 ) 声 明 :

More information

祲 肐 끤 㽺 멎 ᡒ 艹 ᰠ 㝵 㽑 쭺 흟 祝 慎 獑 晛 ൎ 鎊 ൎ Ȱ 쭗 ꢚ 問 箘 全 鍨 し 멎 ή љ ൎ 劗 煜 Ȱ ᴠ شمم ᱎ 뭹 _ ƀ 뙛 煜 홎 㙲 뉎 콫 앟 ୷ 葶 㽼 奵 葶 힋 卟 १ 㜀 腜 Ȱ ή 蹎 㠀 㤀 アハ ート 瑞 葶 شمم ᱎ 摫 뭹 _ ᰠ

祲 肐 끤 㽺 멎 ᡒ 艹 ᰠ 㝵 㽑 쭺 흟 祝 慎 獑 晛 ൎ 鎊 ൎ Ȱ 쭗 ꢚ 問 箘 全 鍨 し 멎 ή љ ൎ 劗 煜 Ȱ ᴠ شمم ᱎ 뭹 _ ƀ 뙛 煜 홎 㙲 뉎 콫 앟 ୷ 葶 㽼 奵 葶 힋 卟 १ 㜀 腜 Ȱ ή 蹎 㠀 㤀 アハ ート 瑞 葶 شمم ᱎ 摫 뭹 _ ᰠ ꡒڃتمحⵎ 㤀 멎ή 앥ᒐ 䕥 譎 㭵 뜀 ᅢ 葶 ذ 抗 拏 抗 ᰠ 㙱 ᴠ 問 敧 뙛 羕 葶 ⱻ 浑 Ŝ 䨀 愀 渀 甀 愀 爀 礀 㘀 ژ ᵠ뜀 祲 肐 끤 㽺 멎 ᡒ 艹 ᰠ 㝵 㽑 쭺 흟 祝 慎 獑 晛 ൎ 鎊 ൎ Ȱ 쭗 ꢚ 問 箘 全 鍨 し 멎 ή љ ൎ 劗 煜 Ȱ ᴠ شمم ᱎ 뭹 _ ƀ 뙛 煜 홎 㙲 뉎 콫 앟 ୷ 葶 㽼 奵 葶 힋 卟 १ 㜀 腜 Ȱ ή 蹎 㠀

More information

北 京 大 学

北 京 大 学 北 京 大 学 硕 士 研 究 生 培 养 方 案 ( 信 息 工 程 学 院 报 表 修 订 版 本 ) 一 级 学 科 名 称 专 业 名 称 电 子 科 学 与 技 术 微 电 子 学 与 固 体 电 子 学 专 业 代 码 080903 北 京 大 学 研 究 生 院 制 表 填 表 日 期 :2012 年 06 月 16 日 一 学 科 ( 专 业 ) 主 要 研 究 方 向 序 研 究

More information

1 VLBI VLBI 2 32 MHz 2 Gbps X J VLBI [3] CDAS IVS [4,5] CDAS MHz, 16 MHz, 8 MHz, 4 MHz, 2 MHz [6] CDAS VLBI CDAS 2 CDAS CDAS 5 2

1 VLBI VLBI 2 32 MHz 2 Gbps X J VLBI [3] CDAS IVS [4,5] CDAS MHz, 16 MHz, 8 MHz, 4 MHz, 2 MHz [6] CDAS VLBI CDAS 2 CDAS CDAS 5 2 32 1 Vol. 32, No. 1 2014 2 PROGRESS IN ASTRONOMY Feb., 2014 doi: 10.3969/j.issn.1000-8349.2014.01.07 VLBI 1,2 1,2 (1. 200030 2. 200030) VLBI (Digital Baseband Convertor DBBC) CDAS (Chinese VLBI Data Acquisition

More information

(Microsoft PowerPoint -

(Microsoft PowerPoint - 第一章 數位邏輯積體電路 PLD FPGA 及 ASIC 之設計觀念 積體電路 製程技術 從微米 (micron, micro-meter) 次微米 (submicron) 到深次微米 (deeply submicron) 至所謂的奈米級之製程技術 積體電路技術 LSI (Large Scale Integrated Circuit, 約數仟 Gate) 超大型積體電路 (VLSI, Very Large

More information

Q1-6 請 問 我 的 孩 子 讀 了 幾 個 月 資 工 系, 一 直 覺 得 好 難, 對 自 己 打 擊 很 大, 學 校 是 否 輔 導 學 生 正 向 學 習? 學 生 是 否 可 轉 系, 讓 孩 子 能 夠 學 以 致 用 Q1-7 發 現 很 多 學 生 會 等 到 斷 網 後 才

Q1-6 請 問 我 的 孩 子 讀 了 幾 個 月 資 工 系, 一 直 覺 得 好 難, 對 自 己 打 擊 很 大, 學 校 是 否 輔 導 學 生 正 向 學 習? 學 生 是 否 可 轉 系, 讓 孩 子 能 夠 學 以 致 用 Q1-7 發 現 很 多 學 生 會 等 到 斷 網 後 才 101 學 年 度 家 長 訪 校 日 答 客 問 彙 整 表 提 問 回 應 權 責 單 位 學 生 學 習 面 Q1-1 今 年 大 一 新 生 已 取 消 網 傳 組, 只 剩 設 計 組 及 科 技 組, 是 否 意 謂 網 傳 組 已 不 具 實 用 性 及 競 爭 性? 學 校 係 基 於 何 理 由 取 消 該 組 別? Q1-2 政 策 的 改 變 絕 非 短 時 間 可 決 定,

More information

桌上型個人電腦採購規格說明表

桌上型個人電腦採購規格說明表 第三組顯示卡規範書 1. Nvidia 繪圖晶片 1-1. 1-1-1. 繪圖晶片 :NVIDIA GeForce 8400 系列 ( 含 ) 以上 ( 請註明採用商品之廠牌及型號, 並於型錄標示對 NVIDIA 應到主要規格之項次 ) GeForce 1-1-2. 繪圖介面 : 支援 PCI Express 介面 16X( 含 ) 以上高速繪圖晶片 8400 低階顯 1-1-3. 提供 256MB

More information

實驗 使用 IPv4 和 IPv6 計算摘要路由 拓樸 位址分配表 子網 IPv4 位址 IPv6 位址 HQ 的 LAN / :DB8:ACAD:E::/64 HQ 的 LAN / :DB8:ACAD:F::/64 EAS

實驗 使用 IPv4 和 IPv6 計算摘要路由 拓樸 位址分配表 子網 IPv4 位址 IPv6 位址 HQ 的 LAN / :DB8:ACAD:E::/64 HQ 的 LAN / :DB8:ACAD:F::/64 EAS 拓樸 位址分配表 子網 IPv4 位址 IPv6 位址 HQ 的 LAN1 192.168.64.0/23 2001:DB8:ACAD:E::/64 HQ 的 LAN2 192.168.66.0/23 2001:DB8:ACAD:F::/64 EAST 的 LAN1 192.168.68.0/24 2001:DB8:ACAD:1::/64 EAST 的 LAN2 192.168.69.0/24 2001:DB8:ACAD:2::/64

More information

Ulinx-spartan3-ST3-使用手冊.pub

Ulinx-spartan3-ST3-使用手冊.pub P1 為希科技 Sparatn3 XC3S200-PQ208 ST3 實驗板使用手冊 2006.Jan.15.V1.0 P2 目錄 使用手冊 Introduction.page 3 Chapter 1 : Power..page 5 Chapter 2 : Interface RS232..page 6 LED..page 8 PUSH_BUTTOM..page 9 DIP_SWITCH..page

More information

Microsoft Word - 數位邏輯學科題庫_500題_ doc

Microsoft Word - 數位邏輯學科題庫_500題_ doc 數 位 邏 輯 設 計 丙 級 能 力 認 證 學 科 應 試 題 庫 (500) 答 案 題 號 題 目 下 圖 電 路 符 號 為 何 種 邏 輯 閘? D 1 反 或 (NOR) 閘 (B) 或 (OR) 閘 (C) 互 斥 或 (XOR) 閘 (D) 反 互 斥 或 (XNOR) 閘 請 問 下 列 哪 一 個 電 路 符 號, 符 合 下 列 真 值 表? C 2 (B) (C) (D)

More information

Microsoft Word - LP doc

Microsoft Word - LP doc 第七組顯示卡規範書及答標單 1. Nvidia 繪圖晶片 1-1. 1-1-1. 繪圖晶片 : GeForce 8400 系列 ( 含 ) 以上 ( 請註明採用商品之廠牌及型號, 並於型錄標示對 應到主要規格之項次 ) GeForce 1-1-2. 繪圖介面 : 支援 PCI Express 介面 16X( 含 ) 以上高速繪圖晶片 8400 低階顯 1-1-3. 提供 512MB DDR2 SDRAM(

More information

<4D F736F F D20AAFEA5F3312D2DB1D0BEC7B3D0B773BA5BB1D0A7F7ACE3B56FBCFAC079B4C1A5BDA6A8AA47B3F8A769AED1AEE6A6A15FC5DEBFE8B35DAD702E6

<4D F736F F D20AAFEA5F3312D2DB1D0BEC7B3D0B773BA5BB1D0A7F7ACE3B56FBCFAC079B4C1A5BDA6A8AA47B3F8A769AED1AEE6A6A15FC5DEBFE8B35DAD702E6 中國文化大學教師教學創新暨教材研發獎勵期末成果報告書 壹 計畫名稱 英文計畫名稱 : Fundamental Design of Combinational and Sequential Logic Circuit 中文計畫名稱 : 組合電路與序列電路基礎設計 貳 實施課程 授課教師姓名 課程名稱 : 邏輯設計 (Logic Desgin) 開課系級 : 電機工程學系一年級授課教師 : 逄霖生電子郵件

More information

Microsoft PowerPoint - 4_布林代數與邏輯簡化_1_UNANS

Microsoft PowerPoint - 4_布林代數與邏輯簡化_1_UNANS 邏輯設計 布林代數與邏輯簡化 王宏祺講師 Department of Computer and Communication Kun San University Tainan, Taiwan, R.O.C. Mar. 30, 2011 1 4-1 布林運算與表示式 4-2 布林代數的定律與法則 4-3 狄摩根定理 4-4 邏輯電路的布林分析法 4-5 利用布林代數來做簡化 4-6 布林表示式的標準形式

More information

Presentation Title

Presentation Title 基于模型的可编程 SoC 设计与调试 MathWorks China Tom Shan Application Engineer 2015 The MathWorks, Inc. 1 主要内容 介绍 什么是 Zynq? 设计挑战 Zynq 设计 基于 MBD 的可编程 SoC 设计 代码生成 流程 验证和软硬件划分 UDP 接口 Processor In the Loop(PIL) 验证 新增功能

More information

Microsoft PowerPoint - 00 電腦的運作原理.ppt

Microsoft PowerPoint - 00 電腦的運作原理.ppt 電腦的基本運作原理 丁培毅 速成版 / 概念版 功能與速度日勝一日的電腦 手機 車用電腦, 導航機 筆記型電腦 個人電腦 網路伺服器 雲端運算系統 超級電腦 2/45 無遠弗界的通訊數據網路 網際網路 3G, 3.5G, 3.75G, 4G 網路 無線網路 (WiMAX, WiFi, Bluetooth) 物聯網 3/45 數位化的資料表示方式 需要先以適當的方法表示資料, 電腦才能快速地 大量地處理資料

More information

Microsoft Word - 32

Microsoft Word - 32 * 基 于 Nios II 处 理 器 的 USB 接 口 设 计 * 项 目 基 金 : 获 中 韩 合 作 项 目 Development of Embedded Software and System for Automobile Electronics 的 资 助 ; 重 庆 市 科 技 攻 关 计 划 项 目 面 向 汽 车 ABS 嵌 入 式 系 统 的 专 用 开 发 平 台 及 其

More information

注意:考試開始鈴(鐘、鼓)響或燈亮前,不可以翻閱試題本

注意:考試開始鈴(鐘、鼓)響或燈亮前,不可以翻閱試題本 注意 : 考試開始鈴響或綠燈亮前, 不可以翻閱試題本 107 學年度身心障礙學生升學大專校院甄試試題本 甄試類 ( 群 ) 組別 : 四技二專組 電機與電子群資電類 考試科目 ( 編號 ): 專業科目 ( 二 ) 數位邏輯 數位邏輯實習 電子學實習 計算機概論 (C2213) 作答注意事項 1. 考試時間 :90 分鐘 2. 請在答案卷上作答, 答案卷每人一張, 不得要求增補 3. 請核對報考甄試類

More information

電腦設備LP 第七組顯示卡規範書

電腦設備LP 第七組顯示卡規範書 第七組顯示卡規範書 1. Nvidia 繪圖晶片 1-1. 1-1-1. 繪圖晶片 : GeForce 8400 系列 ( 含 ) 以上 ( 請註明採用商品之廠牌及型號, 並於型錄標示對 應到主要規格之項次 ) GeForce 1-1-2. 繪圖介面 : 支援 PCI Express 介面 16X( 含 ) 以上高速繪圖晶片 8400 低階顯 1-1-3. 提供 512MB DDR2 SDRAM(

More information

福建福日电子股份有限公司

福建福日电子股份有限公司 (600203) 2004 2004 2004 2004 ...1...3...6...9...13...15...16...26...28...34...76 2004 FUJIAN FURI ELECTRONICS CO., LTD. FFEC 169 0591 83315984 83318998 0591 83319978 jiangql@furielec.com wangz@furielec.com

More information

可程式控制器(PLC)

可程式控制器(PLC) 機電整合控制 可程式控制器 呂文斌博士 Wenbin3033@gmail.com 文件參考 :http://www.safetynet-plc.com 自動化系統架構 可程式控制器 (PLC) 可程式控制器發展背景 可程式控制器的定義 硬體系統與軟體設計發展 PLC 構成要件與運作原理 IEC 1131-3 PLC 程式語言規範 PLC 特性與優點 數字系統與編碼 基礎邏輯概念 可程式控制器發展背景

More information

教材大綱 單元主題技能項目相關知識 一 邏輯實驗儀器的使用 ( 一 ) 電壓的供給調整 ( 二 ) 實驗儀器接線方法及測試 ( 三 ) 邏輯狀態的輸出測試 ( 四 ) 邏輯狀態的輸入調整 1. 了解實驗儀器的基本操作 2. 明白電路的連接方法 教學參考節數 6 備註 二 基本邏輯閘 ( 一 )NAN

教材大綱 單元主題技能項目相關知識 一 邏輯實驗儀器的使用 ( 一 ) 電壓的供給調整 ( 二 ) 實驗儀器接線方法及測試 ( 三 ) 邏輯狀態的輸出測試 ( 四 ) 邏輯狀態的輸入調整 1. 了解實驗儀器的基本操作 2. 明白電路的連接方法 教學參考節數 6 備註 二 基本邏輯閘 ( 一 )NAN 教材大綱 單元主題技能項目相關知識 一 邏輯實驗儀器的使用 ( 一 ) 電壓的供給調整 ( 二 ) 實驗儀器接線方法及測試 ( 三 ) 邏輯狀態的輸出測試 ( 四 ) 邏輯狀態的輸入調整 1. 了解實驗儀器的基本操作 2. 明白電路的連接方法 教學參考節數 6 備註 二 基本邏輯閘 ( 一 )NAND,NOR,NOT, 1. 各基本邏輯閘的 AND,OR, 及 EXOR 功能 閘功能實驗 2. 了解不同邏輯族

More information

桌上型個人電腦採購規格說明表

桌上型個人電腦採購規格說明表 第三組顯示卡規範書 1. Nvidia 繪圖晶片 1-1. 1-1-1. 繪圖晶片 :NVIDIA GeForce 6200 系列 ( 含 ) 以上 ( 請註明採用商品之廠牌及型號, 並於型錄標示對應低階顯示卡到主要規格之項次 ) ( 備註 : 對應 1-1-2. 繪圖介面 : 支援 AGP 介面 8X( 含 ) 以上高速繪圖晶片項次為第 1 1-1-3. 提供 128MB 64 位元 DDR SDRAM(

More information

IC設計產業之整體評估--揚智科技及矽統科技.PDF

IC設計產業之整體評估--揚智科技及矽統科技.PDF IC IC IC IC IDMIntegrated Device Manufacturer IC IC IC IC IC IC IC 1. 1990 IC IC IC Speech 2. 1990 1995 IC IC IC IC 3. 1995 IC IC Intel IC 1 IC Intel IC IC IC 2 IC PC IC IC IC Chip set IC CPU CPU IC IC

More information