(Microsoft PowerPoint -

Size: px
Start display at page:

Download "(Microsoft PowerPoint -"

Transcription

1 第一章 數位邏輯積體電路 PLD FPGA 及 ASIC 之設計觀念

2 積體電路 製程技術 從微米 (micron, micro-meter) 次微米 (submicron) 到深次微米 (deeply submicron) 至所謂的奈米級之製程技術 積體電路技術 LSI (Large Scale Integrated Circuit, 約數仟 Gate) 超大型積體電路 (VLSI, Very Large Scale ICs, 百萬個以上 Gate) 2

3 脈波寬度 (Pulse Width) 一個訊號脈波, 所能保持位準的寬度 當訊號之脈波寬度甚小於我們所預設之值時, 稱之為訊號突波 (Glitch) 3

4 傳遞延遲 (Propagation Delay) 傳遞延遲時間代表一組輸入訊號通過一個組合邏輯 (Combinational Logic) 電路後, 再產生出有意義的輸出訊號所需的時間 4

5 建立時間 (Set-up Time) 與保持時間 (Hold Time) 1 當一個輸入訊號, 與一個觸發 (Trigger) 訊號相配合時, 輸入訊號必須在觸發訊號觸發前的一段時間內即建立完成, 此段時間即稱為建立時間 而輸入訊號也必須在觸發訊號觸發之後, 保持住一段時間, 此段時間即稱為保持時間 5

6 建立時間 (Set-up Time) 與保持時間 (Hold Time) 2 6

7 時脈對輸出延遲時間 (Clock to Output Delay) 在順序性 (Sequential) 邏輯電路中, 對一個正反器 (Flip-Flop) 或暫存器等記憶元件而言, 當時脈訊號觸發後, 隔一段時間後, 輸出訊號才會有效, 這一段時間稱之為時脈對輸出延遲時間 7

8 電路設計之考慮因素 操作電壓 操作時脈 (Operating Clock Frequency) 三角點時序驗證法 (3-Corner Timing Verification): 一般情況 (Typical Case) 延遲最少或最佳情況 (Minimum case or Best case) 延遲最多或最差情況 (Maximum case or Worst case) 功率消耗 (Power Dissipation or Power Consumption) 所佔晶圓面積與操作速度的設計考量 臨界路徑 (Critical Path) 分析 扇出與扇入 (Fan-in,Fan-out) 高頻電路 (High Frequency Circuit) 與電波效應的考量 8

9 數位電路設計之重要因子 流通性 功能性 擴充性 操作之方便性與簡易性 9

10 TTL 邏輯族 IC TTL 邏輯族 IC 是屬於 MSI (Medium Scale Integrated Circuit) 的範疇 TTL 邏輯族提供標準的邏輯元件, 以供設計者加以利用 例如 7404 代表 NOT 閘 (Gate) 等 TTL 邏輯族 IC 的設計方式, 亦可稱為離散式 (Discrete) 邏輯電路系統設計 10

11 TTL 邏緝族 IC 設計缺點 電路板的面積將變的很大, 因此系統與電路板的成本, 將會相對地提高 電路板上的 IC 元件數相當多, 所要處理的電磁干擾問題 (EMI), 相對地複雜而且困難, 並且控制不易 所使用的 IC 元件數相當多, 使設計上的整合性較低, 較不易得到一個可靠的設計 (Reliable Design), 增加設計與電路維護的複雜度 11

12 現今 IC 設計技術 設計者可以針對自己設計上的需要, 選用一種積體電路 IC 來使自己的邏輯電路能工作在最佳的狀況下, 以便能夠取一個合理的性能 - 成本控制區間 (Cost-Performance tradeoff) 而且一些可程式的 PLD 或 FPGA 等, 由於其具有可程式規劃的特點, 可以在進行 IC 實作之前, 用來做系統的原形功能 (Prototyping) 驗証, 以及取代一些系統設計上的零散邏輯電路 12

13 電子自動化設計 由於產品更換的時間越來越快, 所以設計的腳步也必須越來越快 於是使用電腦來輔助電路之設計為這一時代的另一特色 使用電腦來輔助電路設計之技術稱之為 EDA (Electronics Design Automation) 13

14 閘階層 (Gate-Level) 電路合成 (Synthesizer) 使用繪圖介面的方式, 就所需的邏輯閘 電路功能示意圖 正反器 (Flip-Flops), 一個一個地畫在電路圖上 閘階層的設計方法造成的瓶頸, 主要有 : 使用閘階層設計方式, 需要花相當的時間在做設計之輸入 (Design Entry), 設計輸入所需要的時間可能曠日廢時, 除錯不易且過程可能冗長 由於電路的邏輯閘數相當多, 會增加設計出錯之機會及將來維護上的困難 14

15 硬體描述語言設計方式 讓電腦來自動依所寫的硬體描述語言, 合成其所對應的功能電路, 並做電路的最佳化合成, 如此可將設計的時程大幅縮短, 並大大增加了系統設計的可維護性 可合成 (Synthesizable) 電路描述語言 一般稱之為高階硬體電路描述語言 目前常用的有 VHDL 及 Verilog... 等硬體電路描述語言 VHDL 電路設計語言的全名為 Very High Speed ICs Hardware Description Language 15

16 數位電路設計流程 16

17 TTL v.s. 可編程邏輯電路元件 項目 TTL 邏輯族元件 可編程邏輯電路元件 設計輸入 使用布林代數及卡諾圖邏輯簡化, 少有自動化設計之工具 使用邏輯或硬體電路描述語言, 電路之合成與邏輯之最佳化通常有自動化工具來完成 電路板 (PCB) 成本 高 低 功率消耗 高 低 設計週期 除錯時間長, 設計變更困難 除錯時間短, 設計變更容易 電路之保密安全性 低 高 可編程邏輯能力 無 有 電路性能 電路延遲大,Fan-In Fan-Out 之設計複雜不易掌握 電路延遲小, 且在元件內部掌控容易 Fan-In 及 Fan-Out 之設計有自動設計工具協助處理 17

18 PAL 邏輯元件 1 PAL 邏輯元件的結構, 基本上是由一些 AND 閘及一些 OR 閘, 再由可編程的連線結構所組成 18

19 PAL 邏輯元件 2 PAL 邏輯元件常見的有 16L8, 其所代表的意義為 16 個輸入變數或訊號,8 個輸出變數或訊號, 而字母 L 的意思是 Logic 之意 16R8 16R6 及 16R2 是另外一類的 PAL 邏輯元件, 其主要的差別點為其輸出部份為具有暫存器 (Registers) 的閂鎖式輸出 (Latched Output) 埠, 字母 R 所代表的含意即為 Register 之意 16R8 16R4 及 16R2 之差別為輸出埠中具有暫存器架構的輸出變數或訊號的個數,16R8 代表 16 個輸入變數及 8 個具有暫存器架構的輸出變數 19

20 PLD 邏輯元件 1 PLD 邏輯元件主要是由一些可變的積項 (Product Term) 及 Macro-Cell 所組成 20

21 PLD 邏輯元件 2 Macro-Cell 的架構, 主要由 2 個 configuration 位元 C0 及 C1 來決定其輸出與輸入的組合狀態 PLD 邏輯元件 22V10, 所代表之名稱意義為 22 個輸入變數及 10 個輸出變數 其中 V 所代表的含意為 Variable' 為可變化之意, 也就是說位於 Macro-Cell 之前面的積項 (Product Term) 組合是可變的 21

22 CPLD 邏輯元件 1 CPLD 是 Complex PLD 的簡稱, 顧名思義, 其係一種較 PLD 為複雜的 可容許邏輯閘數較多的可編程邏輯元件 CPLD 是一種整合性較高的邏輯元件 由於具有高整合性的特點, 故其有性能提升 可靠度增加 PCB 面積減少及成本下降等優點 CPLD 元件, 基本上是由許多個邏輯區塊 (Logic Blocks) 所組合而成的 而各個邏輯方塊均相似於一個簡單的 PLD 元件 各個邏輯區塊間的相互連線關係, 則由可編程的連線架構, 將整個邏輯電路合成而成 22

23 CPLD 邏輯元件 2 23

24 FPGA 邏輯元件 1 FPGA 的全名為 Field Programmable Gate Array, 它是由許多個邏輯單元胞 (Logic Cell) 經由可編程的垂直通道 (Vertical Channel) 及水平通道 (Horizontal Channel) 的連線所構成 FPGA 的架構與半導體製程中的 Gate Array 方式相似, 所不同點只有二點 : Gate Array 所使用的單元胞為電晶體 (Transistor), 而 FPGA 所使用的單元胞為邏輯單元胞 (Logic Cell) Gate Array 中各電晶體之間的連線關係, 係由數層光罩來構成, 而 FPGA 則是由可編程的方式來達成 一般來說 FPGA 中的 Logic Cell 的邏輯功能要比 CPLD 中的 Macro-Cell 來的小一些, 但 FPGA 中的 Logic Cell 的個數相當多, 可以經由 Logic Cell 的互相串聯 並聯的方式, 組合構成複雜的邏輯函數 24

25 FPGA 邏輯元件 2 25

26 FPGA 邏輯元件 3 FPGA 的物理架構形式, 可以分成 2 類, 一為 SRAM 類型, 一為 Anti- Fuse 類型 SRAM 類型的 FPGA 供應商有 Altera Atmel Xilinx 等, SRAM 類型的 FPGA 俱有可重複程式化的優點, 適合用來實作邏輯設計之功能性驗證, 但相對的處理電路較複雜 ( 因為需要將 Configuration Code Down-Load 的電路包含在元件內 ) Anti-Fuse 類型的 FPGA 供應商有 Actel Cypress QuickLogic 及 Xilinx 等 Auti Fuse 的特點則是其閘數的可用性較高, 應用電路較為簡單, 相對單價也較便宜, 故適宜用來在產品需求量小及業務尚未開展出來時的小量生產, 待產品需求大量之後, 可開成 ASIC 以降低單顆之成本 Anti-Fuse 的 FPGA 的缺點是其無法重複燒錄使用, 故在做邏輯設計的工作時尤需注意確切的模擬驗證 在電路的可變動性來說, Anti-Fuse 類的 FPGA 亦較 SRAM 類的 FPGA 為佳 Anti-Fuse 的 FPGA 元件通常可以在電路更改過後, 而不致影響 I/O 接腳的編排與特性, 但 SRAM 類的 FPGA 卻有可能在更改其中的一部份邏輯電路後, 造成 I/O 接腳編排不出來的問題, 所以通常若使用 SRAM 類型的 FPGA 時, 最好其使用率 (Utilization Rate) 在 80% 以下為宜 26

27 專用積體電路 ASIC 乃 Application Specific Integrated Circuit 的縮寫, 中文叫做特用積體電路或專用積體電路 此積體電路設計的需求, 即是提供一個在特殊應用場合上所使用的積體電路元件 ASIC 的目的, 一方面可使系統之電路整合更有效率, 並使成本下降, 提升產品之競爭力 另一方面又可以使電路之設計增加保密性, 使得設計不易被拷貝模仿 27

28 ASIC 電路設計方式 Gate Array Standard Cell Cell Base Array (CBA) Fully Customization 28

29 Gate Array 由積體電路廠商, 提供已部份完成之電晶體佈局, 由應用者根據此母體, 來加上數層光罩 ( 通常為三層至五層 ) 來構成各個電晶體之間的連線關係, 以達成所需的邏輯電路設計之需求 此種製作方式的特點為所更動之光罩數少, 故 NRE(Non-Recurring Engineering) 費用較少, 製作之時程也相對較短, 但因受限於所選母體之限制, 故單價成本較高, 設計的整合性亦較低 29

30 Standard Cell Standard Cell 又稱為 Cell based, 其 ASIC 製作方式係以積體電路廠商所擁有的標準元件庫 (Cell Library) 為基礎, 將所設計的數位邏輯電路, 由這些已經建立的 Cell 來合成 因其結構之可變性加大, 故其所需設計之光罩層數, 幾乎為邏輯製程的所有光罩數, 與 Gate Array 的方式相較為多 ( 約十三層以上 ) Standard Cell 的設計製作方式, 可使單價之成本降低 ( 因其整合性較高 ), 但相對地要付出較高的費用及較長的製作時程 30

31 Cell Base Array (CBA) CBA 的製作方式, 乃綜合 Gate Array 及 Standard Cell 的特點所融合構成 Cell Base Array 的製作方式, 將設計之邏輯電路中的不同模組, 均以 Cell 的方式來建立, 如此則僅改變某一個模組中的電路設計時, 只需更改那一個相對應 Cell 的光罩即可 所以以 CBA 的方式來製作 ASIC 時, 可將一些固定不變的 Cell, 以固定的光罩來製成, 而將可變化的電路設計部份以某一些另外的光罩來構成 僅需在 ASIC 第一次製作時, 需要每一層的光罩費用 而在往後的變更設計中, 則僅需要更改所需更動的光罩即可 31

32 Fully Customization Fully Customization 的 ASIC 製作方式, 係完全以客戶所委託的電路設計為考量, 不使用標準元件庫, 而把電路做成最佳的整合, 以得到一個單價最便宜 性能最優越的設計方法 其所必須相對付出的是更高的設計費用 費用與更長的開發製作週期 32

33 各種 ASIC 製作方式之比較 ASIC Gate Array CBA Standard Cell Fully Customization 單價成本 高 適中 適中 低 速度性能 低 適中 適中 高 費用 低 低 適中 高 開發時程 短 中 中 長 閘數 中 ~ 低 高 ~ 適中 高 ~ 適中 適中 ~ 低 33

34 數位 ASIC 之設計程序 34

35 設計輸入 (Design Entry) 數位電子電路的輸入方式可以分成三種 : 電路圖設計輸入方式 訊號波形 (Wareform) 輸入方式 硬體電路描述語言 35

36 邏輯編譯 (Compilation) 與合成 (Synthesis) 1 邏輯編譯的過程係以所對應的可編程邏輯元件或製程技術, 將設計輸入轉化成以 Cell 為主的連線關係 (Netlist) 邏輯編譯的過程可以分成下列三個步驟來完成 : 將行為化模型轉換為平坦化的 RTL 表示法 (RTL: Register Transfer Level) Cell Mapping 及模組生成 (Module Generation) 最佳化設計 (Technology-Specific Optimization) 36

37 邏輯編譯 (Compilation) 與合成 (Synthesis) 2 37

38 邏輯模擬 預行模擬與驗証 1 邏輯模擬與預行模擬的用意是用來驗証電路設計在佈局前的邏輯正確性, 它可以使設計的週期縮短, 使一些設計上的錯誤能在電路佈局之前找出來 電子電路的模擬方式可以分成 2 類 一為使用訊號波形的方法 (Waveform Simulation), 以觀察訊號的輸入與輸出波形來驗証其正確性 二為使用測試平台 (Test Bench) 的方式, 其輸出為資料檔, 設計者可以將所欲驗證的輸出入訊號條件, 寫在模擬程式中, 再將模擬的情形以資料檔案的方式輸出, 並可以在測試平台上直接對訊號的數值與時序時間, 做自動的比對 38

39 邏輯模擬 預行模擬與驗証 2 使用 VHDL 電路設計語言的設計輸入方式, 可以在設計原始碼 (Source Code) 完成時, 使用 VHDL Simulator 來對設計原始碼 (Source Code) 做模擬來驗証設計輸入的邏輯功能的正確性 僅對 RTL Code 所作的模擬驗証又稱為功能模擬 (Functional Simulation) 或 RTL Level 模擬, 亦就是所謂的邏輯模擬 39

40 電路佈局 (Circuit Layout) 電路佈局的用途是將已設計好的連線關係 (Netlist) 轉化成實際電路上的電晶體, 並將這些電晶體與適當的配置與連線將其分佈在晶片上, 並由實際的導線構成連線關係 電路佈局的主要工作有三項 一為 Place and Route, 此項目乃是將電路設計中的 Cell 佈置於晶片上, 並將其相互邏輯功能關係予以連線 (Route) 二為 LVS 此為 Layout Versus Schematics 的英文縮寫, 此項目是做電路團轉成佈局圓的對應關係驗証 三為 DRC, 此為 Design Rule Check 的英文縮寫 40

41 佈局後模擬 (Post-Layout Simulation) 佈局後模擬的目的, 主要用來檢查整個電路設計是否能實際符合規格的需求 如同邏輯模擬一般, 有 2 種方式 : 波形法與測試平台法 而其模擬的方法與步驟, 也是十分的相似 所不同點為佈局後模擬加入了所有的物理參數及路徑延遲時間, 所以又稱為物理模擬 41

42 FPGA 驗証 FPGA 的驗証程序, 通常在設計輸入與邏輯模擬完成之後, 即與 ASIC 的設計程序分開來進行 FPGA 的發展系統會依據所設計之設計輸入, 經過編譯與 Place and Route 或 Fitting 的步驟來產生用來編程 FPGA 的檔案, 而使得 FPGA 的驗証程序得以完成 而使用 FPGA 的方式, 亦可使 ASIC 樣品尚未完成之前, 進行系統整合與系統韌體的發展工作, 加快產品上市的時間 42

43 試製樣品 在完成了電路之設計與驗証之後, 在 ASIC 大量生產前, 必須將所設計之電路試製成工程樣品 (Engineering Sample), 先予以與系統結合做實際電路操作驗証 樣品驗証無誤後, 才投入大量生產, 以降低產品開發的風險 43

前言

前言 FPGA/CPLD FPGA/CPLD FPGA/CPLD FPGA/CPLD FPGA/CPLD 1.1 FPGA/CPLD CPLD Complex Programable Logic Device FPGA Field Programable Gate Array 1.3 CPLD/FPGA PLD PLD ASIC PLD PLD PLD FPGA PLD 7032LC 3 PLD 70 1

More information

0 0 = 1 0 = 0 1 = = 1 1 = 0 0 = 1

0 0 = 1 0 = 0 1 = = 1 1 = 0 0 = 1 0 0 = 1 0 = 0 1 = 0 1 1 = 1 1 = 0 0 = 1 : = {0, 1} : 3 (,, ) = + (,, ) = + + (, ) = + (,,, ) = ( + )( + ) + ( + )( + ) + = + = = + + = + = ( + ) + = + ( + ) () = () ( + ) = + + = ( + )( + ) + = = + 0

More information

混訊設計流程_04.PDF

混訊設計流程_04.PDF CIC Referenced Flow for Mixed-signal IC Design Version 1.0 (Date) (Description) (Version) V. 1.0 2010/11/ Abstract CIC IC (Mixed-signal Design Flow) IC (Front End) (Back End) Function Timing Power DRC

More information

附件1:

附件1: 2013 年 增 列 硕 士 专 业 学 位 授 权 点 申 请 表 硕 士 专 业 学 位 类 别 ( 工 程 领 域 ): 工 程 ( 集 成 电 路 工 程 ) 申 报 单 位 名 称 : 南 开 大 学 国 务 院 学 位 委 员 会 办 公 室 制 表 2013 年 12 月 18 日 填 一 申 请 增 列 硕 士 专 业 学 位 授 权 点 论 证 报 告 集 成 电 路 产 业 是

More information

<4D F736F F F696E74202D20BCC6A6ECA874B2CEBEC9BDD7C1BFB871B2C4A440B3B9>

<4D F736F F F696E74202D20BCC6A6ECA874B2CEBEC9BDD7C1BFB871B2C4A440B3B9> 數位系統導論 蔡宗漢 (Tsung-Han Tsai) Dept. of E.E., N.C.U. 1 教學目標 : 1 了解數位電子電路的基本原理, 例如資訊的二進位系統 布林代數 2 了解數位電子電路的基本原件, 如 : 組合電路 循序電路 加法器 比較器 等等 授課大綱 : 1 數位邏輯的原理 2 元件的認識( 如 AND/OR 閘, 加法器 ) 3 數位邏輯功能單元 4 數位邏輯的設計 2

More information

實驗八 數位邏輯 實驗大綱 : 一 邏輯訓練器的介紹簡介 二 LED 的電路操作 三 了解基本邏輯閘的應用邏輯閘的應用 (AND,OR,NOT,NAND,NOR,) 四 邏輯閘的組合應用 ( 數個連接起來做應用, 卡諾圖訓練 ) 實驗原理 : 一 邏輯訓練器的介紹 OFF ON

實驗八 數位邏輯 實驗大綱 : 一 邏輯訓練器的介紹簡介 二 LED 的電路操作 三 了解基本邏輯閘的應用邏輯閘的應用 (AND,OR,NOT,NAND,NOR,) 四 邏輯閘的組合應用 ( 數個連接起來做應用, 卡諾圖訓練 ) 實驗原理 : 一 邏輯訓練器的介紹 OFF ON 實驗八 數位邏輯 實驗大綱 : 一 邏輯訓練器的介紹簡介 二 LED 的電路操作 三 了解基本邏輯閘的應用邏輯閘的應用 (ND,OR,NOT,NND,NOR,) 四 邏輯閘的組合應用 ( 數個連接起來做應用, 卡諾圖訓練 ) 實驗原理 : 一 邏輯訓練器的介紹 OFF ON 7 6 5 4 3 2 POWER U-3 STRIGHT HEDER HI :RED LO:NO DISPLY +V -V

More information

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如 FPGA 工 程 师 面 试 试 题 一 1 同 步 电 路 和 异 步 电 路 的 区 别 是 什 么?( 仕 兰 微 电 子 ) 2 什 么 是 同 步 逻 辑 和 异 步 逻 辑?( 汉 王 笔 试 ) 同 步 逻 辑 是 时 钟 之 间 有 固 定 的 因 果 关 系 异 步 逻 辑 是 各 时 钟 之 间 没 有 固 定 的 因 果 关 系 3 什 么 是 " 线 与 " 逻 辑, 要 实

More information

enews174_2

enews174_2 103 CMOS Seal-Ring 104 e-learning 104 104 / http://www.cic.org.tw/login/login.jsp CIC Introduction to Conversational French - Syllabus Summer 2004 1 4 21 CMOS MorSensor MorFPGA DUO 2 MorSensor 3 103 (

More information

52C-14266-5

52C-14266-5 逻 辑 分 析 仪 基 础 知 识 入 门 手 册 www.tektronix.com.cn/logic_analyzers 15 入 门 手 册 目 录 引 言 3-4 起 源 3 数 字 示 波 器 3 逻 辑 分 析 仪 4 逻 辑 分 析 仪 操 作 5-13 连 接 被 测 系 统 5 探 头 5 设 置 逻 辑 分 析 仪 7 设 置 时 钟 模 式 7 设 置 触 发 7 采 集 状

More information

1 1

1 1 1 1 2 Idea Architecture Design IC Fabrication Wafer (hundreds of dies) Sawing & Packaging Block diagram Final chips Circuit & Layout Design Testing Layout Bad chips Good chips customers 3 2 4 IC Fabless

More information

邏輯分析儀的概念與原理-展示版

邏輯分析儀的概念與原理-展示版 PC Base Standalone LA-100 Q&A - - - - - - - SCOPE - - LA - - ( Embedded ) ( Skew ) - Data In External CLK Internal CLK Display Buffer ASIC CPU Memory Trigger Level - - Clock BUS Timing State - ( Timing

More information

untitled

untitled ( ) 2005 2 27 1 70 :SSI(Small Scale Integration), 1 10,MSI (Medium Scale Integration),,, 80 LSI(Large Scale Integration),, 16,Motoral M68000(7 ),Intel 80286 (12.5 ),80386 (27.5 ) 90 : VLSI(Very Large Scale

More information

Microsoft PowerPoint - Aqua-Sim.pptx

Microsoft PowerPoint - Aqua-Sim.pptx Peng Xie, Zhong Zhou, Zheng Peng, Hai Yan, Tiansi Hu, Jun-Hong Cui, Zhijie Shi, Yunsi Fei, Shengli Zhou Underwater Sensor Network Lab 1 Outline Motivations System Overview Aqua-Sim Components Experimental

More information

逢甲大學

逢甲大學 逢 甲 大 學 資 訊 工 程 學 系 專 題 研 究 報 告 Altera DE2-70 搭 配 LTM 實 作 遊 戲 - 小 蜜 蜂 指 導 教 授 : 陳 德 生 學 生 : 林 桂 廷 ( 資 訊 四 丙 ) 張 育 祥 ( 資 訊 四 丙 ) 中 華 民 國 壹 百 年 十 一 月 摘 要 本 專 題 是 利 用 Altera DE2-70 開 發 板 和 TRDB_LTM 觸 控 面

More information

Microsoft PowerPoint - STU_EC_Ch01.ppt

Microsoft PowerPoint - STU_EC_Ch01.ppt 樹德科技大學資訊工程系 Chapter 1: Digital Concepts Shi-Huang Chen Sept. 2010 1 Chapter Outline 1.1 Digital and Analog Quantities 1.2 Binary Digits, Logic Level, and Digital Waveform 1.3 Basic Logic Operations 1.4

More information

12 Differential Low-Power 6x6 12 bit multiply 1

12 Differential Low-Power 6x6 12 bit multiply 1 12 Differential Low-Power 6x6 12 bit multiply 1 2 07 1.1 07 1.2 07 1.2.1 (Sequential Structure Multiplier )07 1.2.2 (Array Structure Multiplier) 09 1.2.3 (Parallel Multiplier) 10 1.2.3.1 10 1.2.3.2 10

More information

積體電路設計方法

積體電路設計方法 積體電路設計方法 賴源泰 電機系 成功大學 1 積體電路時代 Transistors integrated on a single chip 10-100 in 1960 1K-20K in 1970 20K-500K in 1980 10M-20M in 1990 2 積體電路時代 Minimum line width in mass production 5μm in 1977 2μm in 1984

More information

untitled

untitled 93 年度 路 Xilinx FPGA 類 CAM. 參 CIC FPGA Development Kit( 參 錄 A) 來 類 CAM 令 狀 來 行 料 參 錄 B 例 來 參 CIC 參 I/O Response 來 參 錄 C 了 利 FPGA 參 參 錄 D CIC 路 錄 行 IC 9: : IC CIC 行 了 便 參 參 錄 E 列.. CLK RST_ OP Test Bench

More information

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual Subject LD5515 Demo Board Model Name (5V/1.5A) Key Features Built-In Pump Express TM Operation Flyback topology with PSR Control Constant Voltage Constant Current High Efficiency with QR Operation (Meet

More information

untitled

untitled 93 年度 路 Altera FPGA 類 CAM. 參 CIC FPGA Development Kit( 參 錄 A) 來 類 CAM 令 狀 來 行 料 參 錄 B 例 來 參 CIC 參 I/O Response 來 參 錄 C 了 利 FPGA 參 參 錄 D CIC 路 錄 行 IC 9: : IC CIC 行 了 便 參 參 錄 E 列.. CLK RST_ OP Test Bench

More information

UDC 厦门大学博硕士论文摘要库

UDC 厦门大学博硕士论文摘要库 10384 9924012 UDC 2002 5 2002 2002 2002 5 1 Study on High Speed Switch System and Their ASIC Frontend Design Thesis for MS By Shuicheng Cai Supervisor: Prof. Donghui Guo Department of Physics Xiamen Unviersity

More information

Microsoft PowerPoint - STU_EC_Ch08.ppt

Microsoft PowerPoint - STU_EC_Ch08.ppt 樹德科技大學資訊工程系 Chapter 8: Counters Shi-Huang Chen Fall 2010 1 Outline Asynchronous Counter Operation Synchronous Counter Operation Up/Down Synchronous Counters Design of Synchronous Counters Cascaded Counters

More information

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC MODEL 61509/61508/61507/ 61609/61608/61607 PROGRAMMABLE AC POWER SOURCE MODEL 61509/61508/61507/ 61609/61608/61607 61509/61609: 6kVA 61508/61608: 4.5kVA 61507/61607: 3kVA : 0-175V/0-350V/Auto : DC, 15Hz-2kHz

More information

PPTVIEW

PPTVIEW New Product line GP series AD3828GP~ AD12038GP DS series AD3828DS~ AD9238DS AB series AB6015~ AB12032 AS series AS6076~ AS12038 GP series Great Performance Axial fan New design for higher airflow & low

More information

Microsoft Word - FPGA的学习流程.doc

Microsoft Word - FPGA的学习流程.doc 王 者 之 风 的 博 客 http://blog.sina.com.cn/towbx 原 文 地 址 :ARM,FPGA,DSP 的 特 点 和 区 别 是 什 么? 作 者 : 红 枫 叶 DSP(digital singnal processor) 是 一 种 独 特 的 微 处 理 器, 有 自 己 的 完 整 指 令 系 统, 是 以 数 字 信 号 来 处 理 大 量 信 息 的 器 件

More information

ICCAD 2019 CAD Contest Problem D: Logic Synthesis using Programmable Logic Gates Contents Taiwan Semiconductor Research Institute (TSRI), NARL 0. Anno

ICCAD 2019 CAD Contest Problem D: Logic Synthesis using Programmable Logic Gates Contents Taiwan Semiconductor Research Institute (TSRI), NARL 0. Anno ICCAD 2019 CAD Contest Problem D: Logic Synthesis using Programmable Logic Gates Contents Taiwan Semiconductor Research Institute (TSRI), NARL 0. Announcement...P2 I. Introduction.....P3 II. Problem Statement..P3

More information

untitled

untitled USING THE DESIGN ASSISTANT PanDeng 2004 05 Quartus help/search Design Assistant TMG6480 Design Assistant warning 1. Combinational logic used as clock signal should be implemented according to Altera standard

More information

1 VLBI VLBI 2 32 MHz 2 Gbps X J VLBI [3] CDAS IVS [4,5] CDAS MHz, 16 MHz, 8 MHz, 4 MHz, 2 MHz [6] CDAS VLBI CDAS 2 CDAS CDAS 5 2

1 VLBI VLBI 2 32 MHz 2 Gbps X J VLBI [3] CDAS IVS [4,5] CDAS MHz, 16 MHz, 8 MHz, 4 MHz, 2 MHz [6] CDAS VLBI CDAS 2 CDAS CDAS 5 2 32 1 Vol. 32, No. 1 2014 2 PROGRESS IN ASTRONOMY Feb., 2014 doi: 10.3969/j.issn.1000-8349.2014.01.07 VLBI 1,2 1,2 (1. 200030 2. 200030) VLBI (Digital Baseband Convertor DBBC) CDAS (Chinese VLBI Data Acquisition

More information

二 戶外教學的性質

二 戶外教學的性質 285 一 戶外教學的意涵 價值及其面對的挑戰 1999 1994174 1. 286 2. 3. 二 戶外教學的性質 6 1999 26 1. 2. 3. 287 4. 5. 三 鄉土戶外教學的教學目標 10-1 1. (curriculum) (subject material) 288 (1998) 10-2 (conceptualizing) (generalizing) 2. (Educational

More information

Embargoed until May 4, 2004 EXPRESS 40 NI HQ 3000 1000 5000 ~ 500 10% / 500 85% NI LabVIEW 7 Express Express EXPRESS : #1 GPS Navigation PC/WWW/Email CD+RW Mobile Phone PDA DVD+RW Satellite Car Alarm/Radio

More information

EMI LOOPS FILTERING EMI ferrite noise suppressors

EMI LOOPS FILTERING EMI ferrite noise suppressors (HighSpeedBoardDesign) (HIGHSPEEDBOARDDESIGN) 1 1 3 1.1 3 1.1.1 3 1.1.2 vs 4 1.1.3 5 1.1.4 8 1.2 9 1.2.1 9 1.2.2 vs 1 1.3 1 1.3.1 11 1.3.1.1 11 1.3.1.2 12 1.3.1.3 12 1.3.1.4 12 1.3.1.5 12 2. 2.1 14 2.1.1

More information

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x 115 AVR W.V. Awdrey ATMEL AVR PIC AVR PIC AVR RISC AVR PIC AVR AVR AVR AVR AVR ATtiny15 AVR AVR AVR RAM ROM 121 116 122 ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r31 3 16 X Y Z 6-1 118 7 0

More information

Microsoft PowerPoint - STU_EC_Ch07.ppt

Microsoft PowerPoint - STU_EC_Ch07.ppt 樹德科技大學資訊工程系 Chapter 7: Flip-Flops and Related Devices Shi-Huang Chen Fall 2010 1 Outline Latches Edge-Triggered Flip-Flops Master-Slave Flip-Flops Flip-Flop Operating Characteristics Flip-Flop Applications

More information

NANO COMMUNICATION 23 No. 2-3D IC 29 6T SRAM, ReRAM, sense amplifiers, analog amplifiers and gas sensors was integrated to confirm the superiority in

NANO COMMUNICATION 23 No. 2-3D IC 29 6T SRAM, ReRAM, sense amplifiers, analog amplifiers and gas sensors was integrated to confirm the superiority in 5 28-3D IC Low-Cost and TSV-free Monolithic 3D-IC with Heterogeneous Integration of Logic, Memory and Sensor Analogy Circuitry for Internet of Things 綉 3D IC (MOSFET) 40 50% 3D IC 3D IC IO(ultra-wide-IO)

More information

USB - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - DES Module FSM CONTROLLER 8 6 8 Key ROM 8 8 Data_in RAM Data_out RAM 8 USB Board - 8 - - 9 - - 10 - - 11 - - 12 - USB device INF Windows INF Device Function

More information

Wire Wound Ceramic Chip Inductor 繞線式陶瓷晶片大电流電感 HPWS Series for High Frequency HPWS 系列適用於高頻 INTRODUCTION 產品介紹 The HPWS is the chip inductors of a wire w

Wire Wound Ceramic Chip Inductor 繞線式陶瓷晶片大电流電感 HPWS Series for High Frequency HPWS 系列適用於高頻 INTRODUCTION 產品介紹 The HPWS is the chip inductors of a wire w INTRODUCTION 產品介紹 The HPWS is the chip inductors of a wire wound type widely used in the communication applications, such as cellular phones, television tuners, radios, and other electronic devices. The

More information

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010)

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010) ,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN 7-5635-1099-0...............TP36 CIP (2005)076733 : ( 10 ) :100876 : (010 )62282185 : (010)62283578 : publish@bupt.edu.cn : : : 787 mm960 mm 1/

More information

VHDL Timer Exercise

VHDL Timer Exercise FPGA Advantage HDS2003.2 Mentor Graphics FPGA ModelSim Precision FPGA ( ) View All 1. Project HDL Designer Project Project Library project Project .hdp project example project example.hdp

More information

Autodesk Product Design Suite Standard 系統統需求 典型使用用者和工作流程 Autodesk Product Design Suite Standard 版本為為負責建立非凡凡產品的設計師師和工程師, 提供基本概念設計計和製圖工具, 以取得令人驚驚嘆

Autodesk Product Design Suite Standard 系統統需求 典型使用用者和工作流程 Autodesk Product Design Suite Standard 版本為為負責建立非凡凡產品的設計師師和工程師, 提供基本概念設計計和製圖工具, 以取得令人驚驚嘆 Autodesk Product Design Suite Standard 20122 系統統需求 典型使用用者和工作流程 Autodesk Product Design Suite Standard 版本為為負責建立非凡凡產品的設計師師和工程師, 提供基本概念設計計和製圖工具, 以取得令人驚驚嘆的產品設計計 Autodesk Product Design Suite Standard 版本中中包括以下軟體體產品

More information

GH1220 Hall Switch

GH1220 Hall Switch Unipolar Hall Switch - Medium Sensitivity Product Description The DH220 is a unipolar h all switch designed in CMOS technology. The IC internally includes a voltage regulator, Hall sensor with dynamic

More information

Microsoft Word - LP doc

Microsoft Word - LP doc 第七組顯示卡規範書及答標單 1. Nvidia 繪圖晶片 1-1. 1-1-1. 繪圖晶片 : GeForce 8400 系列 ( 含 ) 以上 ( 請註明採用商品之廠牌及型號, 並於型錄標示對 應到主要規格之項次 ) GeForce 1-1-2. 繪圖介面 : 支援 PCI Express 介面 16X( 含 ) 以上高速繪圖晶片 8400 低階顯 1-1-3. 提供 512MB DDR2 SDRAM(

More information

Microsoft PowerPoint - Mentor DFx Solution-vSure.pptx

Microsoft PowerPoint - Mentor DFx Solution-vSure.pptx DFx设计优化解决方案 vsure 李黎 产品经理 lily@sz168.com.cn 15814407390 华软 IT一站式服务商 Mentor Graphics 公 司 简 介 成 立 于 1981 年 总 部 位 于 美 国 的 俄 勒 冈 全 球 EDA 行 业 的 领 导 厂 商 2010 年 2 月 收 购 了 以 色 列 Valor 公 司 从 而 成 为 能 够 为 客 户 提 供

More information

gate level ADMS Power Noise Timing RC RCC Signal Integrity RC RCC Calibre xrc Eldo Hspice spectre DSPF SPEF Calibre xrc reduce thresholds tolerances C

gate level ADMS Power Noise Timing RC RCC Signal Integrity RC RCC Calibre xrc Eldo Hspice spectre DSPF SPEF Calibre xrc reduce thresholds tolerances C Calibre xrc 1 Calibre xrc intrinsic coupled substrate 1 1 intrinsic plate 4 5 intrinsic fringe 1 2 3 6 2 nearbody 3 crossover fringe 6 crossover plate 1 RC 2 Calibre xrc Calibre xrc transistor level gate

More information

Microsoft PowerPoint - chap04.ppt

Microsoft PowerPoint - chap04.ppt 第四章 組合邏輯 4- 組合電路 4-3 設計步驟 組合電路的設計. 由電路的敘述, 決定所需的輸入與輸出的個數並且對每一個輸入與輸出安排一個變數符號 2. 導出真值表並定義輸入與輸出間的關係 3. 對每一個輸出求出以輸入變數為函數之簡化的布林函數 4. 畫出邏輯圖並且證明設計的正確性 BCD 碼到超 3 碼轉換器 2 BCD 到超 3 碼卡諾圖 BCD 到超 3 碼電路圖 3 4-4 二進位加法器

More information

題目預覽~教師專用

題目預覽~教師專用 CH10 積體電路一 選擇題 ( 每題 0.93 分, 共 29.76 分 : 1. D 在數位邏輯中, 反或閘的符號為 (A (B (C (D 2. A 右圖符號表示何種閘 (A 集極開路輸出 (B 射極開路輸出 (C 集極閉路輸出 (D 射極閉路輸出 3. B 右圖 DIP IC 頂視圖, 第一支接腳位置在 (AA 腳 (BB 腳 (CC 腳 (DD 腳 4. B 右圖 符號為 (AAND GATE

More information

Abstract / / B-ISDN ATM Crossbar Batcher banyan N DPA Modelsim Verilog Synopsys Design Analyzer Modelsim FPGA ISE FPGA ATM ii

Abstract / / B-ISDN ATM Crossbar Batcher banyan N DPA Modelsim Verilog Synopsys Design Analyzer Modelsim FPGA ISE FPGA ATM ii 10384 200024024 UDC 2003 5 2003 6 2003 2003 5 i Abstract / / B-ISDN ATM Crossbar Batcher banyan N DPA Modelsim Verilog Synopsys Design Analyzer Modelsim FPGA ISE FPGA ATM ii System On-Chip Design and Performance

More information

iml88-0v C / 8W T Tube EVM - pplication Notes. IC Description The iml88 is a Three Terminal Current Controller (TTCC) for regulating the current flowi

iml88-0v C / 8W T Tube EVM - pplication Notes. IC Description The iml88 is a Three Terminal Current Controller (TTCC) for regulating the current flowi iml88-0v C / 8W T Tube EVM - pplication Notes iml88 0V C 8W T Tube EVM pplication Notes Table of Content. IC Description.... Features.... Package and Pin Diagrams.... pplication Circuit.... PCB Layout

More information

P4i45GL_GV-R50-CN.p65

P4i45GL_GV-R50-CN.p65 1 Main Advanced Security Power Boot Exit System Date System Time Floppy Drives IDE Devices BIOS Version Processor Type Processor Speed Cache Size Microcode Update Total Memory DDR1 DDR2 Dec 18 2003 Thu

More information

1

1 磁軌式讀卡機 1288 系列 使用手冊 Version 1.0 1 2 3 4 5 6 7 8 9 10 11 12 1288 MSR Micro controller : With Decoder Open Visual COM port to read data (UART Interface) From 1288 Or direct control 1288 by sending Command

More information

untitled

untitled 01 1-1 Altera Installer 1-2 1-3 FBBCar 1-4 FPGA 1. 2. 3. 4. FBBCar Altera FPGA FBBCar Quartus II ModelSim-Altera 1-1 1-1 FBBCar 1 220 2 10k 2 1k 2 2k 2 470k 2 1 950nm 2 2 38kHz 2 2 3PIN 2 2 1 1 2 01 Altera

More information

untitled

untitled niosii H:\DB2005\project\niosDK\Example\NiosSmall QuartusII4.2 File -> New Project Wizard Diectory,Name,Top-Level Entity Add Files EDA Tools Setting Finish, OK H:\DB2005\project\niosDK\Example\NiosSmall

More information

圖形10.cdr

圖形10.cdr Workshop on Fully Layout Technology Altera Nios XilinxAltera 2002 Workshop on Fully Layout Technology 1999 2000 IT 2001 32% 2 IC 8.6% IC IC 1 8 2001 7100 2002 1 Feb 250 IC IC IC IC Fully Layout RF GHz

More information

投影片 1

投影片 1 電力電子產業趨勢與照明應用 Power Electronics Industry Trends 2013/10/11 林憲男 Outline 1. News 2. Introduction 3. Power Electronics Industry Trends 4. LED Lighting Application 5. Q & A Power Electronics Field 3 Micro

More information

Edge-Triggered Rising Edge-Triggered ( Falling Edge-Triggered ( Unit 11 Latches and Flip-Flops 3 Timing for D Flip-Flop (Falling-Edge Trigger) Unit 11

Edge-Triggered Rising Edge-Triggered ( Falling Edge-Triggered ( Unit 11 Latches and Flip-Flops 3 Timing for D Flip-Flop (Falling-Edge Trigger) Unit 11 Latches and Flip-Flops 11.1 Introduction 11.2 Set-Reset Latch 11.3 Gated D Latch 11.4 Edge-Triggered D Flip-Flop 11.5 S-R Flip-Flop 11.6 J-K Flip-Flop 11.7 T Flip-Flop 11.8 Flip-Flops with additional Inputs

More information

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi SPHE8202R Design Guide V2.0 JUN, 2007 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com SPHE8202R Design Guide Important Notice

More information

iml v C / 4W Down-Light EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the cur

iml v C / 4W Down-Light EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the cur iml8683-220v C / 4W Down-Light EVM - pplication Notes iml8683 220V C 4W Down Light EVM pplication Notes Table of Content. IC Description... 2 2. Features... 2 3. Package and Pin Diagrams... 2 4. pplication

More information

桌上型個人電腦採購規格說明表

桌上型個人電腦採購規格說明表 第三組顯示卡規範書 1. Nvidia 繪圖晶片 1-1. 1-1-1. 繪圖晶片 :NVIDIA GeForce 8400 系列 ( 含 ) 以上 ( 請註明採用商品之廠牌及型號, 並於型錄標示對 NVIDIA 應到主要規格之項次 ) GeForce 1-1-2. 繪圖介面 : 支援 PCI Express 介面 16X( 含 ) 以上高速繪圖晶片 8400 低階顯 1-1-3. 提供 256MB

More information

電腦設備LP _第七組顯示卡規範書

電腦設備LP _第七組顯示卡規範書 第七組顯示卡規範書 1. Nvidia 繪圖晶片 1-1. 1-1-1. 繪圖晶片 : GeForce 210 系列 ( 含 ) 以上 ( 請註明採用商品之廠牌及型號, 並於型錄標示對 GeForce 1-1-2. 繪圖介面 : 支援 PCI Express 2.0( 含 ) 以上高速繪圖晶片 210 低階顯 1-1-3. 提供 512MB DDR2 SDRAM( 含 ) 以上顯示記憶體, 具 2560

More information

時脈樹設計原則

時脈樹設計原則 時 脈 樹 設 計 原 則 在 高 效 能 應 用 中, 例 如 通 訊 無 線 基 礎 設 施 伺 服 器 廣 播 視 訊 以 及 測 試 和 測 量 裝 置, 當 系 統 整 合 更 多 功 能 並 需 要 提 高 效 能 水 準 時, 硬 體 設 計 就 變 得 日 益 複 雜, 這 種 趨 勢 進 一 步 影 響 到 為 系 統 提 供 參 考 時 序 的 電 路 板 設 計 階 段 (board-level)

More information

AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING

AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING 前言 - Andrew Payne 目录 1 2 Firefly Basics 3 COMPONENT TOOLBOX 目录 4 RESOURCES 致谢

More information

Microsoft Word - ACL chapter02-5ed.docx

Microsoft Word - ACL chapter02-5ed.docx 第 2 章神奇的質數 2.1.1 什麼是質數 1 1 1 打下好基礎 - 程式設計必修的數學思維與邏輯訓練 1 1 0 10 2 3 5 7 4 6 8 9 10 4 10000 1229 1000 168 2 3 5 7 11 13 17 19 23 29 31 37 41 43 47 53 59 61 67 71 73 79 83 89 97 101 103 107 109 113 127 131

More information

热设计网

热设计网 例 例 Agenda Popular Simulation software in PC industry * CFD software -- Flotherm * Advantage of Flotherm Flotherm apply to Cooler design * How to build up the model * Optimal parameter in cooler design

More information

電腦設備LP 第七組顯示卡規範書

電腦設備LP 第七組顯示卡規範書 第七組顯示卡規範書 1. Nvidia 繪圖晶片 1-1. 1-1-1. 繪圖晶片 : GeForce 8400 系列 ( 含 ) 以上 ( 請註明採用商品之廠牌及型號, 並於型錄標示對 應到主要規格之項次 ) GeForce 1-1-2. 繪圖介面 : 支援 PCI Express 介面 16X( 含 ) 以上高速繪圖晶片 8400 低階顯 1-1-3. 提供 512MB DDR2 SDRAM(

More information

桌上型個人電腦採購規格說明表

桌上型個人電腦採購規格說明表 第三組顯示卡規範書 1. Nvidia 繪圖晶片 1-1. 1-1-1. 繪圖晶片 :NVIDIA GeForce 6200 系列 ( 含 ) 以上 ( 請註明採用商品之廠牌及型號, 並於型錄標示對應低階顯示卡到主要規格之項次 ) ( 備註 : 對應 1-1-2. 繪圖介面 : 支援 AGP 介面 8X( 含 ) 以上高速繪圖晶片項次為第 1 1-1-3. 提供 128MB 64 位元 DDR SDRAM(

More information

HC50246_2009

HC50246_2009 Page: 1 of 7 Date: June 2, 2009 WINMATE COMMUNICATION INC. 9 F, NO. 111-6, SHING-DE RD., SAN-CHUNG CITY, TAIPEI, TAIWAN, R.O.C. The following merchandise was submitted and identified by the vendor as:

More information

untitled

untitled 2004-2-16 (3-21) To Luo 207 Xilinx FPGA/CPLD ISE Xilinx Integrated Software Environment 6.1i FPGA VHDL VerilogHDL EDIF ModelSim FPGA FPGA ISE HDL FPGA ISE 7.1 7.1.1 ISE6.1i ISE6.1i ISE ModelSim ISE ModelSim

More information

<4D F736F F D20A7EBBCD0B6B7AABEAAFEA5F3322D3935A67EB2C432A6B8B2C433B2D5C5E3A5DCA564B357BD64AED12E646F63>

<4D F736F F D20A7EBBCD0B6B7AABEAAFEA5F3322D3935A67EB2C432A6B8B2C433B2D5C5E3A5DCA564B357BD64AED12E646F63> 第三組顯示卡規範書 1. Nvidia 繪圖晶片 1-1. 1-1-1. 繪圖晶片 :NVIDIA GeForce 6600 系列 ( 含 ) 以上 ( 請註明採用商品之廠牌及型號, 並於型錄標示對應低階顯示卡到主要規格之項次 ) ( 備註 : 對應 1-1-2. 繪圖介面 : 支援 AGP 介面 8X( 含 ) 以上高速繪圖晶片項次為第 1 1-1-3. 提供 128MB 64 位元 DDR SDRAM(

More information

<4D6963726F736F667420576F7264202D20D5D0B9C9CBB5C3F7CAE9A3A8C9EAB1A8B8E5A3A92E646F63>

<4D6963726F736F667420576F7264202D20D5D0B9C9CBB5C3F7CAE9A3A8C9EAB1A8B8E5A3A92E646F63> 本 次 发 行 概 况 发 行 股 票 类 型 : 人 民 币 普 通 股 (A 股 ) 发 行 股 数 : 1,120 万 股 每 股 面 值 : 1.00 元 每 股 发 行 价 格 : [ ] 元 预 计 发 行 日 期 : [ ] 年 [ ] 月 [ ] 日 拟 上 市 的 证 券 交 易 所 : 发 行 后 总 股 本 : 深 圳 证 券 交 易 所 4,460 万 股 本 公 司 控 股

More information

2001年年度报告正式.PDF

2001年年度报告正式.PDF 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 1 19 20 21 22 23 24 25 26 27 28 29 30 4 3 199413 82,892 1994 3 15 10.1 10.3 97,181 2000 0007 2000 9 30 2000 160 97,181 10 3 109,266.70 11,061.6 10.12% 49,562.4

More information

桌上型個人電腦採購規格說明表

桌上型個人電腦採購規格說明表 第三組顯示卡規範書 1. Nvidia 繪圖晶片 1-1. 1-1-1. 繪圖晶片 :NVIDIA GeForce 7600 系列 ( 含 ) 以上 ( 請註明採用商品之廠牌及型號, 並於型錄標示對低階顯示卡應到主要規格之項次 ) ( 備註 : 對應 1-1-2. 繪圖介面 : 支援 AGP 介面 8X( 含 ) 以上高速繪圖晶片項次為第 1 1-1-3. 提供 128MB 64 位元 DDR SDRAM(

More information

AMP NETCONNECT

AMP NETCONNECT Quantum AMP NETCONNECT 1 2 ATM TSB 95 TIA/EIA 568-A-5 TIA/EIA 568-B Cat 5e / Cat 6 50 / 125m m 3 TSB95 100Ω Cat5 TIA/EIA 568A-5 100Ω Cat5e TIA/EIA 568B 100 Ω Cat6 ISO/IEC 11801 PDAM-3 PDAM-3 Class D (Cat

More information

HC20131_2010

HC20131_2010 Page: 1 of 8 Date: April 14, 2010 WINMATE COMMUNICATION INC. 9 F, NO. 111-6, SHING-DE RD., SAN-CHUNG CITY, TAIPEI, TAIWAN, R.O.C. The following merchandise was submitted and identified by the vendor as:

More information

Microsoft PowerPoint - Ch5 The Bipolar Junction Transistor

Microsoft PowerPoint - Ch5 The Bipolar Junction Transistor O2005: Electronics The Bipolar Junction Transistor (BJT) 張大中 中央大學通訊工程系 dcchang@ce.ncu.edu.tw 中央大學通訊系張大中 Electronics, Neamen 3th Ed. 1 Bipolar Transistor Structures N P 17 10 N D 19 10 N D 15 10 中央大學通訊系張大中

More information

5 6 6 7 7 8 8 9 9 9 9 10 10 10 10 11 11 11 11 11 12 13 13 14 15 17 17 17 18 18 19 19 19 20 20 21 21 22 22 22 23 / 24 24 24 XY 24 Z 25 XYZ 25 25 26 26

5 6 6 7 7 8 8 9 9 9 9 10 10 10 10 11 11 11 11 11 12 13 13 14 15 17 17 17 18 18 19 19 19 20 20 21 21 22 22 22 23 / 24 24 24 XY 24 Z 25 XYZ 25 25 26 26 5 6 6 7 7 8 8 9 9 9 9 10 10 10 10 11 11 11 11 11 12 13 13 14 15 17 17 17 18 18 19 19 19 20 20 21 21 22 22 22 23 / 24 24 24 XY 24 Z 25 XYZ 25 25 26 26 27 27 28 28 28 29 29 29 29 30 30 31 31 31 32 www.tektronix.com

More information

圖形編輯設計簡介 建立電路符號檔與轉換成VHDL程式碼 2

圖形編輯設計簡介 建立電路符號檔與轉換成VHDL程式碼 2 圖形編輯設計法 圖形編輯設計簡介 建立電路符號檔與轉換成VHDL程式碼 2 建立電路符號檔 1.執行 File Create/ Update Create Symbol Files for Current File 時 可將目前的半加法器建立一個電路符號 Halfadd.bsf 3 建立電路符號檔 2.利用 File Open 開啟Halfadd.bsf檔案時 便可查看所建 立的電路符號 Halfadd

More information

iml v C / 0W EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the current flowin

iml v C / 0W EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the current flowin iml8683-220v C / 0W EVM - pplication Notes iml8683 220V C 0W EVM pplication Notes Table of Content. IC Description... 2 2. Features... 2 3. Package and Pin Diagrams... 2 4. pplication Circuit... 3 5. PCB

More information

CC213

CC213 : (Ken-Yi Lee), E-mail: feis.tw@gmail.com 9 [P.11] : Dev C++ [P.12] : http://c.feis.tw [P.13] [P.14] [P.15] [P.17] [P.23] Dev C++ [P.24] [P.27] [P.34] C / C++ [P.35] 10 C / C++ C C++ C C++ C++ C ( ) C++

More information

2/80 2

2/80 2 2/80 2 3/80 3 DSP2400 is a high performance Digital Signal Processor (DSP) designed and developed by author s laboratory. It is designed for multimedia and wireless application. To develop application

More information

<4D6963726F736F667420576F7264202D20C9CFBAA3BFC6BCBCB4F3D1A7D0C5CFA2D1A7D4BA32303136C4EAC7EFBCBEC8EBD1A7B2A9CABFD7CAB8F1BFBCCAD4CAB5CAA9CFB8D4F22D30343036C8B7B6A8B8E5>

<4D6963726F736F667420576F7264202D20C9CFBAA3BFC6BCBCB4F3D1A7D0C5CFA2D1A7D4BA32303136C4EAC7EFBCBEC8EBD1A7B2A9CABFD7CAB8F1BFBCCAD4CAB5CAA9CFB8D4F22D30343036C8B7B6A8B8E5> 上 海 科 技 大 学 信 息 科 学 与 技 术 学 院 年 度 博 士 资 格 考 试 实 施 细 则 一 总 则 本 细 则 由 上 海 科 技 大 学 信 息 科 学 与 技 术 学 院 ( 以 下 简 称 信 息 学 院 ) 教 学 指 导 委 员 会 讨 论 制 定, 适 用 于 信 息 学 院 2014 级 硕 士 研 究 生 的 年 度 博 士 资 格 考 试 信 息 学 院 2014

More information

第一篇文概說第七章公文的用語及標點符號公本篇內容 第一章 緒論 第二章 公文的意義 第三章 公文與高 普 特各類考試 第四章 公文程式之意義及演變 第五章 公文之分類及其行文系統 第六章 公文之結構與行款 第一篇 第一章緒論 003 第一章緒論 等 等 004 最新應用公文 第一篇 第二章公文的意義 005 第二章公文的意義 第一節 一 須為公務員製作之文書 二 須為公務員 職務上 製作之文書 006

More information

目 录

目    录 ... 1... 2... 6...6 1... 6 2... 15 3... 24 4... 42 5... 44 6... 56 7... 60 8... 74... 80 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 Database System Design and Development 1. 2. 3. 4. 5. 6. E-R DBMS

More information

cost downoem Original Equipment Manufacture value up ODM Original Design Manufacture value addedobm Original Brand Manufacture a OEM ODM OBM O

cost downoem Original Equipment Manufacture value up ODM Original Design Manufacture value addedobm Original Brand Manufacture a OEM ODM OBM O * 林榮泰 2009 21 2008 OEM OBM * 32 cost downoem Original Equipment Manufacture value up ODM Original Design Manufacture value addedobm Original Brand Manufacture2006 2005a OEM ODM OBM OEM ODM OBM OEM OBM

More information

BC04 Module_antenna__ doc

BC04 Module_antenna__ doc http://www.infobluetooth.com TEL:+86-23-68798999 Fax: +86-23-68889515 Page 1 of 10 http://www.infobluetooth.com TEL:+86-23-68798999 Fax: +86-23-68889515 Page 2 of 10 http://www.infobluetooth.com TEL:+86-23-68798999

More information

在餐點設計時, 往往會運用不同的質地做搭配, 以達到食用者口感的最佳平衡與變化

在餐點設計時, 往往會運用不同的質地做搭配, 以達到食用者口感的最佳平衡與變化 百變的 廚房世界 廚藝與感官的饗宴 5 26 2014 2 494 在餐點設計時, 往往會運用不同的質地做搭配, 以達到食用者口感的最佳平衡與變化 2014 2 494 27 28 2014 2 494 食物的物理變化 5 廚房好比是一個食物實驗室, 運作時, 都在進行無數的物理與化學變化, 才能把樸實的原料食材轉為一道道令人驚嘆的美味 食物的化學變化 C 2014 2 494 29 62 65 廚房的魔術秀

More information

Presentation Title

Presentation Title 基于模型的可编程 SoC 设计与调试 MathWorks China Tom Shan Application Engineer 2015 The MathWorks, Inc. 1 主要内容 介绍 什么是 Zynq? 设计挑战 Zynq 设计 基于 MBD 的可编程 SoC 设计 代码生成 流程 验证和软硬件划分 UDP 接口 Processor In the Loop(PIL) 验证 新增功能

More information

Huawei Technologies Co

Huawei Technologies Co Testbench Preliminary itator 1 TESTBENCH... 3 2 TESTBENCH... 3 2.1 Testbench... 3 2.2... 4 2.2.1 HDL... 4 2.2.2... 5 2.2.3 PLI... 5 2.3... 6 2.4... 6 2.4.1... 6 2.4.2... 7 3 TESTBENCH... 9 3.1 2-4... 9

More information

Microsoft Word - LR1122B-B.doc

Microsoft Word - LR1122B-B.doc UNISONIC TECHNOLOGIES CO., LTD LOW NOISE ma LDO REGULATOR DESCRIPTION The UTC is a typical LDO (linear regulator) with the features of High output voltage accuracy, low supply current, low ON-resistance,

More information

w w w.chromaate.com Chroma H-S I-V (MPPT) /61500/ Chroma I-V

w w w.chromaate.com Chroma H-S I-V (MPPT) /61500/ Chroma I-V Power Electronics Testings www.chromaate.com Turnkey Test & Automation Solution Provider w w w.chromaate.com Chroma 1. 62000H-S I-V (MPPT) 2. 66200 3. 6500/61500/61800 61800 4. 63800 4 5 9 3 Chroma I-V

More information

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family small, speedy, safe Eextremely modular Up to 64 modules per bus de Quick reaction time: up to 20 µs A new Member of the Cube Family Murrelektronik s modular I/O system expands the field-tested Cube family

More information

01 用 ActionScript 3.0 開始認識 Flash CS3 Flash 是應用在網路上非常流行且高互動性的多媒體技術, 由於擁有向量圖像體積小的優點, 而且 Flash Player 也很小巧精緻, 很快的有趣的 Flash 動畫透過設計師的創意紅遍了整個網際網路 雖然很多人都對 Fl

01 用 ActionScript 3.0 開始認識 Flash CS3 Flash 是應用在網路上非常流行且高互動性的多媒體技術, 由於擁有向量圖像體積小的優點, 而且 Flash Player 也很小巧精緻, 很快的有趣的 Flash 動畫透過設計師的創意紅遍了整個網際網路 雖然很多人都對 Fl 01 用 ActionScript 3.0 開始認識 Flash CS3 Flash 是應用在網路上非常流行且高互動性的多媒體技術, 由於擁有向量圖像體積小的優點, 而且 Flash Player 也很小巧精緻, 很快的有趣的 Flash 動畫透過設計師的創意紅遍了整個網際網路 雖然很多人都對 Flash 可以做精美的網路動畫並不陌生, 但是實際上 Flash 不僅如此, 只要搭配 ActionScript

More information

10-2 SCJP SCJD 10.1 昇陽認證 Java 系統開發工程師 的認證程序 Java IT SCJD

10-2 SCJP SCJD 10.1 昇陽認證 Java 系統開發工程師 的認證程序 Java IT SCJD 10 SCJD 簡介 Java 10-2 SCJP SCJD 10.1 昇陽認證 Java 系統開發工程師 的認證程序 Java IT SCJD 10 SCJD 10-3 Java Java SCJD 7 Swing RMI 10.1.1 The Assignment The Essay 9 10 10-4 SCJP SCJD 90 10.1.2 SCJP Java 90 120 Swing 10

More information

PowerPoint Presentation

PowerPoint Presentation ITM omputer and ommunication Technologies Lecture #4 Part I: Introduction to omputer Technologies Logic ircuit Design & Simplification ITM 計算機與通訊技術 2 23 香港中文大學電子工程學系 Logic function implementation Logic

More information

600 500 400 300 200 100 0 2007 2008 2009 2010 2014 2015 503 2005 2006 2007 2008 2009 2010 2011(e) 2015(f) ( ) 200 260 340 400 310 450 503 900 4,000 5,000 6,000 7,000 7,000 8,000 9,000 20,000 4 PMC was

More information

Microsoft PowerPoint - chap05.ppt

Microsoft PowerPoint - chap05.ppt 第五章同步序向邏輯 5- 序向電路 同步時脈序向電路 5-2 閂鎖器 SR 閂鎖器 (SR Latch): 由 NOR 閘所構成之 SR 閂鎖器 由 NAND 閘所構成之 SR 閂鎖器 2 具有控制輸入之 SR 閂鎖器 D 型閂鎖器 (D Latch) 3 閂鎖器之符號圖 5-3 正反器 閂鎖器和正反器之時脈響應 4 邊緣觸發 D 型正反器 (Edge- Triggered D Flip-Flop)

More information

<4D F736F F D20C4CFBEA9B0A3CBB9B6D9D7D4B6AFBBAFB9C9B7DDD3D0CFDEB9ABCBBECAD7B4CEB9ABBFAAB7A2D0D0B9C9C6B1D5D0B9C9CBB5C3F7CAE9A3A8C9EAB1A8B8E C4EA34D4C23239C8D5B1A8CBCDA3A9>

<4D F736F F D20C4CFBEA9B0A3CBB9B6D9D7D4B6AFBBAFB9C9B7DDD3D0CFDEB9ABCBBECAD7B4CEB9ABBFAAB7A2D0D0B9C9C6B1D5D0B9C9CBB5C3F7CAE9A3A8C9EAB1A8B8E C4EA34D4C23239C8D5B1A8CBCDA3A9> 南 京 埃 斯 顿 自 动 化 股 份 有 限 公 司 NANJING ESTUN AUTOMATION CO., LTD ( 南 京 江 宁 经 济 技 术 开 发 区 将 军 南 路 155 号 ) 首 次 公 开 发 行 股 票 招 股 说 明 书 ( 申 报 稿 ) 保 荐 人 暨 主 承 销 商 ( 深 圳 市 福 田 区 民 田 路 178 号 华 融 大 厦 5 6 楼 ) 声 明 :

More information

6 2012 8476你猜得到它是什麼嗎 它就是 高分子! 生活中的高分子 有種物質的重要性不亞於我們所呼吸的空氣, 不管身在何處, 都有它的存在, 它甚至就隱藏在人體裡面! 人類若失去了它, 就得回到遠古時代, 甚至可能終止生命 高分子是什麼 高分子是由千個 甚至萬個以上的原子所組成, 呈現柔軟的特性 日常生活中使用的塑膠袋就是由高分子製造的, 人體組成中的 DNA 也是一種高分子 2012 8476

More information

逢甲大學

逢甲大學 Behavior Model DES PCI DES PCI DES DES(Data Encryption Standard) IBM DES DES DES DES DES DES / DES DES P. - (Round) / - k,k,,k k,k,,k P. - (Initial Permutation) L R R k f L (XOR) R R L Ri = Li- XOR f(ri-,ki)

More information

强 度 调 制 器 相 位 延 迟 器 表 面 声 光 偏 转 器 磁 光 隔 离 器 偏 振 控 制 器 等 器 件 的 原 理 及 应 用 讲 述 介 质 波 导 波 导 色 散 光 纤 模 式 等 概 念 结 合 基 础 介 绍 学 科 前 沿 知 识 30130333 精 密 仪 器 设 计

强 度 调 制 器 相 位 延 迟 器 表 面 声 光 偏 转 器 磁 光 隔 离 器 偏 振 控 制 器 等 器 件 的 原 理 及 应 用 讲 述 介 质 波 导 波 导 色 散 光 纤 模 式 等 概 念 结 合 基 础 介 绍 学 科 前 沿 知 识 30130333 精 密 仪 器 设 计 精 密 仪 器 系 00130022 光 盘 存 储 及 应 用 技 术 2 学 分 32 学 时 CD ROM and Its Applications 本 课 程 主 要 讨 论 光 学 数 字 数 据 存 储 技 术 基 本 原 理, 光 盘 读 写 擦 系 统 的 种 类 特 点, 工 作 机 理, 信 号 读 出 时 钟 恢 复 均 衡 信 号 评 价, 光 盘 数 据 格 式 与 数 据

More information

Master Thesis_專門用來製作目錄.doc

Master Thesis_專門用來製作目錄.doc Introduction All-IP [1-4] All-IP packet switching long delay time, jitter packet loss All-IP Budget-Based QoS End-to-End QoS (real time on demand) 1 1.1 Circuit Switching Packet Switching DWDM IP VoIP

More information