Revision History Draft Date Revision No. Description 2019/04/06 V 更新为 B2 版本 2018/11/22 V 初始版本 公司官网 : 销售邮箱

Size: px
Start display at page:

Download "Revision History Draft Date Revision No. Description 2019/04/06 V 更新为 B2 版本 2018/11/22 V 初始版本 公司官网 : 销售邮箱"

Transcription

1

2 Revision History Draft Date Revision No. Description 2019/04/06 V 更新为 B2 版本 2018/11/22 V 初始版本 公司官网 : 销售邮箱 公司总机 : /20

3 目 录 1 开发板简介 典型运用领域 软硬件参数 开发资料 电气特性 机械尺寸图 产品订购型号 开发板套件清单 技术支持 增值服务 更多帮助 附录 A 开发例程 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /20

4 1 开发板简介 基于 Xilinx Zynq-7000 系列 SoC 高性能处理器, 集成 PS 端双核 ARM Cortex-A9 + PL 端 Kintex-7 架构 28nm 可编程逻辑资源 ; pin to pin 兼容 XC7Z035/XC7Z045/XC7Z100-2FFG900I;NOR FLASH 容量为 256Mbit;PS 与 PL 分别支持单通道 32bit DDR 总线 (2x 16bit DDR),512M/1GByte 容量可选 ; PS 端主频最高可达 1GHz, 单核运算能力高达 2.5 DMIPS/MHz, 内部集成 8 通道 DMA 控制器, 通过外部存储接口可连接各种存储设备 ; PL 端拥有 275K/350K/444K 支持 PS 端配置的可编程逻辑单元, 拥有 17.6/19.2/26.5Mbit Block RAM, 具备 16 对 GTX 高速串行收发器, 每通道通信速率高达 12.5Gbit/s; 外设接口非常丰富, 集成双千兆以太网 USB 2.0 UART XADC Micro SD JTAG 常见接口, 同时引出 RS232 RS485 CAN 工业控制总线 ; 底板 FMC 接口引出 8 对 GTX, 支持高速 ADC DAC 和视频输入输出等 FMC-HPC 标准模块, 兼容 FMC-LPC 标准 ; 引出 4 路 SFP+ 接口, 传输速率高达 10Gbit/s; 引出 PCIe SATA 接口, 满足高速数据处理存储需求 ; 支持 1 路电阻 LCD 显示接口,1 路通用 LVDS 显示屏接口, 满足常规显示需求 ; 集成丰富的视频输入输出接口,1 路 HDMI IN + 1 路 HDMI OUT 接口, 支持 1080P60 高清视频传输 ;2 路 CAMERA 接口, 支持双目摄像头, 还可以灵活接入视频输出模块 ; 具备 2 路 CameraLink 接口, 支持 Full 模式, 可以实现工业相机实时检测与处理系统机器视觉需求 ; 开发板大小为 260mm*142.75mm, 采用工业级精密 B2B 高速连接器与核心板相连, 防反插, 连接稳定, 保证信号完整性 ; 提供 SoC 综合开发 Baremetal 裸机开发 FREERTOS 实时操作系统 HDL/HLS 开发等例程, 支持 SoC 一体化开发 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /20

5 图 1 开发板正面图 图 2 开发板斜视图 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /20

6 图 3 开发板侧视图 1 图 4 开发板侧视图 2 图 5 开发板侧视图 3 图 6 开发板侧视图 4 TLZ7xH-EVM 是一款由创龙基于 SOM-TLZ7xH 核心板设计的开发板, 底板采用沉金无铅工艺的 6 层板设计, 为用户提供了 SOM-TLZ7xH 核心板的测试平台, 用于快速评估核心板的整体性能 SOM-TLZ7xH 引出丰富的资源信号引脚, 二次开发极其容易, 客户只需要专注上层运用, 降低了开发难度和时间成本, 让产品快速上市, 及时抢占市场先机 基于创龙提供的丰富 Demo 程序, 用户可同时实现硬件编程和软件编程功能, 完美解决 SoC 一体化开发难题, 创龙还将协助客户进行底板设计和软件开发 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /20

7 图 7 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /20

8 2 典型运用领域 工业电机控制 医疗设备 雷达声纳 机器视觉 电力采集 LTE 无线电和基带 汽车驾驶员辅助 3 软硬件参数 硬件框图 图 8 开发板硬件框图 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /20

9 图 9 开发板硬件资源图解 1 图 10 开发板硬件资源图解 2 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /20

10 硬件参数 表 1 CPU RAM ROM emmc On-Chip Memory L2 Cache Logic Cell Xilinx Zynq SoC XC7Z035/XC7Z045/XC7Z100-2FFG900I: 双核 ARM Cortex-A9 + Kintex-7,2.5 DMIPS/MHz per CPU,ARM 主频可高达 1GHz PS: 单通道 32bit DDR 总线,512M/1GByte 可选 PL: 单通道 32bit DDR 总线,512M/1GByte 可选 256Mbit QSPI NOR FLASH 4/8GByte 256KByte 512KByte 275/350/444K DSP Slice 900/2020 B2B Connector 2x 140pin 公座,2x 140pin 母座,B2B 高速连接器, 间距 0.5mm, 合高 7.0 mm, 共 560pin 2x 供电指示灯 ( 核心板 1 个, 底板 1 个 ) LED 1x PL 端 DONE 灯 ( 核心板 1 个 ) 5x 用户指示灯 ( 核心板 2 个, 底板 3 个 ) 1x RESET 复位按键 KEY 1x PROG PL 复位按键 2x 用户按键 SD XADC Ethernet Watchdog 1x Micro SD 接口 2x 2pin 排针接口,2.54mm 间距, 单通道专用差分输入,1MSPS 转换率 1x PS RGMII,RJ45 接口,10/100/1000M 自适应 1x PL RGMII,RJ45 接口,10/100/1000M 自适应 1x Watchdog,3pin,2.54mm 间距, 通过跳线帽配置 1x UART,Micro USB 接口,PS 调试串口 UART 1x RS232 串口 (PL 端 IO), 全双工模式,DB9 1x RS485 串口 (PL 端 IO), 半双工模式,3pin 3.81mm 绿色端子 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /20

11 CAN USB PCIe IO SATA HDMI DISPLAY CAMERA CameraLink SFP+ LVDS 2x CAN(PL 端 IO),3pin 3.81mm 绿色端子 4x USB 2.0 Host 接口, 使用 HUB 扩展 1x PCIe Gen2,64pin,2 通道, 每通道最高通信速率 5GBaud 1x 400pin FMC 连接器,1.27mm 间距,HPC 标准 1x 7pin SATA 接口,150MHz LVDS 差分时钟 1x HDMI OUT,19pin,A 型 HDMI 座 1x HDMI IN,19pin,A 型 HDMI 座 1x 电阻屏,40pin FPC 母座, 间距 0.5mm 2x Camera IN,2x 10pin 排母接口, 间距 2.54mm 2x CameraLink Base 接口, 支持 Full 模式 4x SFP+ 光模块接口, 支持万兆光模块, 由高速串行收发器引出 2x 15pin 排针, 通用 LVDS 屏接口, 间距 2.00mm 2x SMA,GTX CLK 差分时钟 SMA 2x SMA,GTX RX 差分信号 2x SMA,GTX TX 差分信号 RTC JTAG BOOT SET FAN SWITCH POWER 1x CR 电池座,3V, 可充电 1x 14pin JTAG 接口, 间距 2.0mm 1x 6bit 拨码开关 1x FAN,3pin,12V 供电, 间距 2.54mm 1x 电源开关 1x 直流输入 DC005 电源接口, 外径 5.5mm, 内径 2.1mm 公司官网 : 销售邮箱 公司总机 : /20

12 软件参数 表 2 ARM 端软件支持 Linux-4.9,FreeRTOS, 裸机 Vivado 版本号 软件开发套件提供 PetaLinux ,Xilinx SDK ,Xilinx HLS 驱动支持 QSPI NOR FLASH USB 2.0 LED JTAG Ethernet 7in Touch Screen LCD(Res) RTC CAMERA RS485 DDR3 emmc BUTTON MMC/SD CAN XACD PCIe RS232 4 开发资料 (1) 提供核心板引脚定义 可编辑底板原理图 可编辑底板 PCB 芯片 Datasheet, 缩短硬件设计周期 ; (2) 提供系统烧写镜像 内核驱动源码, 以及丰富的 Demo 程序 ; (3) 提供完整的平台开发包 入门教程, 节省软件整理时间, 上手容易 ; (4) 提供详细的 SoC 综合开发教程, 解决一体化开发瓶颈 部分开发例程详见附录 A, 开发例程主要包括 : 基于 Zynq 的 All-Programmable-SoC 例程 基于 PS 的 Baremetal(NoOS) 裸机例程 基于 PL(FPGA) 的 HDL HLS 开发例程 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /20

13 基于 Zynq 的综合例程 FREERTOS 开发例程 5 电气特性 工作环境 表 3 环境参数 最小值 典型值 最大值 核心板工业级温度 -40 C / 85 C 核心板工作电压 / 5.0V (±5%) / 底板工作电压 / 12.0V (±10%) / 功耗测试 表 4 类别 典型值电压 典型值电流 典型值功耗 核心板 5V 0.536A 2.68W 整板 12V 0.745A 8.94W 备注 : 功耗基于创龙 TLZ7xH-EVM 开发板, 外接 7 寸电阻屏,PS 端进入 Linux 系统,PL 端加载按键与 LED 程序测得 6 机械尺寸图 表 5 开发板 核心板 PCB 尺寸 260mm*142.75mm 100mm*62mm 安装孔数量 12 个 4 个 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /20

14 图 11 核心板机械尺寸图 图 12 开发板机械尺寸图 公司官网 : 销售邮箱 公司总机 : /20

15 7 产品订购型号 表 6 型号 ARM 主频 emmc DDR3 芯片型号温度级别 SOM-TLZ GE-8/8GD-I 双核 800MHz 4GByte PS:1GByte PL:1GByte XC7Z100 工业级 SOM-TLZ GE-8/8GD-I 双核 800MHz 8GByte PS:1GByte PL:1GByte XC7Z100 工业级 备注 : 标配为 SOM-TLZ GE-8/8GD-I, 其他型号请与相关销售人员联系 型号参数解释 图 13 8 开发板套件清单 表 7 名称 TLZ7xH-EVM 开发板 ( 含核心板 ) 数量 1 块 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /20

16 12V6A 电源适配器 资料光盘 1 个 1 套 7 寸电阻触摸屏 1 个 Micro USB 线 Micro SD 系统卡读卡器直连网线 SMA 连接线散热片风扇 1 根 1 个 1 个 1 根 4 根 1 个 1 个 9 技术支持 (1) 协助底板设计和测试, 减少硬件设计失误 ; (2) 协助解决按照用户手册操作出现的异常问题 ; (3) 协助产品故障判定 ; (4) 协助正确编译与运行所提供的源代码 ; (5) 协助进行产品二次开发 ; (6) 提供长期的售后服务 10 增值服务 主板定制设计 核心板定制设计 嵌入式软件开发 项目合作开发 技术培训 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /20

17 更多帮助销售邮箱 技术邮箱 创龙总机 : 技术热线 : 创龙官网 : 技术论坛 : 线上商城 : Zynq 交流群 : Xilinx 官网 : Xilinx 论坛 : Xilinx WIKI: 公司官网 : 销售邮箱 公司总机 : /20

18 附录 A 开发例程 表 8 All-Programmable-SoC 例程 例程 tl-axi-gpio-led-demo tl-axi-timer-pwm-demo tl-axi-uart-demo tl-axi-video-display-demo tl-axi-xadc-demo tl-emio-gpio-led-demo tl-emio-uart-demo tl-emio-emac-demo tl-axi-ethernet-demo tl-axi-ddr-demo 功能通过 axi_gpio IP 核控制 LED 通过 axi_timer IP 核输出 PWM 通过 axi_uart IP 核拓展一路 UART 通过 tpg 和 vtc IP 核输出图像通过 axi_xadc IP 核读取 CPU 温度和内部电压通过 emio ps_gpio 控制 LED 通过 EMIO ps_uart 拓展一路 UART 通过 emio ps_emac 千兆网口通过 axi_ethernet IP 核扩展一路千兆网口通过 AXI-GP 实现对 PL 端 DDR 内存的读写 表 9 Baremetal(NoOS) 裸机例程 例程 功能 tl-led-flash tl-key-led-demo tl-uart-echo tl-qspi-flash 控制 LED 闪烁通过 KEY 控制 LED 状态 UART 串口回环 QSPI 读写操作 表 10 FPGA HLS 例程 例程 功能 tl-hls-led-flash 控制 LED 闪烁 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /20

19 tl-hls-key-led-demo tl-hls-sobel-demo tl-hls-matrix-demo 通过 KEY 控制 LED 状态 Sobel 边缘检测算法 矩阵运算的 HLS 实现 表 11 FPGA HDL 例程 例程 功能 tl-hdl-led-flash tl-hdl-key-led-demo tl-hdl-uart-echo tl-hdl-vga-display-demo tl-hdl-lcd-display-demo 控制 LED 闪烁通过 KEY 控制 LED 状态 UART 串口回环输出 RGB 条纹到 VGA 显示输出 RGB 条纹到 LCD 显示 表 12 FREERTOS 例程 例程 功能 tl-rtos-led-flash tl-rtos-key-led-demo tl-rtos-uart-echo 控制 LED 闪烁 通过 KEY 控制 LED 状态 UART 串口回环 lwip_demo 表 13 综合例程 例程 tl-camera-display tl-camera-edge-display tl-dual-cammera-display-eth 功能摄像头视频采集, 并实时显示摄像头视频采集, 边沿检测算法, 并实时显示双路摄像头同时采集并通过 mjpeg stream 在 PC 端通过网页显示 公司官网 : 销售邮箱 公司总机 : /20

20 tl-ad7606-fft tl-ad7606-fft-web tl-hdmi-capture-display tl-cameralink-display tl-cameralink-edge-display 采集 AD7606 的数据, 并使用 FFT 进行波形转换, 数据传到 ARM 端保存成数据文件采集 AD7606 的数据, 并使用 FFT 进行波形转换, 原始数据和转换数据传到 ARM 端, 通过 WEB 登录 ARM 实时显示 AD7606 的数据 HDMI 视频输入采集, 并通过 HDMI 实时显示 CameraLink 接收相机数据通过 HDMI 显示 CameraLink 接收相机数据, 做边沿减仓算法, 并通过 HDMI 实时显示 公司官网 : 销售邮箱 公司总机 : /20

Revision History Draft Date Revision No. Description 2018/7/9 V 修改核心版简介 硬件参数 产品订购型号 2018/2/8 V 更换开发板底板图片为 A2 版 2018/1/31 V 勘误 2017/1

Revision History Draft Date Revision No. Description 2018/7/9 V 修改核心版简介 硬件参数 产品订购型号 2018/2/8 V 更换开发板底板图片为 A2 版 2018/1/31 V 勘误 2017/1 Revision History Draft Date Revision No. Description 2018/7/9 V1.3 1. 修改核心版简介 硬件参数 产品订购型号 2018/2/8 V1.2 1. 更换开发板底板图片为 A2 版 2018/1/31 V1.1 1. 勘误 2017/11/23 V1.0 1. 初始版本 公司官网 :www.tronlong.com 销售邮箱 :sales@tronlong.com

More information

Revision History Draft Date Revision No. Description 2018/2/8 V 更换开发板底板图片为 A2 版 2018/1/31 V 勘误 2017/11/23 V 初始版本 公司官网 :

Revision History Draft Date Revision No. Description 2018/2/8 V 更换开发板底板图片为 A2 版 2018/1/31 V 勘误 2017/11/23 V 初始版本 公司官网 : Revision History Draft Date Revision No. Description 2018/2/8 V1.2 1. 更换开发板底板图片为 A2 版 2018/1/31 V1.1 1. 勘误 2017/11/23 V1.0 1. 初始版本 公司官网 :www.tronlong.com 销售邮箱 :sales@tronlong.com 公司总机 :020-8998-6280 2/15

More information

SOM-TL18核心板规格书

SOM-TL18核心板规格书 Revision History Draft Date Revision No. Description 2017/10/13 V1.0 1. 初始版本 公司官网 :www.tronlong.com 销售邮箱 :sales@tronlong.com 公司总机 :020-8998-6280 2/18 目 录 1 开发板简介... 4 2 典型运用领域... 7 3 软硬件参数... 7 4 开发资料...

More information

Revision History Draft Date Revision No. Description 2018/08/31 V 勘误 电气特性, 机械尺寸图 部分内容 2018/02/26 V 排版更新, 内容修改 2. 添加 AM437x 资源对比图, 更新型号参数解释

Revision History Draft Date Revision No. Description 2018/08/31 V 勘误 电气特性, 机械尺寸图 部分内容 2018/02/26 V 排版更新, 内容修改 2. 添加 AM437x 资源对比图, 更新型号参数解释 Revision History Draft Date Revision No. Description 2018/08/31 V1.4 1. 勘误 电气特性, 机械尺寸图 部分内容 2018/02/26 V1.3 1. 排版更新, 内容修改 2. 添加 AM437x 资源对比图, 更新型号参数解释图 3. 增加产品订购型号 2017/07/26 V1.2 1. 排版修改 2016/2/18 V1.1

More information

Revision History Draft Date Revision No. Description 2018/09/07 V 修改电气特性参数 2018/02/26 V /01/12 V 排版更新, 内容修改 2. 添加 AM437x 资源对比图, 更新开

Revision History Draft Date Revision No. Description 2018/09/07 V 修改电气特性参数 2018/02/26 V /01/12 V 排版更新, 内容修改 2. 添加 AM437x 资源对比图, 更新开 Revision History Draft Date Revision No. Description 2018/09/07 V1.5 1. 修改电气特性参数 2018/02/26 V1.4 2018/01/12 V1.3 1. 排版更新, 内容修改 2. 添加 AM437x 资源对比图, 更新开发板机械尺寸图 3. 增加产品订购型号 1. 开发板更新为 A2 版本 2. 更新 LOGO 开发板封面图

More information

SOM-TL18核心板规格书

SOM-TL18核心板规格书 Revision History Draft Date Revision No. Description 2017/12/25 V1.3 1. 规格书文档内容勘误 2. 修改电气特性参数 2017/03/24 V1.2 1. 添加产品认证和开发例程 2016/12/16 V1.1 1. 修改简介和应用领域 2016/08/16 V1.0 1. 初始版本 公司官网 :www.tronlong.com

More information

SOM-TL665x核心板规格书

SOM-TL665x核心板规格书 2015 SOM-TL665x 核心板规格书 更多产品信息请浏览 :www.tronlong.com 广州创龙电子科技有限公司 2015/6/1 Revision History Revision No. Description Draft Date V1.0 1. 初始版本 2015/6/1 公司总机 :020-8998-6280 公司官网 :www.tronlong.com 2/13 阅前须知

More information

TL5728-EasyEVM 开发板硬件说明书 Revision History Draft Date Revision No. Description 2018/04/04 V 开发板版本更新为 A3, 文档格式校订 2017/01/16 V 添加视频输入拓展口 2. 修改

TL5728-EasyEVM 开发板硬件说明书 Revision History Draft Date Revision No. Description 2018/04/04 V 开发板版本更新为 A3, 文档格式校订 2017/01/16 V 添加视频输入拓展口 2. 修改 TL5728-EasyEVM 开发板硬件说明书 Revision History Draft Date Revision No. Description 2018/04/04 V1.2 1. 开发板版本更新为 A3, 文档格式校订 2017/01/16 V1.1 1. 添加视频输入拓展口 2. 修改 USB 介绍错漏 2016/10/26 V1.0 1. 初始版本 公司官网 :www.tronlong.com

More information

目 录 前言 处理器 FLASH RAM QSPI Flash 加密芯片 电源接口和拨码开关 JTAG 仿真器接口 LCD 触摸屏接口 LED 指示灯 按键.

目 录 前言 处理器 FLASH RAM QSPI Flash 加密芯片 电源接口和拨码开关 JTAG 仿真器接口 LCD 触摸屏接口 LED 指示灯 按键. TL5728-IDK 开发板硬件说明书 Revision History Draft Date Revision No. Description 2018/04/10 V1.1 1. 开发板版本更新为 A3 版 2017/06/20 V1.0 1. 初始版本 公司官网 :www.tronlong.com 销售邮箱 :sales@tronlong.com 公司总机 :020-8998-6280 1/33

More information

SOM-TL28335核心板规格书

SOM-TL28335核心板规格书 2015 SOM-TL28335 核心板规格书 更多产品信息请浏览 :www.tronlong.com 广州创龙电子科技有限公司 2015/9/25 RevisionHistory Revision No. Description Draft Date V1.0 1. 初始版本 2015/9/25 公司总机 :020-8998-6280 公司官网 :www.tronlong.com 2/14 阅前须知

More information

Revision History Draft Date Revision No. Description 2017/12/19 V 排版修改 2. 修改附录 A 例程 3. 替换封面 产品图 机械尺寸图 硬件资源图解 4. 修改产品订购型号以及型号参数解释图 5. 修改硬件参数命名 20

Revision History Draft Date Revision No. Description 2017/12/19 V 排版修改 2. 修改附录 A 例程 3. 替换封面 产品图 机械尺寸图 硬件资源图解 4. 修改产品订购型号以及型号参数解释图 5. 修改硬件参数命名 20 Revision History Draft Date Revision No. Description 2017/12/19 V1.2 1. 排版修改 2. 修改附录 A 例程 3. 替换封面 产品图 机械尺寸图 硬件资源图解 4. 修改产品订购型号以及型号参数解释图 5. 修改硬件参数命名 2016/1/30 V1.1 1. 排版修改 2014/12/24 V1.0 1. 初始版本 公司官网 :www.tronlong.com

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCAB5D1E9CAD2B7BDB0B82E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCAB5D1E9CAD2B7BDB0B82E646F63> 目 录 一 嵌 入 式 系 统 的 应 用 及 前 景... - 1 - 二 目 前 嵌 入 式 系 统 教 学 现 状 和 实 验 体 系 的 建 设... - 1 - 三 嵌 入 式 教 学 在 本 科 生 中 的 教 学 难 点... - 1 - 四 教 学 难 点 解 决 方 法... - 2-1 选 择 S+core7 核 学 习... - 2-2 选 择 ecos 操 作 系 统 进 行

More information

j_xilinx-training-courses_2012.pdf

j_xilinx-training-courses_2012.pdf Xilinx Training Catalog ... 2... 3-7 FPGA ISE... 8 FPGA... 9 FPGA... 10 FPGA... 11 Spartan-6... 12 Virtex-6... 13 7 FPGA... 14 PlanAhead... 15 PlanAhead... 16 ChipScope Pro... 17... 18... 19... 20 LogiCORE

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63> 嵌 入 式 系 统 设 计 师 考 试 大 纲 一 考 试 说 明 1 考 试 要 求 : (1) 掌 握 科 学 基 础 知 识 ; (2) 掌 握 嵌 入 式 系 统 的 硬 件 软 件 知 识 ; (3) 掌 握 嵌 入 式 系 统 分 析 的 方 法 ; (4) 掌 握 嵌 入 式 系 统 设 计 与 开 发 的 方 法 及 步 骤 ; (5) 掌 握 嵌 入 式 系 统 实 施 的 方 法

More information

SOM-TL18核心板规格书

SOM-TL18核心板规格书 Revision History Draft Date Revision No. Description 2017/12/18 V1.2 1. 排版修改 2. 更新为 A2 版, 替换封面 产品图, 新增硬件框图 3. 修改附录 A 例程 4. 修改产品订购型号及替换产品参数解释图 5. 修改硬件参数命名及区分 DSP 端和 FPGA 端参数 2016/2/4 V1.1 1. 排版修改 2014/12/24

More information

HMI COM1 RS SIEMENSE S7-200 RS485

HMI COM1 RS SIEMENSE S7-200 RS485 目录 第一部分维控人机界面串口引脚定义...2 1 LEVI777T COM1 引脚定义原理图...2 2 LEVI777T COM2 引脚定义原理图...2 3 LEVI908T COM1 引脚定义原理图...2 4 LEVI908T COM2/COM3 引脚定义原理图...3 第二部分通信针头...4 1 通信针头...4 第三部分各 PLC 与 LEVI 通信线接法...5 1 西门子 S7-200

More information

SOM-TL18核心板规格书

SOM-TL18核心板规格书 Revision History Draft Date Revision No. Description 2016/1/29 V1.1 1. 排版修改 2014/7/30 V1.0 1. 初始版本 公司官网 :www.tronlong.com 销售邮箱 :sales@tronlong.com 公司总机 :020-8998-6280 2/26 公司官网 :www.tronlong.com 销售邮箱 :sales@tronlong.com

More information

深圳市海天雄电子有限公司 Shenzhen Haitianxiong Electronic Co., Ltd. CES-4418-CORE( 邮票口 ) 产品手册 SAMSUNG ARM 核心板 Rev. V1.0 Date:

深圳市海天雄电子有限公司 Shenzhen Haitianxiong Electronic Co., Ltd. CES-4418-CORE( 邮票口 ) 产品手册 SAMSUNG ARM 核心板 Rev. V1.0 Date: 深圳市海天雄电子有限公司 Shenzhen Haitianxiong Electronic Co., Ltd. CES-4418-CORE( 邮票口 ) 产品手册 Rev. V1.0 Date:2017-06-02 www.nrisc.com 简介 CES-4418 核心板是一款低功耗 高性能的嵌入式 ARM 主板, 使用 Samsung 高端主流 ARM 处理器 :S5P4418 四核 Cortex-A9,

More information

SOM-TL18核心板规格书

SOM-TL18核心板规格书 Revision History Draft Date Revision No. Description 2018/8/1 V1.2 1. 排版修改 2. 修改附录 A 例程 3. 更换开发板机械尺寸图 产品图 封面 硬件资源图解 4. 修改硬件参数命名 5. 修改产品订购型号和产品参数解释图 2016/02/04 V1.1 1. 排版修改 2015/04/22 V1.0 1. 初始版本 公司官网

More information

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input 06 6 6 HR7PPSC HR7PPSD SOP SOP6 +input 06 6 +input 06 6 6 6 HR7PERB SSOP0 7+input 06 6 6 HR7PESC

More information

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010)

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010) ,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN 7-5635-1099-0...............TP36 CIP (2005)076733 : ( 10 ) :100876 : (010 )62282185 : (010)62283578 : publish@bupt.edu.cn : : : 787 mm960 mm 1/

More information

中文手册

中文手册 PCC-3428 PC/104 1. PCC-3428 1.1 PCC-3428 90mm 96mm ST CPU STPC Atlas Atlas CPU 486 DX/DX2 CPU DX2 133MHz Atlas 2D LCD/CRT 100MHz SDRAM 64MBytes PCC-3428 10/100Mbps DOC EIDE USB PC/104 ST STPC Atlas STPC

More information

Quidway® S8500系列万兆核心路由交换机

Quidway®  S8500系列万兆核心路由交换机 Quidway NetEngine 20/20E 系列路由器订购信息 1 NetEngine 20-8/4/2 订购信息表 主机系统 RT-NE20-8-CHASSIS 5U 高度路由器机箱 必配 RT-NE20-4-CHASSIS 3U 高度路由器机箱 (NE20-4) 必配 RT-NE20-2-CHASSIS 3U 高度路由器机箱 (NE20-2) 必配 RT-NE20-RPU-512M 路由处理单元

More information

SOM-TL18核心板规格书

SOM-TL18核心板规格书 Revision History Draft Date Revision No. Description 2016/02/04 V1.1 1. 排版修改 2015/04/22 V1.0 1. 初始版本 公司官网 :www.tronlong.com 销售邮箱 :sales@tronlong.com 公司总机 :020-8998-6280 2/28 目 录 1 开发板简介... 4 2 典型运用领域...

More information

Revision History Draft Date Revision No. Description 2017/12/18 V 排版修改 2. 更新为 A2 版, 替换封面 产品图, 新增硬件框图 3. 修改附录 A 例程 4. 修改产品订购型号及替换产品参数解释图 5. 修改硬件参

Revision History Draft Date Revision No. Description 2017/12/18 V 排版修改 2. 更新为 A2 版, 替换封面 产品图, 新增硬件框图 3. 修改附录 A 例程 4. 修改产品订购型号及替换产品参数解释图 5. 修改硬件参 Revision History Draft Date Revision No. Description 2017/12/18 V1.2 1. 排版修改 2. 更新为 A2 版, 替换封面 产品图, 新增硬件框图 3. 修改附录 A 例程 4. 修改产品订购型号及替换产品参数解释图 5. 修改硬件参数命名及区分 DSP 端和 FPGA 端参数 2016/2/4 V1.1 1. 排版修改 2014/12/24

More information

PCM-3386用户手册.doc

PCM-3386用户手册.doc PCM-3386 BBPC-4x86 10/100M PC/104 (Lanry technology Co. Ltd. Zhuhai) 38 1012836 (Address: Room 1012,Linhai Building,No. 38,west of Shihua Road,Zhuhai City,Guangdong Province,China) (post code)519015 (phone)0756-3366659

More information

【工程类】

【工程类】 茂 名 市 地 方 税 务 局 会 议 室 功 能 升 级 项 目 采 购 项 目 编 号 :GZGD-2015-008 公 开 招 标 文 件 广 州 广 大 工 程 项 目 管 理 有 限 公 司 编 制 发 布 日 期 : 二 0 一 六 年 一 月 捌 日 目 录 第 一 部 分 投 标 邀 请 函 -----------------------------------------------------------------------------------------------------------

More information

专业主干课程与主要专业课程教学大纲(2009年、2011年).doc

专业主干课程与主要专业课程教学大纲(2009年、2011年).doc ... 1... 4... 9... 12... 16... 20... 23... 26... 30... 33... 36 Electric Circuits 00440021 64 0 0 4 1 2 Y- 3 4 ZYT H 5 Analog Electronic Technique 00440041 54 14 0 3.5 1. 2. 1. 2. 3. RC 4. 5. 1. 20 2.

More information

Microsoft Word - 134招标文件.doc

Microsoft Word - 134招标文件.doc 威 海 市 政 府 采 购 招 标 文 件 采 购 编 号 :WHGP2016-134 采 购 项 目 : 网 站 建 设 采 购 方 式 : 公 开 招 标 山 东 省 鲁 成 招 标 有 限 公 司 2016 年 5 月 20 日 目 录 第 一 部 分 招 标 公 告 2 第 二 部 分 投 标 人 须 知 4 第 三 部 分 开 标 评 标 定 标 13 第 四 部 分 采 购 项 目 说

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

untitled

untitled XILINX Platform Cbale USB www.hseda.com ...... Platform Cable USB Compatible.................. impact.........1 platform Cable USB Compatible.........1...1...1...1...1...1 Platform Cable USB Compatible

More information

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica CP Chip Power ARM Cortex-M3 (STM32F) ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplication and hardware

More information

Xilinx Alliance Program Certified GJVZsIPb3 IPb3pg(lwE & by2eh;[d)y IP ROM

Xilinx Alliance Program Certified GJVZsIPb3 IPb3pg(lwE & by2eh;[d)y IP ROM Xilinx Alliance Program Certified IPb3pg(lwE & by2eh;[d)y IP ROM NVMe SSD FPGA!! NVMe-IP 32G bps Gen3 x 4Lane IP CPUNVMe PCIe SSD 4GB/sec, PCIe Gen3 2ch RAID CPU FAT32 PLDAPCIe Soft IP!! Linux Gen3 PCIe

More information

SOM-TL18核心板规格书

SOM-TL18核心板规格书 Revision History Draft Date Revision No. Description 2016/1/30 V1.1 1. 排版修改 2014/12/24 V1.0 1. 初始版本 公司官网 :www.tronlong.com 销售邮箱 :sales@tronlong.com 公司总机 :020-8998-6280 2/26 公司官网 :www.tronlong.com 销售邮箱

More information

TMS320C665x 开发板恢复出厂设置 Revision History DraftDate Revision No. Description 2018/03/30 V 勘误及排版修改 2017/05/24 V 将 HUA 固化到 NOR FLASH, 功能测试程序固化到

TMS320C665x 开发板恢复出厂设置 Revision History DraftDate Revision No. Description 2018/03/30 V 勘误及排版修改 2017/05/24 V 将 HUA 固化到 NOR FLASH, 功能测试程序固化到 TMS320C665x 开发板恢复出厂设置 Revision History DraftDate Revision No. Description 2018/03/30 V1.4 1. 勘误及排版修改 2017/05/24 V1.3 1. 将 HUA 固化到 NOR FLASH, 功能测试程序固化到 NAND F LASH 里面 2016/10/13 V1.2 1. 添加通过仿真器烧写程序恢复出厂默认状态

More information

微雪电子 Open103Z 实验手册 Open103Z 实验手册 目录 准备工作 BitIO-Pushbutton... 3 ADC+DMA... 4 CAN-LoopBack... 5 DAC... 6 DS18B GPIO_Key_L

微雪电子   Open103Z 实验手册 Open103Z 实验手册 目录 准备工作 BitIO-Pushbutton... 3 ADC+DMA... 4 CAN-LoopBack... 5 DAC... 6 DS18B GPIO_Key_L 目录 准备工作... 3 8BitIO-Pushbutton... 3 ADC+DMA... 4 CAN-LoopBack... 5 DAC... 6 DS18B20... 7 GPIO_Key_LED... 8 I2C... 9 LCD-HY32D_FSMC... 10 Nand Flash...11 NorFlash... 12 PS2... 13 RTC... 14 SD_FatFS... 15

More information

bingdian001.com

bingdian001.com (AVA PROPRE 6HD) ... 5 1.1 1.2 1.3 1.4 1.5 1.6... 5... 6... 6... 9... 9... 10... 12 2.1 2.2 2.3 2.4 2.5 2.6 2.7 2.8 2.9... 12... 12... 13... 13... 14... 15... 16... 17... 18 2.10... 18 2.11... 19 2.12...

More information

(按 Ctrl + F2 可編輯頁首資料)

(按 Ctrl + F2 可編輯頁首資料) SAWANG 大 華 科 技 大 學 秘 書 室 會 議 議 程 SC0-4-533-01-03, A00 名 稱 :103 學 年 度 第 4 次 專 責 小 組 會 議 時 間 :104 年 3 月 24 日 ( 星 期 二 ) 中 午 12 時 10 分 地 點 : 大 華 樓 5 樓 會 議 室 主 席 : 代 理 校 長 李 右 婷 紀 錄 : 秘 書 室 林 佩 姍 壹 主 席 報 告

More information

<4D6963726F736F667420576F7264202D20B8EAB054B35DB3C6B1C4C1CAABD8C4B3AAED2D313031A67E3131A4EB31352EB8B9A7F3B7732E646F63>

<4D6963726F736F667420576F7264202D20B8EAB054B35DB3C6B1C4C1CAABD8C4B3AAED2D313031A67E3131A4EB31352EB8B9A7F3B7732E646F63> 一 個 人 電 腦 ( 中 信 局 ) LP5-100066 ( 契 約 起 始 日 期 :101/06/04, 終 止 日 期 :102/05/31) ( 3 年 之 到 府 人 工 及 零 件 保 固 服 務 ) 等 級 規 格 預 算 CPU RAM HDD 獨 立 顯 卡 其 他 建 議 型 號 備 註 行 政 入 門 個 人 電 腦 ( 含 19 吋 以 螢 幕 ) IntelCore i3-3.3ghz

More information

1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.4... 6 2.5... 7 2.6... 7 3 2005... 8 3.1... 8 3.2... 9 3.3... 9 3.4 ( RMB100,000)... 9 3.5... 9 4...11 4.1.

1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.4... 6 2.5... 7 2.6... 7 3 2005... 8 3.1... 8 3.2... 9 3.3... 9 3.4 ( RMB100,000)... 9 3.5... 9 4...11 4.1. http://www.unsp.com.cn 1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.4... 6 2.5... 7 2.6... 7 3 2005... 8 3.1... 8 3.2... 9 3.3... 9 3.4 ( RMB100,000)... 9 3.5... 9 4...11 4.1... 11 4.2... 12 5... 13 5.1...

More information

1 MTK 2 MTK 3 MTK

1 MTK 2 MTK 3 MTK 1 MTK 2 MTK 3 MTK MTK MTK MTK MTK 1997, ( 10 DVD : 2000, 3G GSM/GPRS/WCDMA/ EDGE Multimedia Phone 2.5G MT6218/ MT6217 GSM/GPRS Multimedia Platform MT6205 GSM Low-End Platform MT6219 GSM/GPRS Video Platform

More information

2013 浙 江 宇 视 科 技 有 限 公 司 及 其 许 可 者 保 留 一 切 权 利 未 经 本 公 司 书 面 许 可, 任 何 单 位 和 个 人 不 得 擅 自 摘 抄 复 制 本 书 内 容 的 部 分 或 全 部, 并 不 得 以 任 何 形 式 传 播 为 浙 江 宇 视 科 技

2013 浙 江 宇 视 科 技 有 限 公 司 及 其 许 可 者 保 留 一 切 权 利 未 经 本 公 司 书 面 许 可, 任 何 单 位 和 个 人 不 得 擅 自 摘 抄 复 制 本 书 内 容 的 部 分 或 全 部, 并 不 得 以 任 何 形 式 传 播 为 浙 江 宇 视 科 技 TS8500 转 码 服 务 器 快 速 入 门 浙 江 宇 视 科 技 有 限 公 司 http://www.uniview.com 资 料 版 本 :5PW101-20130911 2013 浙 江 宇 视 科 技 有 限 公 司 及 其 许 可 者 保 留 一 切 权 利 未 经 本 公 司 书 面 许 可, 任 何 单 位 和 个 人 不 得 擅 自 摘 抄 复 制 本 书 内 容 的 部

More information

PROTEUS VSM

PROTEUS  VSM Proteus VSM-- 1/1 PROTEUS VSM Proteus VSM ISIS Prospice VSM Proteus PROSPICE ARM7 PIC AVR HC11 8051 CPU LCD RS232 LED IAR Keil Hitech C make 6000 SPICE SPICE DLL SPICE3F5 14 FM PROTEUS PCB LED/LCD / 300

More information

2005.book

2005.book ...4... 4... 7...10... 10... 10... 10... 10... 11... 11 PCC... 11 TB170... 12 /... 12...13... 13 BP150 / BP151 / BP152 / BP155... 14...15... 15... 15... 15... 15... 15... 15... 16 PS465 / PS477... 17 PS692

More information

untitled

untitled DOP www.deltaww.com DOP (RS232/RS485/ RS422) DOP USB SD 30 100 PLC Best Performance Huma Beautiful Display Beneficial Feature 1 DOP LED 65536 2D n Machine Interface s 2 DOP-B DOP-B 4.3 inches Wide B03S211

More information

1. 精 通 运 用 结 构 相 关 设 计 软 件, 如 Pro-E AutoCAD 等 ; 1. 参 不 机 器 人 项 目 评 估, 提 出 吅 理 的 产 品 结 构 设 计 方 案 ; 结 构 3 不 限 不 限 2. 3 年 以 上 工 业 产 品 结 构 设 计 工 作 经 验, 具

1. 精 通 运 用 结 构 相 关 设 计 软 件, 如 Pro-E AutoCAD 等 ; 1. 参 不 机 器 人 项 目 评 估, 提 出 吅 理 的 产 品 结 构 设 计 方 案 ; 结 构 3 不 限 不 限 2. 3 年 以 上 工 业 产 品 结 构 设 计 工 作 经 验, 具 子 公 司 名 称 新 兴 智 能 深 圳 万 腾 ( 深 圳 ) 职 位 人 数 机 械 运 控 调 试 员 哈 工 大 机 器 人 集 团 有 限 公 司 2016 届 校 园 招 聘 简 章 学 历 专 业 要 求 岗 位 职 责 自 动 化 机 械 制 造 专 业 机 械 及 自 动 化 专 业 1 精 通 CAD Pro/E 等 软 件, 熟 悉 从 组 立 图 到 零 件 图 的 设 计

More information

《计算机应用基础》学习材料(讲义)

《计算机应用基础》学习材料(讲义) 计 算 机 应 用 基 础 学 习 材 料 ( 讲 义 ) Fundamentals of Computer Application 2014-3-22 JIANGSU OPEN UNIVERSITY 第 二 学 习 周 计 算 机 基 础 知 识 ( 一 ) 导 学 在 本 学 习 周, 我 们 主 要 的 任 务 是 认 识 计 算 机 你 将 知 道 计 算 机 是 什 么 时 候 产 生 的,

More information

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键 官 方 淘 宝 地 址 :http://metech.taobao.com/ MeTech verilog 典 型 例 程 讲 解 V1.0 笔 者 :MeTech 小 芯 技 术 支 持 QQ : 417765928 1026690567 技 术 支 持 QQ 群 :207186911 China AET 讨 论 组 http://group.chinaaet.com/293 笔 者 博 客 :http://blog.csdn.net/ywhfdl

More information

课外创新研学项目 构想、设计与实现

课外创新研学项目                   构想、设计与实现 实 验 教 学 改 革 与 学 科 竞 赛 相 互 促 进 东 南 大 学 电 工 电 子 实 验 中 心 胡 仁 杰 hurenjie@seu.edu.cn 主 要 内 容 全 国 大 学 生 电 子 设 计 竞 赛 发 展 概 况 竞 赛 设 计 概 要 创 新 实 验 教 学 实 践 环 境 建 设 全 国 大 学 生 电 子 设 计 竞 赛 宗 旨 结 合 教 学, 着 重 基 础 注 重

More information

GA-8IG P4 533 Pentium Rev MC-8IG-1201

GA-8IG P4 533 Pentium Rev MC-8IG-1201 GA-8IG P4 533 Pentium Rev. 20 2MC-8IG-20 ... 3... 3... 4... 4 GA-8IG Layout... 6... 7 (CPU... 8 -... 8-2... 9 2... 0 3... 2 4:... 3 4- I/O... 3 4-2... 5 4-3... 2 GA-8IG - 2 - GA-8IG GA-8IG x / x x. 2.

More information

<4D6963726F736F667420576F7264202D20D4D3D6BECEC4B8E5C4A3B0E52E646F63>

<4D6963726F736F667420576F7264202D20D4D3D6BECEC4B8E5C4A3B0E52E646F63> 1/8 文 / 杜 洋 自 从 我 的 爱 上 单 片 机 一 书 问 世, 爱 上 一 词 就 在 电 子 制 作 的 圈 子 里 小 火 了 一 把 随 后 又 出 现 了 爱 上 制 作 爱 上 Arduino, 还 有 一 大 堆 类 似 名 字 的 出 版 物 虽 然 每 一 本 的 内 容 都 很 精 彩, 但 总 这 么 爱 来 爱 去 的, 是 不 是 有 点 审 美 疲 劳 呢?

More information

如 果 此 設 備 對 無 線 電 或 電 視 接 收 造 成 有 害 干 擾 ( 此 干 擾 可 由 開 關 設 備 來 做 確 認 ), 用 戶 可 嘗 試 用 以 下 一 種 或 多 種 方 法 來 消 除 這 個 干 擾 : 重 新 調 整 與 確 定 接 收 天 線 方 向 增 大 此 設

如 果 此 設 備 對 無 線 電 或 電 視 接 收 造 成 有 害 干 擾 ( 此 干 擾 可 由 開 關 設 備 來 做 確 認 ), 用 戶 可 嘗 試 用 以 下 一 種 或 多 種 方 法 來 消 除 這 個 干 擾 : 重 新 調 整 與 確 定 接 收 天 線 方 向 增 大 此 設 版 權 前 言 本 出 版 物, 包 括 所 有 照 片 插 圖 與 軟 體 均 受 國 際 版 權 法 之 保 護, 所 有 權 利 均 被 保 留 此 說 明 書 和 其 中 所 包 含 的 任 何 材 料 都 不 可 以 在 沒 有 作 者 的 書 面 許 可 下 被 複 製 版 本 1.0 免 責 聲 明 製 造 商 不 對 說 明 書 內 容 作 任 何 陳 述 或 擔 保, 基 於 此

More information

104 年 度 第 一 次 會 議 ( )

104 年 度 第 一 次 會 議 ( ) 專 責 小 組 會 議 紀 錄 104 年 03 月 24 日 會 議 紀 錄 104 年 09 月 10 日 會 議 紀 錄 104 年 11 月 24 日 會 議 紀 錄 104 年 12 月 15 日 會 議 紀 錄 104 年 度 第 一 次 會 議 (104.03.24) 遠 東 科 技 大 學 104 年 度 教 育 部 獎 勵 補 助 款 專 責 小 組 第 1 次 會 議 紀 錄 時

More information

VME

VME VMEbus VME 35 VME VME VME EOL Tsi148 VME PCI-X Marvell 2025 VME Power Architecture VME VME VME VME VME VME VME PCI VME VME VME 32 32 CPU I/O VME VMEbus 20 70 VERSAbus European Microsystems group VERSAbus

More information

深圳市海天雄电子有限公司 Shenzhen Haitianxiong Electronic Co., Ltd. CES-V210-CORE 产品手册 ARM 核心板 Rev. V1.0 Date:

深圳市海天雄电子有限公司 Shenzhen Haitianxiong Electronic Co., Ltd. CES-V210-CORE 产品手册 ARM 核心板 Rev. V1.0 Date: 深圳市海天雄电子有限公司 Shenzhen Haitianxiong Electronic Co., Ltd. CES-V210-CORE 产品手册 Rev. V1.0 Date:2017-06-02 www.nrisc.com 简介 CES-V210 核心板基于 Samsung 公司 ARM Cortex- A8 S5PV210 应用处理器, 支持高达 1GHz 的处理速度, 核心板上配置一枚智能电源管理芯片,

More information

SOM-TL18核心板规格书

SOM-TL18核心板规格书 Revision History Draft Date Revision No. Description 2016/11/18 V1.0 1. 初始版本 公司官网 :www.tronlong.com 销售邮箱 :sales@tronlong.com 公司总机 :020-8998-6280 2/28 目 录 1 实验箱简介... 4 2 软硬件参数... 8 3 开发资料... 13 4 电气特性...

More information

<4D6963726F736F667420576F7264202D20B5E7D7D3D0C5CFA2C0E0D7A8D2B5C5E0D1F8B7BDB0B8D0DEB6C1D6B8C4CF2E646F63>

<4D6963726F736F667420576F7264202D20B5E7D7D3D0C5CFA2C0E0D7A8D2B5C5E0D1F8B7BDB0B8D0DEB6C1D6B8C4CF2E646F63> 福 州 大 学 校 训 博 学 远 志 明 德 至 诚 序 言 亲 爱 的 电 子 信 息 类 的 学 子 : 朝 气 蓬 勃 的 你 们 带 着 对 大 学 生 活 的 美 好 憧 憬 走 进 校 园, 开 始 谱 写 人 生 历 程 崭 新 辉 煌 的 一 页 你 们 将 在 这 风 景 如 画 的 福 州 大 学 新 校 区 里, 与 周 围 的 同 学 们 一 起 汲 取 知 识 培 养 能

More information

CX_300 Manual.book

CX_300 Manual.book PC PC 45~80 80~320 260 LCD 1 ...1...3 /...3...3...4 /...4...5...5...6...6...7 SD...8...9 SD...9...10...12...16...18...19 DSC...20...23...23 Mini USB...23...24...25...25...26...27...28 2 / 1. 2. / LCD 3.

More information

大16开产品画册排版.cdr

大16开产品画册排版.cdr 北京圣莱特商贸有限公司 中国 北京 新型产品 XYZ 20A 颜色 黑色 尺寸 210*180*130mm 功能参数 1 使用高转换效率单晶硅片 太阳能转换效率高达16%以上 2 太阳能电池板规格 10W 3 充电器内置高容量可充电电池 20AH 4 输出电压 220V 5 用交流适配器给充电器内置电池充电时间 5小时 (6) 太阳能给充电器内置电池充电时间 20小时

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

深圳市国际招标有限公司

深圳市国际招标有限公司 采 购 文 件 采 购 项 目 编 号 :SSZ-2015G008 采 购 项 目 名 称 : 松 岗 中 心 小 学 扩 建 教 学 设 备 设 施 采 购 及 安 装 项 目 采 购 方 式 : 公 开 招 标 采 购 人 ( 章 ): 佛 山 市 南 海 区 狮 山 镇 教 育 局 采 购 代 理 机 构 ( 章 ): 深 圳 市 国 际 招 标 有 限 公 司 日 期 : 年 月 日 目 录

More information

ETA104 数据采集模块数据手册

ETA104 数据采集模块数据手册 Emtronix ETA104 数据采集模块数据手册 1. 概述 1.1 ETA104 介绍 ETA104 模拟数据采集模块 ( 下文简称 :ETA104 模块 ) 是基于英创公司 SBC840 工控应用底板 符合 DM5028 标准应用扩展模块 ETA104 模块上的模数转换功能, 采用 ADS7871 模拟信号转换芯片, 占用 ESMARC 工控主板的 SPI 总线进行通讯, 最高可实现 48K

More information

untitled

untitled XZL024 http://item.taobao.com/item.htm?id=6321822194 1 1 1.1 1.2 1.3 1.4 2 2.1 2.2 2.3 3 USBee Suite 3.1 3.2 3.3 3.4 4 RS232 RS485 RS422 CAN http://item.taobao.com/item.htm?id=6321822194 2 1 XZL024 PC

More information

Microsoft Word - MYD-CZU3EG 产品用户手册.docx

Microsoft Word - MYD-CZU3EG 产品用户手册.docx 开发板产品 用户手册 版本 V1.0 版本记录 版本号说明时间 V1.0 初始版本 2019/05/08 目录 目录... 3 第 1 章产品概述... 1 1.1 产品简介... 1 1.2 产品预览... 1 第 2 章硬件资源介绍... 2 2.1 核心板硬件资源... 2 2.2 底板硬件资源... 3 第 3 章核心板电路及底板设计说明... 5 3.1 电源... 5 3.2 引导模式和

More information

B1B1z_ch_print.pdf

B1B1z_ch_print.pdf Since 1992 PLC B1/B1z www.fatek.com SoC PLC CPU (HLS) / FLASHSRAM BGA PLC SoC CPU I/O PCB SoC B1/ B1z PLC 01 SoC B1/B1z PLC PLC B1/B1z PLC B1/B1z PLC FBs PLC FBs PLC 02 03 04 AC 5 C 40 C 5 C 55 C -25 C

More information

Presentation Title

Presentation Title 基于模型的可编程 SoC 设计与调试 MathWorks China Tom Shan Application Engineer 2015 The MathWorks, Inc. 1 主要内容 介绍 什么是 Zynq? 设计挑战 Zynq 设计 基于 MBD 的可编程 SoC 设计 代码生成 流程 验证和软硬件划分 UDP 接口 Processor In the Loop(PIL) 验证 新增功能

More information

SOM-TL18核心板规格书

SOM-TL18核心板规格书 基于 SYSBIOS 的 EtherCAT 开发例程 Revision History Draft Date Revision No. Description 2018/06/19 V1.2 1. 内容勘误, 例程排版更新 2017/08/10 V1.1 1. 排版修正 2017/06/01 V1.0 1. 初始版本 公司官网 :www.tronlong.com 销售邮箱 :sales@tronlong.com

More information

ESP32-WROOM-32D ESP32-WROOM-32U URL Wi-Fi Wi-Fi Bluetooth SIG 208

ESP32-WROOM-32D ESP32-WROOM-32U     URL Wi-Fi Wi-Fi Bluetooth SIG 208 ESP32-WROOM-32D & ESP32-WROOM-32U.7 208 www.espressif.com ESP32-WROOM-32D ESP32-WROOM-32U www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 208 2

More information

利尔达科技有限公司 LSD SCIENCE & TECHNOLOGY CO., LTD. 文 件 修 改 记 录 台 帐 项目名称 编制人 项目型号 张斌斌 审核人 编制日期 2012-8 审核日期 序号 修改日志 1 公司地址:杭州市登云路 425 号杭州利尔达科技大

利尔达科技有限公司  LSD SCIENCE & TECHNOLOGY CO., LTD. 文 件 修 改 记 录 台 帐 项目名称 编制人 项目型号 张斌斌 审核人 编制日期 2012-8 审核日期 序号 修改日志 1 公司地址:杭州市登云路 425 号杭州利尔达科技大 LSD SCIENCE & TECHNOLOGY CO., LTD. 利尔达科技有限公司 WWW.LIERDA.COM 利 尔 达 科 技 有 限 公 司 LSD SCIENCE & TECHNOLOGY CO., LTD. GPRS DTU LSD5DT3180P-LSD5DT3180EP 技 术参数 公司地址:杭州市登云路 425 号杭州利尔达科技大厦 Tel:0571-89908135 Fax:0571-89908519

More information

untitled

untitled 2006-4-25 2006-4-26 2 2006-4-26 3 20 50 6 2006-4-26 4 µ 2006-4-26 5 CERN LEP/LHC 2006-4-26 6 L3 Detector 2006-4-26 7 2006-4-26 8 ATLAS Detector (A Toroidal LHC ApparatuS) 2006-4-26 9 CMS Detector 2006-4-26

More information

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi SPHE8202R Design Guide V2.0 JUN, 2007 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com SPHE8202R Design Guide Important Notice

More information

网上对外发布资料适用版本

网上对外发布资料适用版本 HDLC-LCM 嵌 入 式 低 功 耗 通 信 模 块 Rev.2016.0602 用 户 手 册 电 话 :400-025-5057 网 址 :www.yacer.cn 目 录 1 概 述... 1 1.1 简 介... 1 1.2 特 点... 1 1.3 应 用... 1 1.4 订 购 信 息... 1 1.5 技 术 规 格... 2 1.6 机 械 尺 寸 图... 3 2 硬 件 结

More information

<4D6963726F736F667420576F7264202D2053444451323031362D3131365FC9BDB6ABBBAFB9A4BCBCCAA6D1A7D4BABDCCD1A7D7A8D3C3D2C7C6F75FD5D0B1EACEC4BCFEB6A8B8E5382E3131A3A8BDCCD3FDD3EBB9ABB9B2B7FECEF1CFB5A3A9>

<4D6963726F736F667420576F7264202D2053444451323031362D3131365FC9BDB6ABBBAFB9A4BCBCCAA6D1A7D4BABDCCD1A7D7A8D3C3D2C7C6F75FD5D0B1EACEC4BCFEB6A8B8E5382E3131A3A8BDCCD3FDD3EBB9ABB9B2B7FECEF1CFB5A3A9> 山 东 省 政 府 采 购 项 目 编 号 :SDDQ2016-116 项 目 名 称 : 山 东 化 工 技 师 学 院 教 学 专 用 仪 器 购 置 项 目 采 购 内 容 : 机 械 系 工 业 机 器 人 等 实 训 设 备 幼 儿 教 育 实 训 室 设 备 山 东 德 勤 招 标 评 估 造 价 咨 询 有 限 公 司 二 一 六 年 八 月 0 目 录 第 一 章 招 标 公 告 第

More information

杭州言曼科技有限公司 CB01 子卡说明文档 ( 对外发布 ) CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别 CB01 标配版本和低成本区别 CB01 结构信息

杭州言曼科技有限公司 CB01 子卡说明文档 ( 对外发布 )   CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别 CB01 标配版本和低成本区别 CB01 结构信息 CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别... 2 2. CB01 标配版本和低成本区别... 2 3. CB01 结构信息... 3 4. CB01 功耗信息... 3 5. LED 显示... 3 6. 按键... 4 7. 拨码开关... 4 8. USB 串口 - 型号 :CP2102... 4 9. 输入时钟... 4 10. I2C

More information

ESP32-WROOM URL Wi-Fi Wi-Fi Bluetooth SIG 2018

ESP32-WROOM URL Wi-Fi Wi-Fi Bluetooth SIG 2018 ESP32-WROOM-32 2.6 2018 www.espressif.com ESP32-WROOM-32 www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 2018 1 1 2 3 2.1 3 2.2 3 2.3 Strapping

More information

上海东软载波微电子有限公司 SMART

上海东软载波微电子有限公司 SMART 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P/ES7P OTPMCU A/D I/O OTP ROM RAM ES7P7x ES7P7PSD SOP +input 0 ES7P7PSF SOP0 7+input 0 ES7P7PRF SSOP0 7+input 0 EEPROM MHz 0 ~.V ~.V MHz, KHz MHz 0 ~.V

More information

P4i45GL_GV-R50-CN.p65

P4i45GL_GV-R50-CN.p65 1 Main Advanced Security Power Boot Exit System Date System Time Floppy Drives IDE Devices BIOS Version Processor Type Processor Speed Cache Size Microcode Update Total Memory DDR1 DDR2 Dec 18 2003 Thu

More information

BackCover

BackCover CA-310 AC AC SIP/SOP IEC IEC 60950 IEC 61010-1 IEC 61010-1 CA AC 100 120V 200 240V AC CA AC AC AC AC AC AC AC AC AC AC AC AC CA AC AC CA AC AC AC 1 CA-310LED LED AC 100-120V 200-240V AC ±10% 2 2000 10

More information

Preface

Preface ...5...5...5...5...6...6...6 DV...7 DV...8...8...8...9...9...10...10 DV...11 DV...11...12 /SD/SDHC...12...13...14...14 DV...15 1 ...15...16...16...16 LCD...17 LCD...17...17...19...21...23...23...23...24...24...26...26...26...27...27

More information

0523内页正稿-改风格

0523内页正稿-改风格 1100MP 8,999 Dell Axim X50/X50v 300-500 4 Dell 2300MP Dell X50 416MHz 3,599 10-11 Dell E153FP 2 3 4-5 6-7 100 8-9 8-9 10-11 12 Oracle on Dell 13 5 14-15 1400 21001 15 800 x 600 2.2 1.677M 32 E232 1:1.2

More information

STM32 STM3232ARM Cortex-M3 Cortex-M3 STM32Thumb-2 STM32MCU Cortex-M3ARM MCU STM32ARM 32 ARMCortex-M3 32 STM32 Cortex-M3 ARM Cortex-M3 ARM ARM

STM32 STM3232ARM Cortex-M3 Cortex-M3 STM32Thumb-2 STM32MCU Cortex-M3ARM MCU STM32ARM 32 ARMCortex-M3 32 STM32 Cortex-M3 ARM Cortex-M3 ARM ARM STM32 ARM Cortex -M3 32 www.st.com/mcu www.stmicroelectronics.com.cn/mcu STM32 STM3232ARM Cortex-M3 Cortex-M3 STM32Thumb-2 STM32MCU 32 1632 Cortex-M3ARM MCU STM32ARM 32 ARMCortex-M3 32 STM32 Cortex-M3

More information

Microsoft Word - InoTouch Editor编程软件手册2012.2.10.doc

Microsoft Word - InoTouch Editor编程软件手册2012.2.10.doc 目 录 第 一 章 关 于 InoTouch Editor 编 程 软 件 的 安 装... - 6-1.1 InoTouch 系 列 HMI 和 InoTouch Editor 软 件 的 简 介... - 6-1.2 安 装 InoTouch Editor 编 程 软 件... - 10-1.3 系 统 连 接 图... - 12-1.4 InoTouch 系 列 人 机 界 面 的 系 统 设

More information

ESP3-WROVER URL Wi-Fi Wi-Fi Bluetooth SIG 08

ESP3-WROVER     URL Wi-Fi Wi-Fi Bluetooth SIG 08 ESP3-WROVER.0 08 www.espressif.com ESP3-WROVER www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 08 3. 3. 4.3 Strapping 5 3 7 3. CPU 7 3. Flash SRAM

More information

P4Dual-915GL_BIOS_CN.p65

P4Dual-915GL_BIOS_CN.p65 1 Main H/W Monitor Boot Security Exit System Overview System Time System Date Total Memory DIMM 1 DIMM 2 [ 14:00:09] [Wed 01/05/2005] BIOS Version : P4Dual-915GL BIOS P1.00 Processor Type : Intel (R) Pentium

More information

Microsoft Word - 征求意见书.doc

Microsoft Word - 征求意见书.doc 新 乡 市 卫 滨 区 教 育 文 化 体 育 局 幼 儿 园 设 备 购 置 项 目 征 求 意 见 书 经 新 乡 市 卫 滨 区 政 府 采 购 管 理 部 门 批 准, 河 南 卓 越 工 程 管 理 有 限 公 司 将 于 近 期 就 新 乡 市 卫 滨 区 教 育 文 化 体 育 局 幼 儿 园 设 备 购 置 项 目 进 行 公 开 招 标 现 将 有 关 项 目 需 求 公 布 如

More information

A80 H8 english

A80 H8 english H8 development kit brief introduction H8 is based on Allwinner A80 SoC is released by Merrii, we can provide users with Android4.4.2 and inux3.4.39 to develop. OptimusBoard is a mini board based on A80,

More information

微雪电子 Open407V-D 实验手册 Open407V-D 实验手册 目录 准备工作... 2 ADC+DMA... 2 CAN1 TO CAN2-Normal... 3 DCMI_OV DCMI_OV I2C... 6 L

微雪电子   Open407V-D 实验手册 Open407V-D 实验手册 目录 准备工作... 2 ADC+DMA... 2 CAN1 TO CAN2-Normal... 3 DCMI_OV DCMI_OV I2C... 6 L Open407V-D 实验手册 目录 准备工作... 2 ADC+DMA... 2 CAN1 TO CAN2-Normal... 3 DCMI_OV7670... 4 DCMI_OV9655... 5 I2C... 6 LCD-HY32D_FSMC... 7 Nand Flash_PCB0... 8 Nand Flash_SCB0... 9 SD_FatFS... 11 SDIO... 12 SPI...

More information

GW EDA VHDL VHDL VHDL VHDL ADC009 0 FPGA PC GW EDA a GW EDA beda README.TXT c d 0 e J MZH +V GND -V D/A +V GND S JA J D D D D D D D D C K J J VGA VGA B EDA JB B J HC B RS- CON CON HC PS/ CPLD/FPGA J RS-

More information

钢铁产业与衡器行业

钢铁产业与衡器行业 2013 2013 4 4.0 PLC CPS Cyber Physical Systems 1.0 1 1.0 2013 MICROSCAN DATALOGIC BANNER SICK OPTEX CMOS CCD 1 / / 2 1 LED 2 2 3 CCD CMOS 4 / A/D FPGA DSP IEEE1394 RS-644 LVDS Channel Link LVDS Camera

More information

Support All Industrial Ethernet Standards on Your Next "Drive" Design White Paper

Support All Industrial Ethernet Standards on Your Next Drive Design White Paper FPGA WP-01191-1.0 Coal 18.33 Fossil Fuels 26.10 Conversion Losses 24.61 Petroleum 0.40 Natural Gas 7.29 Other Gases 0.09 Nuclear Electric Power 8.35 Renewable Energy 4.28 Other 0.16 Energy Consumed to

More information

52C-14266-5

52C-14266-5 逻 辑 分 析 仪 基 础 知 识 入 门 手 册 www.tektronix.com.cn/logic_analyzers 15 入 门 手 册 目 录 引 言 3-4 起 源 3 数 字 示 波 器 3 逻 辑 分 析 仪 4 逻 辑 分 析 仪 操 作 5-13 连 接 被 测 系 统 5 探 头 5 设 置 逻 辑 分 析 仪 7 设 置 时 钟 模 式 7 设 置 触 发 7 采 集 状

More information

说明书库

说明书库 简 介 非 常 感 谢 您 选 择 使 用 智 能 手 机! 本 手 机 是 一 部 单 C 网 并 具 有 商 务 协 同 功 能 的 智 能 手 机! 该 话 机 除 支 持 基 本 的 语 音 通 话 短 信 等 功 能 之 外, 还 有 如 下 特 色 功 能 : 无 线 上 网, 酣 畅 高 速 通 过 CDMA 1X, 网 上 冲 浪, 无 所 不 通 商 务 协 同, 功 能 强 大

More information

+01-10_M5A_C1955.p65

+01-10_M5A_C1955.p65 Notebook PC User s Manual C1955 1.01 2005 4 2 50 70 3 (0 30 ) (50 122 ) 4 pre-load Fn+F7 5 ...2...3...6 1-1...12...12...13...14...15...16...17 1-2...18 1-3...20...20...21...21...21...21...22...22...22...22...23...23

More information

FPGA GJVZsIPb3 IPb3pg(lwE & by2eh;[d)y IP ROM

FPGA GJVZsIPb3 IPb3pg(lwE & by2eh;[d)y IP ROM FPGA IPb3pg(lwE & by2eh;[d)y IP ROM NVMe SSD FPGA!! NVMe-IP 32G bps Gen3 x 4Lane IP CPUNVMe PCIe SSD 4GB/sec, PCIe Gen3 2ch RAID CPU FAT32 SMART, Shutdown, FLUSH!! Linux Gen3 PCIe SSD 2ch RAID 2ch RAID

More information

中文版

中文版 人机界面 Easy Harmony 工业平板电脑 产品目录 2019 schneider-electric.con www.schneider-electric.com/hmi 目录 Easy Harmony 工业平板电脑 选型指引....page 0590Q/2 介绍 产品特点... page 28626/2 EcoStruxure Architecture... page 28626/2 概述...

More information

GPS ET700 ET700 Microsoft Windows Mobile 6 GPS ET700, USB MHz GPS 256MB Flash 128MB RAM ET700 MSN Office ET QVGA ET700 2

GPS ET700 ET700 Microsoft Windows Mobile 6 GPS ET700, USB MHz GPS 256MB Flash 128MB RAM ET700 MSN Office ET QVGA ET700 2 :Lenovo ET700 1 GPS ET700 ET700 Microsoft Windows Mobile 6 GPS ET700, USB2.0 624MHz GPS 256MB Flash 128MB RAM ET700 MSN Office ET700 2.5 QVGA ET700 2 3 Lenovo 800-810-8888 400-818-8818 http://www.lenovomobile.com

More information