SOM-TL18核心板规格书

Size: px
Start display at page:

Download "SOM-TL18核心板规格书"

Transcription

1

2 Revision History Draft Date Revision No. Description 2017/12/18 V 排版修改 2. 更新为 A2 版, 替换封面 产品图, 新增硬件框图 3. 修改附录 A 例程 4. 修改产品订购型号及替换产品参数解释图 5. 修改硬件参数命名及区分 DSP 端和 FPGA 端参数 2016/2/4 V 排版修改 2014/12/24 V 初始版本 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /21

3 目 录 1 核心板简介 典型运用领域 软硬件参数 开发资料 电气特性 机械尺寸图 产品认证 产品订购型号 技术支持 增值服务 更多帮助 附录 A 开发例程 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /21

4 1 核心板简介 基于 TI TMS320C6748( 定点 / 浮点 DSP C674x) + Xilinx Spartan-6 FPGA 处理器 ; TMS320C6748 与 FPGA 通过 upp EMIFA I2C 总线连接, 通信速度可高达 228MByte/s; TMS320C6748 主频 456MHz, 高达 3648MIPS 和 2746MFLOPS 的运算能力 ; FPGA 兼容 Xilinx Spartan-6 XC6SLX9/16/25/45, 平台升级能力强 ; 66mm*38.6mm,DSP+FPGA 双核核心板, 采用工业级 B2B 连接器 ; 支持裸机 SYS/BIOS 操作系统 图 1 核心板正面图 图 2 核心板背面图 由广州创龙自主研发的 SOM-TL6748F 是一款定点 / 浮点 DSP C674x + Xilinx Spartan-6 FPGA 工业级双核核心板,66mm*38.6mm, 功耗小 成本低 性价比高 采用沉金无铅 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /21

5 工艺的 8 层板设计, 专业的 PCB Layout 保证信号完整性的同时, 经过严格的质量控制, 满足工业环境应用 SOM-TL6748F 引出 CPU 全部资源信号引脚, 二次开发极其容易, 客户只需要专注上层应用, 大大降低了开发难度和时间成本, 让产品快速上市, 及时抢占市场先机 不仅提供丰富的 Demo 程序, 还提供详细的开发教程, 全面的技术支持, 协助客户进行底板设计 调试以及软件开发 2 典型运用领域 数据采集处理显示系统 智能电力系统 图像处理设备 高精度仪器仪表 中高端数控系统 通信设备 音视频数据处理 3 软硬件参数 硬件框图 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /21

6 图 3 核心板硬件框图 图 4 Xilinx Spartan-6 FPGA 特性参数 硬件参数 表 1 DSP 端硬件参数 CPU ROM RAM B2B Connect or LED 硬件资源 TI TMS320C6748, 定点 / 浮点 DSP C674x, 主频 456MHz 128/256/512MByte NAND FLASH 128/256MByte DDR2 2x 80pin 公座 B2B,2x 80pin 母座 B2B, 间距 0.5mm, 共 320pin 1x 供电指示灯 2x 可编程指示灯 1x VPIF Video OUT( 支持 SDTV 和 HDTV),ITU-BT.656 Format,ITU-BT.1120 and SMTPE296 Formats 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /21

7 1x VPIF Video IN( 支持 SDTV,HDTV 和 Raw Capture Mode),ITU-BT.656 Forma t,itu-bt.1120 and SMTPE296 Formats 1x LCD Controller 2x upp 2x I2C 1x USB 1.1 HOST,1x USB 2.0 OTG 1x 10/100M Ethernet 1x SATA 2x MMC/SD 3x UART 2x ehrpwm 1x EMIFA 3x ecap 1x UHPI 2x McBSP 1x McASP 2x SPI 1x RTC 备注 : 广州创龙 OMAPL138 AM1808 TMS320C6748 核心板在硬件上 pin to pin 兼容 表 2 FPGA 端硬件参数 FPGA ROM LED 硬件资源 Xilinx Spartan-6 XC6SLX9/16/25/45 FPGA 64Mbit SPI FLASH 2x 可编程指示灯 LX9:115x FPGA IO LX16:147x FPGA IO LX25:141x FPGA IO LX45:133x FPGA IO 1x JTAG 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /21

8 软件参数 表 3 DSP 端软件支持 CCS 版本号 裸机 SYS/BIOS 操作系统 CCS5.5 ISE 版本号 ISE 开发资料 (1) 提供核心板引脚定义 可编辑底板原理图 可编辑底板 PCB 芯片 Datasheet, 缩短硬件设计周期 ; (2) 提供丰富的 Demo 程序 ; (3) 提供完整的平台开发包 入门教程, 节省软件整理时间, 上手容易 ; (4) 提供丰富的入门教程 开发案例, 含 TMS320C6748 与 FPGA 通信例程 ; 广州创龙提供了大量的开发资料, 包含视频教程 中文数据手册, 创造了 TMS320C6 748 平台开发的新局面, 引领 TMS320C Spartan-6 DSP+FPGA 双核学习热潮, 已成为 TMS320C6748 开发者的首选合作企业 部分开发例程详见附录 A, 开发例程主要包括 : 基于 DSP 端的裸机开发例程 基于 DSP 端的 SYS/BIOS 开发例程 基于 PRU 的汇编开发例程 基于 FPGA 端的开发例程 5 电气特性 核心板工作环境 表 4 环境参数 最小值 典型值 最大值 商业级温度 0 C / 70 C 工业级温度 -40 C / 85 C 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /21

9 工作电压 / 3.3V / 核心板功耗 表 5 典型值电压 典型值电流 典型值功耗 3.3V 278.7mA 0.92W 备注 : 功耗测试基于广州创龙 TL6748F-EasyEVM 开发板进行 6 机械尺寸图 表 6 PCB 尺寸 安装孔数量 66mm*38.6mm 4 个 图 5 核心板机械尺寸图 7 产品认证 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /21

10 图 6 高低温测试认证 8 产品订购型号 型号 SOM-TL6748F-4-1GN1GD2S16-I SOM-TL6748F-4-1GN2GD2S45-I SOM-TL6748F-4-1GN1GD2S16-E-I SOM-TL6748F-4-4GN2GD2S45-I 表 7 CPU 主频 NAND SPI 温度 DDR2 FPGA 型号加密版 FLASH FLASH 级别 456MHz 128MByte 128MByte 64Mbit XC6SLX16 否 工业级 456MHz 128MByte 256MByte 64Mbit XC6SLX45 否 工业级 456MHz 128MByte 128MByte 64Mbit XC6SLX16 是 工业级 456MHz 512MByte 256MByte 64Mbit XC6SLX45 否 工业级 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /21

11 备注 : 标配为 SOM-TL6748F-4-1GN1GD2S16-I-A2, 其他型号请与相关销售人员联系 型号参数解释 图 7 9 技术支持 (1) 协助底板设计和测试, 减少硬件设计失误 ; (2) 协助解决按照用户手册操作出现的异常问题 ; (3) 协助产品故障判定 ; (4) 协助正确编译与运行所提供的源代码 ; (5) 协助进行产品二次开发 ; (6) 提供长期的售后服务 10 增值服务 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /21

12 主板定制设计 核心板定制设计 嵌入式软件开发 项目合作开发 技术培训 公司官网 : 销售邮箱 公司总机 : /21

13 更多帮助 销售邮箱 技术邮箱 创龙总机 : 技术热线 : 创龙官网 : 技术论坛 : 线上商城 : TMS320C6748 OMAPL138 交流群 : Xilinx Spartan-6 FPGA 交流群 : TI 中文论坛 : TI 英文论坛 : TI 官网 : TI WIKI: 公司官网 : 销售邮箱 公司总机 : /21

14 附录 A 开发例程 表 8 基于 DSP 端的裸机开发例程 例程 功能 DEMO 综合例程 GPIO_LED GPIO 输出 (LED 灯 ) GPIO_KEY GPIO 输入 ( 按键中断 ) GPIO_KEY_EDMA GPIO_KEY_TIMER_EventCombine TIMER TIMER_Dual_32-bit_Chained TIMER_Dual_32-bit_UnChained TIMER_Dual_32-bit_UnChained_4-bit_Prescaler UART1_POLL UART2_INT UART2_EDMA RS485 按键触发 EDMA 事件按键及定时器中断定时器关联 32-bit 模式定时器 / 计数器独立 32-bit 模式定时器 / 计数器独立 32-bit 模式 / 额外 4-bit 分频定时器 / 计数器 UART1 串口查询收发 UART2 串口中断收发 EDMA 串口收发 RS485 串口查询收发 TL_MULTUART_INT 多串口模块 ( 查询方式 ) TL_MULTUART_POLL 多串口模块 ( 中断方式 ) IIC_EEPROM WatchDog NMI ECAP_APWM RTC LCD VGA IIC EEPROM 读写看门狗不可屏蔽中断增强型捕获模块 ECAP 辅助输出 RTC 时钟 LCD 显示 VGA 显示 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /21

15 LCD_TOUCH_4INCH3 LCD_TOUCH LCD_TOUCH_5INCH6/10INCH4/12INCH/15INCH GRLIB_DEMO MMCSD SATA 4.3 寸触摸屏 7 寸触摸屏多种尺寸触摸屏 StarterWare 图形库控件 SD 卡读写 SATA 枚举测试 USB_DEV_BULK USB OTG 从方式 (USB BULK 管道通信 ) USB_DEV_MSC USB OTG 从方式 ( 虚拟存储设备 ) USB_DEV_SERIAL USB OTG 从方式 (USB 虚拟串口 ) USB_HOST_KEYBOARD USB OTG 主方式 (USB 键盘 ) USB_HOST_MOUSE USB OTG 主方式 (USB 鼠标 ) USB_HOST_MSC USB OTG 主方式 (U 盘内容查看 ) ENET_HTTPD ENET_ECHO Memory_Benchmark NandFlash EMIF_AD7606 EMIF_AD7606v2 EMIF_AD7606_10K EMIF_AD7606_200K_EDMA EMIF_AD7656 EMIF_AD7656v2 EMIF_AD8568 EMIF_AD8568v2 EMIF_AD8568_100K EMIF_FPGA 网络 Web 服务器网络 Socket 通信内存读写速度测试 NAND FLASH 读写测试 EMIFA 总线 8 通道并口 AD 数据采集 EMIFA 总线 8 通道并口 AD 数据采集使用 CPU 采集方式按 10k 速度采样使用 EDMA 方式按 200k 采样率进行采样 EMIFA 总线 6 通道并口 AD 数据采集 EMIFA 总线 6 通道并口 AD 数据采集 EMIFA 总线 8 通道并口 AD 数据采集 EMIFA 总线 8 通道并口 AD 数据采集以 100KHz 采样 AD 通道 AD 数据 EMIFA 总线 FPGA 读写测试 EMIF_FPGA_DMA EMIFA 总线 FPGA 读写测试 ( 经过 EDMA 优化 ) 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /21

16 EDMA3 EDMA3_TRANSPOSE upp_b_to_a upp_2ch H264Encode ClockOut DSPClockSpeed Fsfat SPI0 UART2_INT_FIFO TL5147_LCD_3CH_Multi TL5147_LCD_2CH_SYNC EDMA3_LINK EMIF_AD7606_PinBoard EDMA3_LED FIR IIR Matrix FFT EDMA3 一维数据传输 EDMA3 二维数据传输 upp 总线 FPGA 读写测试 upp 板间双通信测试编码例程测试时钟频率测试 CPU 时钟测试 SD 卡读写测试 SPI0 测试例程 UART2 FIFO 中断模式 TL5147 摄像头实现 3 路图片采集双路 5147 摄像头同时采集显示 EDMA 链接工作方式 EMIF 拓展板测试 EDMA 方式让 LED 闪烁有限长单位冲激响应滤波器无限脉冲响应数字滤波器矩阵运算快速傅里叶变换 / 逆变换 FFT_Benchmark 快速傅里叶变换 / 逆变换 ( 打开 / 关闭缓存速度对比 ) FFT_DIT2 基 2 时间抽取快速傅里叶变换 / 逆变换 ( 原址计算 ) DCT RGB2Gray HIST InteEqualize ImageReverse Canny 图像离散余弦变换 RGB24 图像转灰度灰度图像直方图直方图均衡化图像反色边缘检测 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /21

17 Threshold LinerTrans Zoom Rotate MATH UniversalCopy MP3Decode 灰度图像二值化灰度图像线性变换图像缩放图像旋转数学函数库基于 Codec Engine 的数据复制算法 MP3 解码 MP3Decode_SD MP3 解码 ( 使能缓存及通过 SD 存取 ) AACLCDecode AACHEv2Decode AACLCEncode G711ADecode G711ADecode BUZZER MATRIX_KEY DAC_TLC5615 VPIF_OV2640 DCMOTOR STEPPERMOTOR EASYBOX_DEMO AACLCDecode AAC 解码 AACHEv2Decode AAC 解码 AACLCEncode AAC 编码 G711 A 率语音编码 G711 A 率语音解码蜂鸣器 4x4 键盘 DAC 输出摄像头直流电机步进电机实验板综合测试 表 9 基于 DSP 端的 SYS/BIOS 开发例程 例程 功能 Board GPIO_LED CLOCK 综合例程 任务 时钟 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /21

18 GPIO_LED_MUTEX GPIO_LED_STATIC Semaphore_Binary Semaphore_Counting 抢占式多任务静态创建任务二进制信号量计数型信号量 Timestamp SYS/BIOS 时间戳 ( 通用 ) Timestamp_C674x SYS/BIOS 时间戳 ( 专用 ) Timer 定时器 ( 通用 ) Timer_C674x 定时器 ( 专用 ) Timer_C674x_Runtime 定时器 ( 动态创建 ) Timer_C674x_Runtime_Reload 定时器 ( 动态创建 更改定时周期 ) HWI_C674x 硬件中断 (HWI 设备专用组件 ) HWI_C674x_Hook 硬件中断 (HWI 挂钩函数 ) HWI_C674x_Nest 硬件中断 (HWI 中断嵌套 ) HWI_Runtime 硬件中断 (HWI) HWI_Runtime_Post_SWI 硬件中断 (HWI 发布软件中断 ) HWI_Runtime_Post_Task 硬件中断 (HWI 触发任务 ) SWI 软件中断 ( 静态配置 ) SWI_Runtime SWI_Runtime_Post_Conditionally_andn SWI_Runtime_Post_Conditionally_dec SWI_Runtime_Post_Unconditionally_or MEMORY MMCSD MMCSD_FatFs UART1 UART2 AUDIO_LINE_IN 软件中断 (SWI) 软件中断 ( 有条件触发 ANDN) 软件中断 ( 有条件触发 DEC) 软件中断 ( 无条件触发 OR) 内存分配 SD 卡 RAW 模式 SD 卡 FAT 文件系统 UART1 串口查询收发 UART2 串口查询收发 Line In 音频输入 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /21

19 AUDIO_LINE_OUT LCD_TOUCH TCP_Client TCP UDP TCP_Benchmark Telnet Telnet WebServer NDK_UIA NDK_Runtime Line Out 音频输出触摸屏 TCP 客户端 TCP 服务器 UDP 通信 TCP 发送 / 接收速度测试 Telnet 协议 TFTP 协议网络 Web 服务器基于网络传输的系统分析网络 Web 服务器 ( 支持串口输入 IP) WebServer_RMII 网络 Web 服务器 ( 使用 RMII 接口 ) WebServer_Audio_Video MJPEG_Streamer Raw Socket EDMA3 McBSP_LoopBack McBSP 内部回环测试 McBSP McBSP 外部回环测试 Queue Event Mailbox SLEEP VFSCALE upp_b_to_a ADS1278_uPP Gate 伺服电机测试 Web 服务器音视频实验 IP Camera 网络摄像头以太网数据链路层通信 EDMA3 一维数据传输 McBSP 内部回环测试 McBSP 外部回环测试 SYS/BIOS 队列 SYS/BIOS 事件模块 SYS/BIOS 邮箱通信 CPU 低功耗模式动态调频调压测试 upp 回环测试 AD 模块采集测试 ( 使用 upp) SYS/BIOS 门保护通过 DSP 与 FPGA 控制伺服电机运行 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /21

20 表 10 基于 PRU 的汇编开发例程 例程 功能 PRU_GPIO_LED PRU_GPIO_KEY PRU_TL7606_ADC PRU_TL8568_ADC PRU_uPP_B_TO_A PRU_TL5724_DACv2 PRU_AD8568_SAVE PRU_TIMER2_POLL PRUtoDSP_Interrupt PRU 控制 GPIO 输出 PRU 控制 GPIO 输入 PRU 触发 ADC 采集模拟量 PRU 触发 ADC 采集模拟量 PRU 控制 upp 传输数据 PRU 控制 AD5724 输出连续波形例程 PRU 控制 AD8568 采集数据存储 SD 卡 PRU 控制定时器 PRU 向 DSP 发送中断 表 11 基于 FPGA 端的开发例程 例程 功能 LED KEY IIC UART_IP upp LED 测试按键测试 IIC 测试 UART 回环测试 upp 收发测试 UPP_TX upp 接收测试 (DSP 接收 ) UPP_LOOP EMIFA AD9706 AD9238 AD7606_UART upp 回环测试 EMIFA 测试 DA 测试 AD 测试 AD 模块采集测试 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /21

21 AD7606_UPP AD5724_UART ADS8568_UART ADS8568_UPP AD 采集三核通信例程测试 AD 模块采集测试 ( 使用 upp) DA 信号输出测试 AD 模块采集测试 AD 模块采集测试 ( 使用 upp) 打印数据 保存数据 LCD 波形显示 PC 端显示 表 12 其他 Demo 例程 功能 GPIO_LED_Assembly GPIO 输出 ( 标准汇编 ) GPIO_LED_LinearAssembly GPIO 输出 ( 线性汇编 ) GPIO_LED_C++ GPIO_KEY GPIO_LED_C_Mix GPIO_LED_C++_Mix GPIO 输出 (C++) 不使用 StarterWare 函数库中断 C 语言调用线性汇编 汇编语句及函数 C++ 语言调用 C 语言 线性汇编 汇编语句及函数 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /21

Revision History Draft Date Revision No. Description 2017/12/18 V 排版修改 2. 更新为 A2 版, 替换封面 产品图, 新增硬件框图 3. 修改附录 A 例程 4. 修改产品订购型号及替换产品参数解释图 5. 修改硬件参

Revision History Draft Date Revision No. Description 2017/12/18 V 排版修改 2. 更新为 A2 版, 替换封面 产品图, 新增硬件框图 3. 修改附录 A 例程 4. 修改产品订购型号及替换产品参数解释图 5. 修改硬件参 Revision History Draft Date Revision No. Description 2017/12/18 V1.2 1. 排版修改 2. 更新为 A2 版, 替换封面 产品图, 新增硬件框图 3. 修改附录 A 例程 4. 修改产品订购型号及替换产品参数解释图 5. 修改硬件参数命名及区分 DSP 端和 FPGA 端参数 2016/2/4 V1.1 1. 排版修改 2014/12/24

More information

Revision History Draft Date Revision No. Description 2017/12/19 V 排版修改 2. 修改附录 A 例程 3. 替换封面 产品图 机械尺寸图 硬件资源图解 4. 修改产品订购型号以及型号参数解释图 5. 修改硬件参数命名 20

Revision History Draft Date Revision No. Description 2017/12/19 V 排版修改 2. 修改附录 A 例程 3. 替换封面 产品图 机械尺寸图 硬件资源图解 4. 修改产品订购型号以及型号参数解释图 5. 修改硬件参数命名 20 Revision History Draft Date Revision No. Description 2017/12/19 V1.2 1. 排版修改 2. 修改附录 A 例程 3. 替换封面 产品图 机械尺寸图 硬件资源图解 4. 修改产品订购型号以及型号参数解释图 5. 修改硬件参数命名 2016/1/30 V1.1 1. 排版修改 2014/12/24 V1.0 1. 初始版本 公司官网 :www.tronlong.com

More information

SOM-TL18核心板规格书

SOM-TL18核心板规格书 Revision History Draft Date Revision No. Description 2016/1/30 V1.1 1. 排版修改 2014/12/24 V1.0 1. 初始版本 公司官网 :www.tronlong.com 销售邮箱 :sales@tronlong.com 公司总机 :020-8998-6280 2/26 公司官网 :www.tronlong.com 销售邮箱

More information

SOM-TL18核心板规格书

SOM-TL18核心板规格书 Revision History Draft Date Revision No. Description 2016/02/04 V1.1 1. 排版修改 2015/04/22 V1.0 1. 初始版本 公司官网 :www.tronlong.com 销售邮箱 :sales@tronlong.com 公司总机 :020-8998-6280 2/28 目 录 1 开发板简介... 4 2 典型运用领域...

More information

SOM-TL18核心板规格书

SOM-TL18核心板规格书 Revision History Draft Date Revision No. Description 2018/8/1 V1.2 1. 排版修改 2. 修改附录 A 例程 3. 更换开发板机械尺寸图 产品图 封面 硬件资源图解 4. 修改硬件参数命名 5. 修改产品订购型号和产品参数解释图 2016/02/04 V1.1 1. 排版修改 2015/04/22 V1.0 1. 初始版本 公司官网

More information

SOM-TL18核心板规格书

SOM-TL18核心板规格书 Revision History Draft Date Revision No. Description 2016/1/29 V1.1 1. 排版修改 2014/7/30 V1.0 1. 初始版本 公司官网 :www.tronlong.com 销售邮箱 :sales@tronlong.com 公司总机 :020-8998-6280 2/26 公司官网 :www.tronlong.com 销售邮箱 :sales@tronlong.com

More information

SOM-TL18核心板规格书

SOM-TL18核心板规格书 Revision History Draft Date Revision No. Description 2016/11/18 V1.0 1. 初始版本 公司官网 :www.tronlong.com 销售邮箱 :sales@tronlong.com 公司总机 :020-8998-6280 2/28 目 录 1 实验箱简介... 4 2 软硬件参数... 8 3 开发资料... 13 4 电气特性...

More information

Revision History Draft Date Revision No. Description 2018/2/8 V 更换开发板底板图片为 A2 版 2018/1/31 V 勘误 2017/11/23 V 初始版本 公司官网 :

Revision History Draft Date Revision No. Description 2018/2/8 V 更换开发板底板图片为 A2 版 2018/1/31 V 勘误 2017/11/23 V 初始版本 公司官网 : Revision History Draft Date Revision No. Description 2018/2/8 V1.2 1. 更换开发板底板图片为 A2 版 2018/1/31 V1.1 1. 勘误 2017/11/23 V1.0 1. 初始版本 公司官网 :www.tronlong.com 销售邮箱 :sales@tronlong.com 公司总机 :020-8998-6280 2/15

More information

Revision History Draft Date Revision No. Description 2018/08/31 V 勘误 电气特性, 机械尺寸图 部分内容 2018/02/26 V 排版更新, 内容修改 2. 添加 AM437x 资源对比图, 更新型号参数解释

Revision History Draft Date Revision No. Description 2018/08/31 V 勘误 电气特性, 机械尺寸图 部分内容 2018/02/26 V 排版更新, 内容修改 2. 添加 AM437x 资源对比图, 更新型号参数解释 Revision History Draft Date Revision No. Description 2018/08/31 V1.4 1. 勘误 电气特性, 机械尺寸图 部分内容 2018/02/26 V1.3 1. 排版更新, 内容修改 2. 添加 AM437x 资源对比图, 更新型号参数解释图 3. 增加产品订购型号 2017/07/26 V1.2 1. 排版修改 2016/2/18 V1.1

More information

SOM-TL665x核心板规格书

SOM-TL665x核心板规格书 2015 SOM-TL665x 核心板规格书 更多产品信息请浏览 :www.tronlong.com 广州创龙电子科技有限公司 2015/6/1 Revision History Revision No. Description Draft Date V1.0 1. 初始版本 2015/6/1 公司总机 :020-8998-6280 公司官网 :www.tronlong.com 2/13 阅前须知

More information

Revision History Draft Date Revision No. Description 2018/09/07 V 修改电气特性参数 2018/02/26 V /01/12 V 排版更新, 内容修改 2. 添加 AM437x 资源对比图, 更新开

Revision History Draft Date Revision No. Description 2018/09/07 V 修改电气特性参数 2018/02/26 V /01/12 V 排版更新, 内容修改 2. 添加 AM437x 资源对比图, 更新开 Revision History Draft Date Revision No. Description 2018/09/07 V1.5 1. 修改电气特性参数 2018/02/26 V1.4 2018/01/12 V1.3 1. 排版更新, 内容修改 2. 添加 AM437x 资源对比图, 更新开发板机械尺寸图 3. 增加产品订购型号 1. 开发板更新为 A2 版本 2. 更新 LOGO 开发板封面图

More information

SOM-TL18核心板规格书

SOM-TL18核心板规格书 Revision History Draft Date Revision No. Description 2017/10/13 V1.0 1. 初始版本 公司官网 :www.tronlong.com 销售邮箱 :sales@tronlong.com 公司总机 :020-8998-6280 2/18 目 录 1 开发板简介... 4 2 典型运用领域... 7 3 软硬件参数... 7 4 开发资料...

More information

Revision History Draft Date Revision No. Description 2018/7/9 V 修改核心版简介 硬件参数 产品订购型号 2018/2/8 V 更换开发板底板图片为 A2 版 2018/1/31 V 勘误 2017/1

Revision History Draft Date Revision No. Description 2018/7/9 V 修改核心版简介 硬件参数 产品订购型号 2018/2/8 V 更换开发板底板图片为 A2 版 2018/1/31 V 勘误 2017/1 Revision History Draft Date Revision No. Description 2018/7/9 V1.3 1. 修改核心版简介 硬件参数 产品订购型号 2018/2/8 V1.2 1. 更换开发板底板图片为 A2 版 2018/1/31 V1.1 1. 勘误 2017/11/23 V1.0 1. 初始版本 公司官网 :www.tronlong.com 销售邮箱 :sales@tronlong.com

More information

SOM-TL28335核心板规格书

SOM-TL28335核心板规格书 2015 SOM-TL28335 核心板规格书 更多产品信息请浏览 :www.tronlong.com 广州创龙电子科技有限公司 2015/9/25 RevisionHistory Revision No. Description Draft Date V1.0 1. 初始版本 2015/9/25 公司总机 :020-8998-6280 公司官网 :www.tronlong.com 2/14 阅前须知

More information

SOM-TL18核心板规格书

SOM-TL18核心板规格书 Revision History Draft Date Revision No. Description 2017/12/25 V1.3 1. 规格书文档内容勘误 2. 修改电气特性参数 2017/03/24 V1.2 1. 添加产品认证和开发例程 2016/12/16 V1.1 1. 修改简介和应用领域 2016/08/16 V1.0 1. 初始版本 公司官网 :www.tronlong.com

More information

目 录 前言 处理器 FLASH RAM QSPI Flash 加密芯片 电源接口和拨码开关 JTAG 仿真器接口 LCD 触摸屏接口 LED 指示灯 按键.

目 录 前言 处理器 FLASH RAM QSPI Flash 加密芯片 电源接口和拨码开关 JTAG 仿真器接口 LCD 触摸屏接口 LED 指示灯 按键. TL5728-IDK 开发板硬件说明书 Revision History Draft Date Revision No. Description 2018/04/10 V1.1 1. 开发板版本更新为 A3 版 2017/06/20 V1.0 1. 初始版本 公司官网 :www.tronlong.com 销售邮箱 :sales@tronlong.com 公司总机 :020-8998-6280 1/33

More information

Revision History Draft Date Revision No. Description 2019/04/06 V 更新为 B2 版本 2018/11/22 V 初始版本 公司官网 : 销售邮箱

Revision History Draft Date Revision No. Description 2019/04/06 V 更新为 B2 版本 2018/11/22 V 初始版本 公司官网 :  销售邮箱 Revision History Draft Date Revision No. Description 2019/04/06 V1.1 1. 更新为 B2 版本 2018/11/22 V1.0 1. 初始版本 公司官网 :www.tronlong.com 销售邮箱 :sales@tronlong.com 公司总机 :020-8998-6280 2/20 目 录 1 开发板简介... 4 2 典型运用领域...

More information

TL5728-EasyEVM 开发板硬件说明书 Revision History Draft Date Revision No. Description 2018/04/04 V 开发板版本更新为 A3, 文档格式校订 2017/01/16 V 添加视频输入拓展口 2. 修改

TL5728-EasyEVM 开发板硬件说明书 Revision History Draft Date Revision No. Description 2018/04/04 V 开发板版本更新为 A3, 文档格式校订 2017/01/16 V 添加视频输入拓展口 2. 修改 TL5728-EasyEVM 开发板硬件说明书 Revision History Draft Date Revision No. Description 2018/04/04 V1.2 1. 开发板版本更新为 A3, 文档格式校订 2017/01/16 V1.1 1. 添加视频输入拓展口 2. 修改 USB 介绍错漏 2016/10/26 V1.0 1. 初始版本 公司官网 :www.tronlong.com

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCAB5D1E9CAD2B7BDB0B82E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCAB5D1E9CAD2B7BDB0B82E646F63> 目 录 一 嵌 入 式 系 统 的 应 用 及 前 景... - 1 - 二 目 前 嵌 入 式 系 统 教 学 现 状 和 实 验 体 系 的 建 设... - 1 - 三 嵌 入 式 教 学 在 本 科 生 中 的 教 学 难 点... - 1 - 四 教 学 难 点 解 决 方 法... - 2-1 选 择 S+core7 核 学 习... - 2-2 选 择 ecos 操 作 系 统 进 行

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63> 嵌 入 式 系 统 设 计 师 考 试 大 纲 一 考 试 说 明 1 考 试 要 求 : (1) 掌 握 科 学 基 础 知 识 ; (2) 掌 握 嵌 入 式 系 统 的 硬 件 软 件 知 识 ; (3) 掌 握 嵌 入 式 系 统 分 析 的 方 法 ; (4) 掌 握 嵌 入 式 系 统 设 计 与 开 发 的 方 法 及 步 骤 ; (5) 掌 握 嵌 入 式 系 统 实 施 的 方 法

More information

<4D6963726F736F667420576F7264202D20B5E7D7D3D0C5CFA2C0E0D7A8D2B5C5E0D1F8B7BDB0B8D0DEB6C1D6B8C4CF2E646F63>

<4D6963726F736F667420576F7264202D20B5E7D7D3D0C5CFA2C0E0D7A8D2B5C5E0D1F8B7BDB0B8D0DEB6C1D6B8C4CF2E646F63> 福 州 大 学 校 训 博 学 远 志 明 德 至 诚 序 言 亲 爱 的 电 子 信 息 类 的 学 子 : 朝 气 蓬 勃 的 你 们 带 着 对 大 学 生 活 的 美 好 憧 憬 走 进 校 园, 开 始 谱 写 人 生 历 程 崭 新 辉 煌 的 一 页 你 们 将 在 这 风 景 如 画 的 福 州 大 学 新 校 区 里, 与 周 围 的 同 学 们 一 起 汲 取 知 识 培 养 能

More information

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010)

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010) ,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN 7-5635-1099-0...............TP36 CIP (2005)076733 : ( 10 ) :100876 : (010 )62282185 : (010)62283578 : publish@bupt.edu.cn : : : 787 mm960 mm 1/

More information

untitled

untitled XILINX Platform Cbale USB www.hseda.com ...... Platform Cable USB Compatible.................. impact.........1 platform Cable USB Compatible.........1...1...1...1...1...1 Platform Cable USB Compatible

More information

TL138-EVM开发板规格书

TL138-EVM开发板规格书 TMS320C6748 开发板使用手册 DSP+ARM+FPGA 三核主板方案领导 Revision History Revision Description Draft Remark No. Date V1.0 1. 初始版本 2014/5/5 公司总机 :020-8998-6280 公司官网 :www.tronlong.com 公司总机 :020-8998-6280 公司官网 :www.tronlong.com

More information

课外创新研学项目 构想、设计与实现

课外创新研学项目                   构想、设计与实现 实 验 教 学 改 革 与 学 科 竞 赛 相 互 促 进 东 南 大 学 电 工 电 子 实 验 中 心 胡 仁 杰 hurenjie@seu.edu.cn 主 要 内 容 全 国 大 学 生 电 子 设 计 竞 赛 发 展 概 况 竞 赛 设 计 概 要 创 新 实 验 教 学 实 践 环 境 建 设 全 国 大 学 生 电 子 设 计 竞 赛 宗 旨 结 合 教 学, 着 重 基 础 注 重

More information

TMS320C665x 开发板恢复出厂设置 Revision History DraftDate Revision No. Description 2018/03/30 V 勘误及排版修改 2017/05/24 V 将 HUA 固化到 NOR FLASH, 功能测试程序固化到

TMS320C665x 开发板恢复出厂设置 Revision History DraftDate Revision No. Description 2018/03/30 V 勘误及排版修改 2017/05/24 V 将 HUA 固化到 NOR FLASH, 功能测试程序固化到 TMS320C665x 开发板恢复出厂设置 Revision History DraftDate Revision No. Description 2018/03/30 V1.4 1. 勘误及排版修改 2017/05/24 V1.3 1. 将 HUA 固化到 NOR FLASH, 功能测试程序固化到 NAND F LASH 里面 2016/10/13 V1.2 1. 添加通过仿真器烧写程序恢复出厂默认状态

More information

微雪电子 Open103Z 实验手册 Open103Z 实验手册 目录 准备工作 BitIO-Pushbutton... 3 ADC+DMA... 4 CAN-LoopBack... 5 DAC... 6 DS18B GPIO_Key_L

微雪电子   Open103Z 实验手册 Open103Z 实验手册 目录 准备工作 BitIO-Pushbutton... 3 ADC+DMA... 4 CAN-LoopBack... 5 DAC... 6 DS18B GPIO_Key_L 目录 准备工作... 3 8BitIO-Pushbutton... 3 ADC+DMA... 4 CAN-LoopBack... 5 DAC... 6 DS18B20... 7 GPIO_Key_LED... 8 I2C... 9 LCD-HY32D_FSMC... 10 Nand Flash...11 NorFlash... 12 PS2... 13 RTC... 14 SD_FatFS... 15

More information

【工程类】

【工程类】 茂 名 市 地 方 税 务 局 会 议 室 功 能 升 级 项 目 采 购 项 目 编 号 :GZGD-2015-008 公 开 招 标 文 件 广 州 广 大 工 程 项 目 管 理 有 限 公 司 编 制 发 布 日 期 : 二 0 一 六 年 一 月 捌 日 目 录 第 一 部 分 投 标 邀 请 函 -----------------------------------------------------------------------------------------------------------

More information

bingdian001.com

bingdian001.com (AVA PROPRE 6HD) ... 5 1.1 1.2 1.3 1.4 1.5 1.6... 5... 6... 6... 9... 9... 10... 12 2.1 2.2 2.3 2.4 2.5 2.6 2.7 2.8 2.9... 12... 12... 13... 13... 14... 15... 16... 17... 18 2.10... 18 2.11... 19 2.12...

More information

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input 06 6 6 HR7PPSC HR7PPSD SOP SOP6 +input 06 6 +input 06 6 6 6 HR7PERB SSOP0 7+input 06 6 6 HR7PESC

More information

专业主干课程与主要专业课程教学大纲(2009年、2011年).doc

专业主干课程与主要专业课程教学大纲(2009年、2011年).doc ... 1... 4... 9... 12... 16... 20... 23... 26... 30... 33... 36 Electric Circuits 00440021 64 0 0 4 1 2 Y- 3 4 ZYT H 5 Analog Electronic Technique 00440041 54 14 0 3.5 1. 2. 1. 2. 3. RC 4. 5. 1. 20 2.

More information

微雪电子 Open407V-D 实验手册 Open407V-D 实验手册 目录 准备工作... 2 ADC+DMA... 2 CAN1 TO CAN2-Normal... 3 DCMI_OV DCMI_OV I2C... 6 L

微雪电子   Open407V-D 实验手册 Open407V-D 实验手册 目录 准备工作... 2 ADC+DMA... 2 CAN1 TO CAN2-Normal... 3 DCMI_OV DCMI_OV I2C... 6 L Open407V-D 实验手册 目录 准备工作... 2 ADC+DMA... 2 CAN1 TO CAN2-Normal... 3 DCMI_OV7670... 4 DCMI_OV9655... 5 I2C... 6 LCD-HY32D_FSMC... 7 Nand Flash_PCB0... 8 Nand Flash_SCB0... 9 SD_FatFS... 11 SDIO... 12 SPI...

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

ICD ICD ICD ICD ICD

ICD ICD ICD ICD ICD MPLAB ICD2 MPLAB ICD2 PIC MPLAB-IDE V6.0 ICD2 usb PC RS232 MPLAB IDE PC PC 2.0 5.5V LED EEDATA MPLAB ICD2 Microchip MPLAB-IDE v6.0 Windows 95/98 Windows NT Windows 2000 www.elc-mcu.com 1 ICD2...4 1.1 ICD2...4

More information

Ch03_嵌入式作業系統建置_01

Ch03_嵌入式作業系統建置_01 Chapter 3 CPU Motorola DragonBall ( Palm PDA) MIPS ( CPU) Hitachi SH (Sega DreamCast CPU) ARM StrongARM CPU CPU RISC (reduced instruction set computer ) CISC (complex instruction set computer ) DSP(digital

More information

E68 E68C 中性说明书 A6版

E68 E68C 中性说明书 A6版 GPS 行 车 记 录 仪 一 体 机 详 细 功 能 描 述 广 州 超 前 计 算 机 科 技 有 限 公 司 ( 版 本 :V1.0 修 改 时 间 2011-11-16) 目 录 一 产 品 外 形... 1 二 功 能 与 操 作... 1 1 行 驶 记 录 仪 功 能... 1 2 显 示 屏 菜 单 功 能... 5 3 接 线 图... 7 4 屏 操 作 功 能 说 明...

More information

PROTEUS VSM

PROTEUS  VSM Proteus VSM-- 1/1 PROTEUS VSM Proteus VSM ISIS Prospice VSM Proteus PROSPICE ARM7 PIC AVR HC11 8051 CPU LCD RS232 LED IAR Keil Hitech C make 6000 SPICE SPICE DLL SPICE3F5 14 FM PROTEUS PCB LED/LCD / 300

More information

说明书库

说明书库 简 介 非 常 感 谢 您 选 择 使 用 智 能 手 机! 本 手 机 是 一 部 单 C 网 并 具 有 商 务 协 同 功 能 的 智 能 手 机! 该 话 机 除 支 持 基 本 的 语 音 通 话 短 信 等 功 能 之 外, 还 有 如 下 特 色 功 能 : 无 线 上 网, 酣 畅 高 速 通 过 CDMA 1X, 网 上 冲 浪, 无 所 不 通 商 务 协 同, 功 能 强 大

More information

USB解决方案.ppt

USB解决方案.ppt USB USB? RS232 USB USB HID U modem ADSL cable modem IrDA Silabs USB CP210x USB UART USB RS-232 USB MCU 15 USB 12 FLASH MCU 3 USB MCU USB MCU C8051F32x 10 ADC 1.5%, Vref CPU 25MIPS 8051 16KB Flash -AMUX

More information

1. 精 通 运 用 结 构 相 关 设 计 软 件, 如 Pro-E AutoCAD 等 ; 1. 参 不 机 器 人 项 目 评 估, 提 出 吅 理 的 产 品 结 构 设 计 方 案 ; 结 构 3 不 限 不 限 2. 3 年 以 上 工 业 产 品 结 构 设 计 工 作 经 验, 具

1. 精 通 运 用 结 构 相 关 设 计 软 件, 如 Pro-E AutoCAD 等 ; 1. 参 不 机 器 人 项 目 评 估, 提 出 吅 理 的 产 品 结 构 设 计 方 案 ; 结 构 3 不 限 不 限 2. 3 年 以 上 工 业 产 品 结 构 设 计 工 作 经 验, 具 子 公 司 名 称 新 兴 智 能 深 圳 万 腾 ( 深 圳 ) 职 位 人 数 机 械 运 控 调 试 员 哈 工 大 机 器 人 集 团 有 限 公 司 2016 届 校 园 招 聘 简 章 学 历 专 业 要 求 岗 位 职 责 自 动 化 机 械 制 造 专 业 机 械 及 自 动 化 专 业 1 精 通 CAD Pro/E 等 软 件, 熟 悉 从 组 立 图 到 零 件 图 的 设 计

More information

《手机维修原理及维修实例详解》目录

《手机维修原理及维修实例详解》目录 1 IC MP3 MP4 2 CPU MP3 MP4 13M 1 LCD 2 13MHz 3 CONTACT SERVICE T SIM MP3 MP4 2 4 FLASH ROM EEPROM RAM SIM CPU CPU RST CPU FLASH Flash CE CS Flash DE WE RST Flash Flash FLASH EEPROM Phone Locked CON-TACTSERVICE

More information

WON1200 规格书 Author:Wonhere Rev:0.22 Date:2015-May

WON1200 规格书 Author:Wonhere Rev:0.22 Date:2015-May WON1200 规格书 Author:Wonhere Rev:0.22 Date:2015-May-13 1 Revision History 0.2, 增加 J2 的 PIN57 PIN59 说明 0.21,2014-Feb-17 去掉表格中的 HDMI 接口 修改 SPI 个数, 只有 1 个 SPI 接口 修改 硬件参数 表格 增加备注 关于 MMC1_DAT4-7 被替换 0.22, 增加

More information

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键 官 方 淘 宝 地 址 :http://metech.taobao.com/ MeTech verilog 典 型 例 程 讲 解 V1.0 笔 者 :MeTech 小 芯 技 术 支 持 QQ : 417765928 1026690567 技 术 支 持 QQ 群 :207186911 China AET 讨 论 组 http://group.chinaaet.com/293 笔 者 博 客 :http://blog.csdn.net/ywhfdl

More information

<B0B8C0FDCAD6B2E15FD3A1CBA2B0E6>

<B0B8C0FDCAD6B2E15FD3A1CBA2B0E6> WWW.ICPDAS.COM.CN 1 关 于 泓 格 泓 格 科 技 成 立 于 1993 年, 以 基 于 PC 的 数 据 采 集 卡 为 最 初 的 研 发 产 品 线,1998 年 我 们 认 为 嵌 入 式 控 制 器 极 具 未 来 性, 所 以 整 个 研 发 的 重 心 移 到 了 各 种 嵌 入 式 控 制 器 远 程 I/O 模 块 等 产 品 线 经 过 十 多 年 的 努

More information

1 MTK 2 MTK 3 MTK

1 MTK 2 MTK 3 MTK 1 MTK 2 MTK 3 MTK MTK MTK MTK MTK 1997, ( 10 DVD : 2000, 3G GSM/GPRS/WCDMA/ EDGE Multimedia Phone 2.5G MT6218/ MT6217 GSM/GPRS Multimedia Platform MT6205 GSM Low-End Platform MT6219 GSM/GPRS Video Platform

More information

石油大学(北京)

石油大学(北京) 大 學 生 安 全 教 育 參 考 資 料 1 對 大 學 生 進 行 安 全 教 育 的 必 要 性 第 一 篇 遵 紀 守 法 篇 第 1 章 安 全 教 育 大 學 生 活 對 於 每 一 位 莘 莘 學 子 來 說 都 是 一 段 美 好 而 又 難 忘 的 時 光 而 這 一 切 從 頭 開 始 的 時 候, 不 大 容 易 被 同 學 們 重 視 的 往 往 是 安 全 問 題 安 全

More information

SOM-TL18核心板规格书

SOM-TL18核心板规格书 基于 SYSBIOS 的 EtherCAT 开发例程 Revision History Draft Date Revision No. Description 2018/06/19 V1.2 1. 内容勘误, 例程排版更新 2017/08/10 V1.1 1. 排版修正 2017/06/01 V1.0 1. 初始版本 公司官网 :www.tronlong.com 销售邮箱 :sales@tronlong.com

More information

深圳市国际招标有限公司

深圳市国际招标有限公司 采 购 文 件 采 购 项 目 编 号 :SSZ-2015G008 采 购 项 目 名 称 : 松 岗 中 心 小 学 扩 建 教 学 设 备 设 施 采 购 及 安 装 项 目 采 购 方 式 : 公 开 招 标 采 购 人 ( 章 ): 佛 山 市 南 海 区 狮 山 镇 教 育 局 采 购 代 理 机 构 ( 章 ): 深 圳 市 国 际 招 标 有 限 公 司 日 期 : 年 月 日 目 录

More information

DPJJX1.DOC

DPJJX1.DOC 8051 111 2K 1 2 3 ' ' 1 CPU RAM ROM / A/D D/A PC CPU 40 68 10 20 8 51 PIII 8051 2 MCS51 8051 8031 89C51 8051 8031 89C51? MCS51 INTEL INTEL 8031 8051 8751 8032 8052 8752 8051 8051 8051 MCS51 8031 8031

More information

1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.4... 6 2.5... 7 2.6... 7 3 2005... 8 3.1... 8 3.2... 9 3.3... 9 3.4 ( RMB100,000)... 9 3.5... 9 4...11 4.1.

1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.4... 6 2.5... 7 2.6... 7 3 2005... 8 3.1... 8 3.2... 9 3.3... 9 3.4 ( RMB100,000)... 9 3.5... 9 4...11 4.1. http://www.unsp.com.cn 1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.4... 6 2.5... 7 2.6... 7 3 2005... 8 3.1... 8 3.2... 9 3.3... 9 3.4 ( RMB100,000)... 9 3.5... 9 4...11 4.1... 11 4.2... 12 5... 13 5.1...

More information

GA-8IG P4 533 Pentium Rev MC-8IG-1201

GA-8IG P4 533 Pentium Rev MC-8IG-1201 GA-8IG P4 533 Pentium Rev. 20 2MC-8IG-20 ... 3... 3... 4... 4 GA-8IG Layout... 6... 7 (CPU... 8 -... 8-2... 9 2... 0 3... 2 4:... 3 4- I/O... 3 4-2... 5 4-3... 2 GA-8IG - 2 - GA-8IG GA-8IG x / x x. 2.

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

GW EDA VHDL VHDL VHDL VHDL ADC009 0 FPGA PC GW EDA a GW EDA beda README.TXT c d 0 e J MZH +V GND -V D/A +V GND S JA J D D D D D D D D C K J J VGA VGA B EDA JB B J HC B RS- CON CON HC PS/ CPLD/FPGA J RS-

More information

PCM-3386用户手册.doc

PCM-3386用户手册.doc PCM-3386 BBPC-4x86 10/100M PC/104 (Lanry technology Co. Ltd. Zhuhai) 38 1012836 (Address: Room 1012,Linhai Building,No. 38,west of Shihua Road,Zhuhai City,Guangdong Province,China) (post code)519015 (phone)0756-3366659

More information

AD Z

AD Z FUJITSU Semiconductor FUJITSU SEMICONDUCTOR LIMITED 1969 50 Ferroelectric Random Access Memory 1999 50 20020 30 IC RFID 2017 125 RFID LSI LSI & 2017 ET/IoT Technology IoT Technology Ferroelectric Random

More information

UDC 厦门大学博硕士论文摘要库

UDC 厦门大学博硕士论文摘要库 10384 200030003 UDC 2003 8 2003 9 2003 Hardware Platform for 2D Barcode Reader Techniques Thesis for the degree of Master of Science By Liu Zhenyu (Electronic Engineering Dept., Xiamen University, P.R.

More information

Microsoft Word - 134招标文件.doc

Microsoft Word - 134招标文件.doc 威 海 市 政 府 采 购 招 标 文 件 采 购 编 号 :WHGP2016-134 采 购 项 目 : 网 站 建 设 采 购 方 式 : 公 开 招 标 山 东 省 鲁 成 招 标 有 限 公 司 2016 年 5 月 20 日 目 录 第 一 部 分 招 标 公 告 2 第 二 部 分 投 标 人 须 知 4 第 三 部 分 开 标 评 标 定 标 13 第 四 部 分 采 购 项 目 说

More information

j_xilinx-training-courses_2012.pdf

j_xilinx-training-courses_2012.pdf Xilinx Training Catalog ... 2... 3-7 FPGA ISE... 8 FPGA... 9 FPGA... 10 FPGA... 11 Spartan-6... 12 Virtex-6... 13 7 FPGA... 14 PlanAhead... 15 PlanAhead... 16 ChipScope Pro... 17... 18... 19... 20 LogiCORE

More information

上海东软载波微电子有限公司 SMART

上海东软载波微电子有限公司 SMART 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P/ES7P OTPMCU A/D I/O OTP ROM RAM ES7P7x ES7P7PSD SOP +input 0 ES7P7PSF SOP0 7+input 0 ES7P7PRF SSOP0 7+input 0 EEPROM MHz 0 ~.V ~.V MHz, KHz MHz 0 ~.V

More information

2005.book

2005.book ...4... 4... 7...10... 10... 10... 10... 10... 11... 11 PCC... 11 TB170... 12 /... 12...13... 13 BP150 / BP151 / BP152 / BP155... 14...15... 15... 15... 15... 15... 15... 15... 16 PS465 / PS477... 17 PS692

More information

TITLE 标题 PAGE 页码 CONTENTS( 目录 )... 1 RECORD OF REVISIONS( 修改记录 )... 1 GENERAL DESCRIPTION( 整体概述 )... 2 FUNCTION LAYOUT( 产品外观图 )... 2 FEATURES( 产品特性 ).

TITLE 标题 PAGE 页码 CONTENTS( 目录 )... 1 RECORD OF REVISIONS( 修改记录 )... 1 GENERAL DESCRIPTION( 整体概述 )... 2 FUNCTION LAYOUT( 产品外观图 )... 2 FEATURES( 产品特性 ). TITLE 标题 PAGE 页码 CONTENTS( 目录 )... 1 RECORD OF REVISIONS( 修改记录 )... 1 GENERAL DESCRIPTION( 整体概述 )... 2 FUNCTION LAYOUT( 产品外观图 )... 2 FEATURES( 产品特性 )... 2 INTERFACE DEFINITION( 接口定义 )... 3 CONTROLLER DIMENSIONS(

More information

<4D6963726F736F667420576F7264202D20D4D3D6BECEC4B8E5C4A3B0E52E646F63>

<4D6963726F736F667420576F7264202D20D4D3D6BECEC4B8E5C4A3B0E52E646F63> 1/8 文 / 杜 洋 自 从 我 的 爱 上 单 片 机 一 书 问 世, 爱 上 一 词 就 在 电 子 制 作 的 圈 子 里 小 火 了 一 把 随 后 又 出 现 了 爱 上 制 作 爱 上 Arduino, 还 有 一 大 堆 类 似 名 字 的 出 版 物 虽 然 每 一 本 的 内 容 都 很 精 彩, 但 总 这 么 爱 来 爱 去 的, 是 不 是 有 点 审 美 疲 劳 呢?

More information

钢铁产业与衡器行业

钢铁产业与衡器行业 2013 2013 4 4.0 PLC CPS Cyber Physical Systems 1.0 1 1.0 2013 MICROSCAN DATALOGIC BANNER SICK OPTEX CMOS CCD 1 / / 2 1 LED 2 2 3 CCD CMOS 4 / A/D FPGA DSP IEEE1394 RS-644 LVDS Channel Link LVDS Camera

More information

TL138-EVM开发板规格书

TL138-EVM开发板规格书 TMS320C6748 开发板使用手册 DSP+ARM+FPGA Revision History Revision Description Draft Remark No. Date V1.0 1. 初始版本 2014/5/5 公司总机 :020-8998-6280 公司官网 :www.tronlong.com 阅前须知 版权声明广州创龙电子科技有限公司保留随时对其产品进行修改和完善的权利, 同时也保留在不作任何通告的情况下,

More information

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0 SH79F6431 1. SH79F6431 1T 8051 FLASH SH79F JET51 Keil µ vision JTAG 1.1. SH79F6431 LQFP64 1.2. (Target Board) SH79F6431 1 V 1.0 1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F6431 1 2 1 B. 1(VCC/GND)

More information

untitled

untitled 0755-0755- support@googoltech.com http://www.googoltech.com.cn (852) 2358-1033 (852) 2358-4931 info@googoltech.com http://www.googoltech.com/ GE http://www.googoltech.com.cn 075526970839 support@googoltech.com

More information

HMI COM1 RS SIEMENSE S7-200 RS485

HMI COM1 RS SIEMENSE S7-200 RS485 目录 第一部分维控人机界面串口引脚定义...2 1 LEVI777T COM1 引脚定义原理图...2 2 LEVI777T COM2 引脚定义原理图...2 3 LEVI908T COM1 引脚定义原理图...2 4 LEVI908T COM2/COM3 引脚定义原理图...3 第二部分通信针头...4 1 通信针头...4 第三部分各 PLC 与 LEVI 通信线接法...5 1 西门子 S7-200

More information

逢甲大學

逢甲大學 逢 甲 大 學 資 訊 工 程 學 系 專 題 研 究 報 告 Altera DE2-70 搭 配 LTM 實 作 遊 戲 - 小 蜜 蜂 指 導 教 授 : 陳 德 生 學 生 : 林 桂 廷 ( 資 訊 四 丙 ) 張 育 祥 ( 資 訊 四 丙 ) 中 華 民 國 壹 百 年 十 一 月 摘 要 本 專 題 是 利 用 Altera DE2-70 開 發 板 和 TRDB_LTM 觸 控 面

More information

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi SPHE8202R Design Guide V2.0 JUN, 2007 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com SPHE8202R Design Guide Important Notice

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

中文手册

中文手册 PCC-3428 PC/104 1. PCC-3428 1.1 PCC-3428 90mm 96mm ST CPU STPC Atlas Atlas CPU 486 DX/DX2 CPU DX2 133MHz Atlas 2D LCD/CRT 100MHz SDRAM 64MBytes PCC-3428 10/100Mbps DOC EIDE USB PC/104 ST STPC Atlas STPC

More information

X713_CS_Book.book

X713_CS_Book.book / / /USB ) ; ; C D ; ; B B 1 >> 2 3 B 1 ( > > ) 了解显示屏上显示的图标 Wap 信箱收到一条 Wap push 信息 GSM GPS ( ) 手机已连接到 GSM 网络 指示条越多, 接收质量越好 GPS 2 ...........................4.............................. 4 Micro SD (

More information

Microsoft Word - 正文.doc

Microsoft Word - 正文.doc 1 2 1 2 3 4 5 6 7 8 9 10 3 1 150 2 150 1 1 1.1 1.1.1 1.2 1.2.1 1.2.2 1.2.3 1.3 1.3.1 1.3.2 1.4 1.4.1 CPU 1.4.2 I/O 1.4.3 I/O 1.5 1.5.1 CISC RISC 1.5.2 1.5.3 1.6 1.6.1 1.6.2 N 1.6.3 2 2.1 2.1.1 2.1.2 2.1.3

More information

F515_CS_Book.book

F515_CS_Book.book /USB , ( ) / L R 1 > > > 2, / 3 L 1 > > > 2 + - 3, 4 L 1 了解显示屏上显示的图标 Wap 信箱收到一条 Wap push 信息 ( ) GSM 手机已连接到 GSM 网络 指示条越多, 接收质量越好 2 ...........................4.............................. 4 Micro SD (

More information

技 术 支 持 电 话 1.800.283.5936 1.801.974.3760 传 真 1.801.977.0087 电 子 邮 件 网 址 CONVERGE PRO 880/880T/840T/8i, CON

技 术 支 持 电 话 1.800.283.5936 1.801.974.3760 传 真 1.801.977.0087 电 子 邮 件 网 址  CONVERGE PRO 880/880T/840T/8i, CON CONVERGE PRO 880 880T 840T 8i TH20 CONVERGE SR 1212 专 业 会 议 系 统 安 装 和 操 作 手 册 技 术 支 持 电 话 1.800.283.5936 1.801.974.3760 传 真 1.801.977.0087 电 子 邮 件 tech.support@clearone.com 网 址 www.clearone.com CONVERGE

More information

8S E600Y 0773-CE

8S E600Y 0773-CE 控制面板及侧 背面端子 液晶电视快速操作引导 液晶电视快速操作引导 控制面 板 按键介 绍 控制面板按键及侧面端子介绍 感光头 红外接收器 指示灯 电源 开 关 按键 频 道- 频 道+ 音 量- 音 量+ 频道选择键 音量控制键 菜单 确定 返 回/主 页 确定键 返回/主页键 菜单键 背面端 子 介绍 USB1 光纤音频 输出 USB2 USB3 SD卡 SD卡槽 CA卡 V 注:当您使用非本机配送的重低音音箱连接本机

More information

104 年 度 第 一 次 會 議 ( )

104 年 度 第 一 次 會 議 ( ) 專 責 小 組 會 議 紀 錄 104 年 03 月 24 日 會 議 紀 錄 104 年 09 月 10 日 會 議 紀 錄 104 年 11 月 24 日 會 議 紀 錄 104 年 12 月 15 日 會 議 紀 錄 104 年 度 第 一 次 會 議 (104.03.24) 遠 東 科 技 大 學 104 年 度 教 育 部 獎 勵 補 助 款 專 責 小 組 第 1 次 會 議 紀 錄 時

More information

深圳市海天雄电子有限公司 Shenzhen Haitianxiong Electronic Co., Ltd. CES-V210-CORE 产品手册 ARM 核心板 Rev. V1.0 Date:

深圳市海天雄电子有限公司 Shenzhen Haitianxiong Electronic Co., Ltd. CES-V210-CORE 产品手册 ARM 核心板 Rev. V1.0 Date: 深圳市海天雄电子有限公司 Shenzhen Haitianxiong Electronic Co., Ltd. CES-V210-CORE 产品手册 Rev. V1.0 Date:2017-06-02 www.nrisc.com 简介 CES-V210 核心板基于 Samsung 公司 ARM Cortex- A8 S5PV210 应用处理器, 支持高达 1GHz 的处理速度, 核心板上配置一枚智能电源管理芯片,

More information

Microsoft Word - shenbaocailiao.doc

Microsoft Word - shenbaocailiao.doc 附 件 13: 陕 西 高 等 学 校 本 科 实 验 教 学 示 范 中 心 申 请 书 推 荐 单 位 : 西 北 工 业 大 学 明 德 学 院 学 校 名 称 : 西 北 工 业 大 学 明 德 学 院 中 心 名 称 : 电 子 与 通 信 实 验 教 学 中 心 中 心 网 址 : http://www.npumd.cn/teach/mingde/index.htm 中 心 联 系 电

More information

单片机应用编程技巧(专家:邓宏杰)

单片机应用编程技巧(专家:邓宏杰) 编 者 注 : 本 文 件 为 电 子 工 程 专 辑 网 站 编 辑 部 原 创, 电 子 工 程 专 辑 享 有 本 文 章 完 全 著 作 权, 如 需 转 载 该 文 章, 必 须 经 过 电 子 工 程 专 辑 网 站 编 辑 部 同 意 联 系 电 子 工 程 专 辑 网 站 编 辑 部, 请 发 信 至 eetcol@globalsources.com 单 片 机 应 用 编 程 技

More information

GPS ET700 ET700 Microsoft Windows Mobile 6 GPS ET700, USB MHz GPS 256MB Flash 128MB RAM ET700 MSN Office ET QVGA ET700 2

GPS ET700 ET700 Microsoft Windows Mobile 6 GPS ET700, USB MHz GPS 256MB Flash 128MB RAM ET700 MSN Office ET QVGA ET700 2 :Lenovo ET700 1 GPS ET700 ET700 Microsoft Windows Mobile 6 GPS ET700, USB2.0 624MHz GPS 256MB Flash 128MB RAM ET700 MSN Office ET700 2.5 QVGA ET700 2 3 Lenovo 800-810-8888 400-818-8818 http://www.lenovomobile.com

More information

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor 1 4.1.1.1 (Load) 14 1.1 1 4.1.1.2 (Save) 14 1.1.1 1 4.1.2 (Buffer) 16 1.1.2 1 4.1.3 (Device) 16 1.1.3 1 4.1.3.1 (Select Device) 16 2 4.1.3.2 (Device Info) 16 2.1 2 4.1.3.3 (Adapter) 17 2.1.1 CD-ROM 2 4.1.4

More information

軟體的安裝

軟體的安裝 DMECOM DAR 8000 / 1600A 錄 音 系 統 使 用 說 明 書 Version1.0.0 目 錄 1 產 品 簡 介... 1 2 產 品 外 觀 功 能... 1 3 包 裝 內 容... 2 4 系 統 要 求... 2 5 錄 音 系 統 的 登 入... 2 6 AM2000 使 用 說 明... 7 6.1 AM2000 外 觀 於 主 機 DAR 8000 連 接 圖...

More information

Microsoft Word - A200911-255.doc

Microsoft Word - A200911-255.doc 硅 片 调 谐 器 (TUNER) 在 PC-TV 上 的 应 用 高 云 北 京 歌 华 有 线 电 视 网 络 股 份 有 限 公 司, 北 京 (100007) E-mail:gaoyun@bgctv.com.cn 摘 要 : 本 文 介 绍 一 款 USB 接 口 的 A+D 电 视 接 收 盒 的 设 计, 该 设 计 采 用 小 尺 寸 的 硅 片 TUNER 与 EM2880 芯 片

More information

目 录 一 LED 产 品 发 展 历...1 二 LED 显 示 屏 市 场 应 用 领 域...2 三 LED 显 示 屏 的 基 本 构 成...3 四 LED 显 示 屏 一 般 常 见 的 几 种 分 类 :...4 五 LED 显 示 屏 的 选 择 时 考 虑 因 素...5 六 LE

目 录 一 LED 产 品 发 展 历...1 二 LED 显 示 屏 市 场 应 用 领 域...2 三 LED 显 示 屏 的 基 本 构 成...3 四 LED 显 示 屏 一 般 常 见 的 几 种 分 类 :...4 五 LED 显 示 屏 的 选 择 时 考 虑 因 素...5 六 LE LED 培 训 教 材 目 录 一 LED 产 品 发 展 历...1 二 LED 显 示 屏 市 场 应 用 领 域...2 三 LED 显 示 屏 的 基 本 构 成...3 四 LED 显 示 屏 一 般 常 见 的 几 种 分 类 :...4 五 LED 显 示 屏 的 选 择 时 考 虑 因 素...5 六 LED 显 示 屏 系 统 要 求 和 安 装...6 七 LED 全 彩 屏 系

More information

1 Visual Studio.NET Linux C++ JBuilder 4 RJ45 RS3 Modem 6 MAC IP TCP Socket UDP FTP ; Windows 000 Serve : 8 Windows 000 Serve DNS DHCP Web FTP E

1 Visual Studio.NET Linux C++ JBuilder 4 RJ45 RS3 Modem 6 MAC IP TCP Socket UDP FTP ; Windows 000 Serve : 8 Windows 000 Serve DNS DHCP Web FTP E Experiment of Computer Networks 1 / 1 / 003.6 Youlu Zheng Shakil Akhtar Networks for Computer Scientists and Engineer 004.5 Visual Studio.NET Linux C++ JBuilder RS3 Modem IP TCP Socket FTP ; Windows 000

More information

数 字 隔 离 器 光 耦 隔 离 器 或 者 电 磁 隔 离 器 用 来 将 系 统 现 场 的 ADC DAC 和 信 号 调 理 电 路 与 数 字 端 的 控 制 器 隔 离 开 来 如 果 模 拟 端 的 系 统 也 必 须 实 现 充 分 隔 离 的 话, 在 输 入 或 者 输 出 的

数 字 隔 离 器 光 耦 隔 离 器 或 者 电 磁 隔 离 器 用 来 将 系 统 现 场 的 ADC DAC 和 信 号 调 理 电 路 与 数 字 端 的 控 制 器 隔 离 开 来 如 果 模 拟 端 的 系 统 也 必 须 实 现 充 分 隔 离 的 话, 在 输 入 或 者 输 出 的 图 1 PLC 系 统 架 构, 示 出 了 各 种 不 同 的 I/O 模 块 功 能 PLC 系 统 包 含 输 入 模 块 输 出 模 块 和 输 入 / 输 出 模 块 因 为 许 多 输 入 和 输 出 都 涉 及 现 实 世 界 中 的 模 拟 变 量 而 控 制 器 是 数 字 式 的 PLC 系 统 硬 件 设 计 任 务 将 主 要 围 绕 如 下 方 面 展 开 : 数 模 转

More information

TL138-EVM开发板规格书

TL138-EVM开发板规格书 OMAPL138 开 发 板 Linux SD 系 统 启 动 卡 制 作 方 法 Revision History Revision Description Draft Remark No. Date V1.0 1. 初 始 版 本 2013/12/25 销 售 邮 箱 :sales@tronlong.com 公 司 总 机 :020-8998-6280 技 术 邮 箱 :support@tronlong.com

More information

标题

标题 文学蓝皮书 9 网络文学 趋向主流化 酝酿新格局 摘 要 2015 年的网络文学 在中央重视 政府主导 民间先 行 资本发力等诸多因素联手推动下 呈现出借势发 展和强势进取的良好势头 网络小说创作 在虚构类 的玄幻与仙侠 写实类的历史与都市 都有好的和比 较好的力作佳构联袂而来 主流体制组建网络文学机 构 IP 热 愈演愈烈 都从不同的侧面和层面推动网 络文学进而做大做强 使之成为当代文学中最具成长

More information

<4D6963726F736F667420576F7264202D2031303130315FB971BEF7BB50B971A46CB8735FB773A55FA5ABA5DFB7E7AADAB0AAAFC5A475B77EC2BEB77EBEC7AED55FA97EAE61C0F4B9D2B4BCBC7AB1B1A8EEA874B2CE2E646F63>

<4D6963726F736F667420576F7264202D2031303130315FB971BEF7BB50B971A46CB8735FB773A55FA5ABA5DFB7E7AADAB0AAAFC5A475B77EC2BEB77EBEC7AED55FA97EAE61C0F4B9D2B4BCBC7AB1B1A8EEA874B2CE2E646F63> 全 國 高 職 學 生 102 年 度 專 題 製 作 競 賽 報 告 書 居 家 環 境 智 慧 控 制 系 統 群 別 : 電 機 與 電 子 群 參 賽 作 品 名 稱 : 居 家 環 境 智 慧 控 制 系 統 關 鍵 詞 : 環 境 監 控 ZigBee 感 測 元 件 目 錄 壹 摘 要... 2 貳 研 究 動 機...2 參 研 究 方 法...3 一 研 究 器 材...3 二 研

More information

Microsoft Word - VC2K_20141030_cht.doc

Microsoft Word - VC2K_20141030_cht.doc 影 像 伺 服 器 VC-1500/1800/2000 操 作 說 明 書 影 像 伺 服 器 操 作 說 明 書 20141030 0 目 錄 硬 體 介 紹...4 正 面...4 背 面 說 明...4 配 件...5 接 線 架 構 說 明 圖...5 搭 配 有 線 電 視 數 位 盒 接 法...6 PC-09N 無 線 轉 發 器 指 定 插 槽...6 軟 體 安 裝 說 明...7

More information

目 录

目 录 1 Quick51...1 1.1 SmartSOPC Quick51...1 1.2 Quick51...1 1.3 Quick51...2 2 Keil C51 Quick51...4 2.1 Keil C51...4 2.2 Keil C51...4 2.3 1 Keil C51...4 2.4 Flash Magic...9 2.5 ISP...9 2.6...10 2.7 Keil C51...12

More information

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica CP Chip Power ARM Cortex-M3 (STM32F) ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplication and hardware

More information

<4D F736F F D20F7E8F7EBD7F9C3D4C4E3BFAAB7A2B0E5D3B2BCFECAB9D3C3CAD6B2E156312E302E646F6378>

<4D F736F F D20F7E8F7EBD7F9C3D4C4E3BFAAB7A2B0E5D3B2BCFECAB9D3C3CAD6B2E156312E302E646F6378> 麒麟座迷你开发板硬件使用手册 V1.0 2016 年 7 月 18 日 1 版本记录 版本号 日期 作者 修改内容 备注 V1.0 2016 7 18 谢斌强 初始版本 2 目录 第一章 OneNET 麒麟座迷你开发板简介... 4 1.1 MCU 介绍... 4 1.2 开发板功能简介... 4 1.3 开发板配置... 6 第二章硬件资源... 7 2.1 Bom 表... 7 相关资料...

More information

供热 2 版.indd

供热 2 版.indd 公 司 简 介 和 利 时 公 司 是 工 业 自 动 化 产 品 的 专 业 生 产 基 地 销 售 中 心 和 工 程 服 务 中 心, 致 力 于 工 业 自 动 化 领 域 发 展 已 近 20 年, 一 直 保 持 快 速 稳 健 的 发 展, 产 品 广 泛 应 用 在 电 力 化 工 石 化 市 政 建 材 冶 金 造 纸 制 药 环 保 城 市 轨 道 交 通 机 械 制 造 等 行

More information

VME

VME VMEbus VME 35 VME VME VME EOL Tsi148 VME PCI-X Marvell 2025 VME Power Architecture VME VME VME VME VME VME VME PCI VME VME VME 32 32 CPU I/O VME VMEbus 20 70 VERSAbus European Microsystems group VERSAbus

More information

ESP3-WROVER URL Wi-Fi Wi-Fi Bluetooth SIG 08

ESP3-WROVER     URL Wi-Fi Wi-Fi Bluetooth SIG 08 ESP3-WROVER.0 08 www.espressif.com ESP3-WROVER www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 08 3. 3. 4.3 Strapping 5 3 7 3. CPU 7 3. Flash SRAM

More information

untitled

untitled DOP www.deltaww.com DOP (RS232/RS485/ RS422) DOP USB SD 30 100 PLC Best Performance Huma Beautiful Display Beneficial Feature 1 DOP LED 65536 2D n Machine Interface s 2 DOP-B DOP-B 4.3 inches Wide B03S211

More information