TL5728-EasyEVM 开发板硬件说明书 Revision History Draft Date Revision No. Description 2018/04/04 V 开发板版本更新为 A3, 文档格式校订 2017/01/16 V 添加视频输入拓展口 2. 修改

Size: px
Start display at page:

Download "TL5728-EasyEVM 开发板硬件说明书 Revision History Draft Date Revision No. Description 2018/04/04 V 开发板版本更新为 A3, 文档格式校订 2017/01/16 V 添加视频输入拓展口 2. 修改"

Transcription

1 TL5728-EasyEVM 开发板硬件说明书 Revision History Draft Date Revision No. Description 2018/04/04 V 开发板版本更新为 A3, 文档格式校订 2017/01/16 V 添加视频输入拓展口 2. 修改 USB 介绍错漏 2016/10/26 V 初始版本 公司官网 : 销售邮箱 公司总机 : /29

2 目 录 1 处理器 FLASH RAM QSPI Flash 硬件加密芯片 电源接口和拨码开关 JTAG 仿真器接口 LCD 触摸屏接口 LED 指示灯 按键 串口 BOOT SET 启动选择开关 Micro SD 接口 拓展 IO 信号 底板 B2B 连接器 RTC 座 USB OTG/USB HUB 接口 RGMII 千兆以太网口 HDMI OUT 接口 CAN 总线接口 SATA 接口 PCIe 接口 散热风扇接口 视频输入拓展口 (V-PORT) 更多帮助 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /29

3 1 处理器 基于 TI AM5728 浮点双 DSP C66x + 双 ARM Cortex-A15 工业控制及高性能音视频处理 器 拥有多种工业接口资源, 下图为 AM5728 CPU 资源框图 : 图 1 2 FLASH 核心板上采用工业级 emmc(4gbyte/8gbyte), 硬件如下图 : 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /29

4 图 2 3 RAM RAM 采用工业级低功耗 DDR3L RAM 存储大小为 1GByte/2GByte 4*256MByte/4 *512MByte 硬件如下图 图 3 另外 核心背面有一片 DDR3L 专门用于做 ECC 校验作用不计入内存大小 公司官网 技术论坛 销售邮箱 sales@tronlong.com 技术邮箱 support@tronlong.com 公司总机 技术热线 /29

5 图 4 4 QSPI Flash 核心板采用存取速度更快的 QSPI Flash 内存大小为 32Mbyte 硬件如下图 图 5 5 硬件加密芯片 核心板采用高安全性的加密芯片 ATAES132A 为串行电子可擦写和可编程只读存储 器 EEPROM 提供了验证和机密的非易失性存储性能 公司官网 技术论坛 销售邮箱 sales@tronlong.com 技术邮箱 support@tronlong.com 公司总机 技术热线 /29

6 图 6 6 电源接口和拨码开关 采用 12V 2A 直流电源供电,CON2 为电源接口,SW1 为电源拨码开关, 原理图如下 图所示 : 图 7 图 8 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /29

7 7 JTAG 仿真器接口 可以通过 JTAG 接口 (CON8) 烧写 Bootloader 和进行软件调试, 各引脚定义如下图 : 图 9 图 10 8 LCD 触摸屏接口 CON11 为 LCD 电阻触摸屏接口, 为 40pin 0.5mm 间距 LCD 接口, 使用 FFC 排线座 LCD 接口包含了常见 LCD 所用全部控制信号 ( 行场扫描 时钟和使能等 ), 接口定义如下图所示 : 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /29

8 图 11 图 12 9 LED 指示灯 如下 : 开发板底板具有 3 个用户可编程指示灯 它们分别是 LED1 LED2 和 LED3, 原理图 图 13 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /29

9 图 按键 本开发板总共有 1 个冷复位按键 KEY2 1 个热复位按键 KEY3 3 个用户测试用按键 ( 包括 NMI)KEY4 KEY5 KEY6 原理图如下 : 图 15 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /29

10 图 16 图 17 图 18 公司官网 : 销售邮箱 公司总机 : /29

11 图 串口开发板上共引出了 3 个串口, 分别是 CON3 CON4 CON6 其中 UART3 是使用 CH340 转成 Micro USB 接口 (CON3);CON4 由 UART1 通过 MAX3232CUE 串口电平转换芯片转换为 RS232 串口, 使用 9 针 DB9 接口 CON6 为 RS485 串口, 使用 3 位接线端子 图 20 USB to UART3 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /29

12 图 21 图 22 RS232 图 23 公司官网 : 销售邮箱 公司总机 : /29

13 图 24 RS485 图 BOOT SET 启动选择开关 SW2 设有 5 位启动选择开关,ON 为 1, 相反为 0, 详情如下图所示 : 图 26 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /29

14 图 27 表 1 SW2 BOOT DEVICE BITS[5:1] BOOT BITS[4:0] emmc MMC QSPI SATA USB UART Micro SD 接口 CON7 是 Micro SD 卡接口, 主要用于外接大容量数据存储, 具体接口定义如下图所示 : 图 28 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /29

15 图 拓展 IO 信号 J4 引出了 SPI/PWMSS/MMC/TIMER/UART 拓展信号,J6 引出了 GPMC/QSPI 拓展信号 其硬件图及引脚定义如下 : 图 30 J4 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /29

16 图 31 SPI/PWMSS/MMC/TIMER/UART 拓展信号 (J4) 图 32 J6 公司官网 : 销售邮箱 公司总机 : /29

17 图 33 GPMC/QSPI 拓展信号 (J6) 15 底板 B2B 连接器开发板使用底板 + 核心板设计模式, 通过 2x 80pin 公头 B2B,2x 80pin 母头 B2B, 间距 0.5mm, 合高 5.0mm;1x 80pin 高速连接器, 共 400pin, 其中底板 CON0C 和 CON0D 为母座,CON0A 和 CON0B 为公座, 下图为底板各个 B2B 的实物图, 引脚定义详见光盘中的底板原理图 : 图 34 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /29

18 16 RTC 通过外部扩展 RTC 时钟控制器, 通过不可充电 CR1220 型 RTC 座引出接口, 电压值为 3V 引脚定义如下图 : 图 35 图 USB OTG/USB HUB 接口 CON10 为 Micro USB 2.0 接口, 应用于各种不同的移动设备间的连接, 进行数据交换, 传输速度高达 480Mbps CON9 是 USB 3.0 接口, 理论速度 5.0Gbps, 可以接 USB 摄像头 USB 键盘 USB 鼠标 U 盘等常见的 USB 外设 对应引脚定义如下图 : 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /29

19 图 37 图 38 USB OTG 原理图 图 39 USB HUB 原理图 公司官网 : 销售邮箱 公司总机 : /29

20 18 RGMII 千兆以太网口开发板配备了两个 RGMII 千兆以太网口 CON12 和 CON13, 采用了 KSZ9031RNXIA 网络芯片, 可自适应 10/100/1000M 网络,RJ45 连接头内部已经包含了耦合线圈, 因此不必另接网络变压器, 使用普通的直连网线即可连接本开发板至路由器或者交换机, 若是 PC 和开发板直接相连需要使用交叉网线 网络接口的接口定义如下图 : 图 40 图 41 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /29

21 图 HDMI OUT 接口 开发板配有高清晰度 HDMI 输出接口, 支持 1080p 高清视频, 引脚定义如下图 : 图 43 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /29

22 图 44 图 CAN 总线接口 开发板搭载有一个 CAN 总线接口 CAN1 CON5 为对应接线端子, 使用电镀隔离的隔 离式芯片 ISO1050 作为 CAN 转发器, 接口定义如下图 : 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /29

23 图 46 图 SATA 接口 开发板引出了一个 SATA 硬盘接口, 接口为 J7, 硬件及引脚如图 : 图 48 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /29

24 图 PCIe 接口 ( 金手指 ) 开发板引出了 PCIe 2.0 x4 接口,2 通道, 总共 64pin 编码方案 8b/10b, 单通道理论 最高传输速率达 5GBaud, 其引脚定义如下图 : 图 50 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /29

25 图 51 TL5728-EasyEVM 开发板 PCIe 接口的支持模式如下表所示 : 表 2 PCIe 模式典型对接设备核心板 (SOM-TL5728-A2) RC( 同源时钟 ) 连接网卡支持 RC( 非同源时钟 ) 连接 DSP FPGA 支持 EP( 同源时钟 ) 连接 PC 不支持 EP( 非同源时钟 ) 连接嵌入式 SOC 支持 23 散热风扇接口 散热风扇接口, 采用 3pin, 间距 2.54mm, 供电电压为 12V 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /29

26 图 52 图 视频输入拓展口 (V-PORT) 底板 CON14 口为视频输入拓展口, 以 48 Pin 的欧式端子公座连接器引出了 CPU 视频输入通道的 VIN4 和 McASP2 SPI2 I2C5 可以配套广州创龙视频输入拓展模块使用, 如 : TVP5158 四路 D1 视频采集模块,GV7601 HD-SDI 视频采集模块等 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /29

27 图 54 图 55 图 56 TVP5158 D1 视频采集模块 公司官网 : 销售邮箱 公司总机 : /29

28 图 57 GV7601 HD-SDI 视频采集模块 公司官网 : 销售邮箱 公司总机 : /29

29 更多帮助销售邮箱 技术邮箱 创龙总机 : 技术热线 : 创龙官网 : 技术论坛 : 线上商城 : 公司官网 : 销售邮箱 公司总机 : /29

目 录 前言 处理器 FLASH RAM QSPI Flash 加密芯片 电源接口和拨码开关 JTAG 仿真器接口 LCD 触摸屏接口 LED 指示灯 按键.

目 录 前言 处理器 FLASH RAM QSPI Flash 加密芯片 电源接口和拨码开关 JTAG 仿真器接口 LCD 触摸屏接口 LED 指示灯 按键. TL5728-IDK 开发板硬件说明书 Revision History Draft Date Revision No. Description 2018/04/10 V1.1 1. 开发板版本更新为 A3 版 2017/06/20 V1.0 1. 初始版本 公司官网 :www.tronlong.com 销售邮箱 :sales@tronlong.com 公司总机 :020-8998-6280 1/33

More information

Revision History Draft Date Revision No. Description 2018/2/8 V 更换开发板底板图片为 A2 版 2018/1/31 V 勘误 2017/11/23 V 初始版本 公司官网 :

Revision History Draft Date Revision No. Description 2018/2/8 V 更换开发板底板图片为 A2 版 2018/1/31 V 勘误 2017/11/23 V 初始版本 公司官网 : Revision History Draft Date Revision No. Description 2018/2/8 V1.2 1. 更换开发板底板图片为 A2 版 2018/1/31 V1.1 1. 勘误 2017/11/23 V1.0 1. 初始版本 公司官网 :www.tronlong.com 销售邮箱 :sales@tronlong.com 公司总机 :020-8998-6280 2/15

More information

SOM-TL18核心板规格书

SOM-TL18核心板规格书 Revision History Draft Date Revision No. Description 2017/12/25 V1.3 1. 规格书文档内容勘误 2. 修改电气特性参数 2017/03/24 V1.2 1. 添加产品认证和开发例程 2016/12/16 V1.1 1. 修改简介和应用领域 2016/08/16 V1.0 1. 初始版本 公司官网 :www.tronlong.com

More information

Revision History Draft Date Revision No. Description 2018/08/31 V 勘误 电气特性, 机械尺寸图 部分内容 2018/02/26 V 排版更新, 内容修改 2. 添加 AM437x 资源对比图, 更新型号参数解释

Revision History Draft Date Revision No. Description 2018/08/31 V 勘误 电气特性, 机械尺寸图 部分内容 2018/02/26 V 排版更新, 内容修改 2. 添加 AM437x 资源对比图, 更新型号参数解释 Revision History Draft Date Revision No. Description 2018/08/31 V1.4 1. 勘误 电气特性, 机械尺寸图 部分内容 2018/02/26 V1.3 1. 排版更新, 内容修改 2. 添加 AM437x 资源对比图, 更新型号参数解释图 3. 增加产品订购型号 2017/07/26 V1.2 1. 排版修改 2016/2/18 V1.1

More information

SOM-TL18核心板规格书

SOM-TL18核心板规格书 Revision History Draft Date Revision No. Description 2017/10/13 V1.0 1. 初始版本 公司官网 :www.tronlong.com 销售邮箱 :sales@tronlong.com 公司总机 :020-8998-6280 2/18 目 录 1 开发板简介... 4 2 典型运用领域... 7 3 软硬件参数... 7 4 开发资料...

More information

Revision History Draft Date Revision No. Description 2018/09/07 V 修改电气特性参数 2018/02/26 V /01/12 V 排版更新, 内容修改 2. 添加 AM437x 资源对比图, 更新开

Revision History Draft Date Revision No. Description 2018/09/07 V 修改电气特性参数 2018/02/26 V /01/12 V 排版更新, 内容修改 2. 添加 AM437x 资源对比图, 更新开 Revision History Draft Date Revision No. Description 2018/09/07 V1.5 1. 修改电气特性参数 2018/02/26 V1.4 2018/01/12 V1.3 1. 排版更新, 内容修改 2. 添加 AM437x 资源对比图, 更新开发板机械尺寸图 3. 增加产品订购型号 1. 开发板更新为 A2 版本 2. 更新 LOGO 开发板封面图

More information

Revision History Draft Date Revision No. Description 2018/7/9 V 修改核心版简介 硬件参数 产品订购型号 2018/2/8 V 更换开发板底板图片为 A2 版 2018/1/31 V 勘误 2017/1

Revision History Draft Date Revision No. Description 2018/7/9 V 修改核心版简介 硬件参数 产品订购型号 2018/2/8 V 更换开发板底板图片为 A2 版 2018/1/31 V 勘误 2017/1 Revision History Draft Date Revision No. Description 2018/7/9 V1.3 1. 修改核心版简介 硬件参数 产品订购型号 2018/2/8 V1.2 1. 更换开发板底板图片为 A2 版 2018/1/31 V1.1 1. 勘误 2017/11/23 V1.0 1. 初始版本 公司官网 :www.tronlong.com 销售邮箱 :sales@tronlong.com

More information

SOM-TL665x核心板规格书

SOM-TL665x核心板规格书 2015 SOM-TL665x 核心板规格书 更多产品信息请浏览 :www.tronlong.com 广州创龙电子科技有限公司 2015/6/1 Revision History Revision No. Description Draft Date V1.0 1. 初始版本 2015/6/1 公司总机 :020-8998-6280 公司官网 :www.tronlong.com 2/13 阅前须知

More information

Revision History Draft Date Revision No. Description 2019/04/06 V 更新为 B2 版本 2018/11/22 V 初始版本 公司官网 : 销售邮箱

Revision History Draft Date Revision No. Description 2019/04/06 V 更新为 B2 版本 2018/11/22 V 初始版本 公司官网 :  销售邮箱 Revision History Draft Date Revision No. Description 2019/04/06 V1.1 1. 更新为 B2 版本 2018/11/22 V1.0 1. 初始版本 公司官网 :www.tronlong.com 销售邮箱 :sales@tronlong.com 公司总机 :020-8998-6280 2/20 目 录 1 开发板简介... 4 2 典型运用领域...

More information

SOM-TL28335核心板规格书

SOM-TL28335核心板规格书 2015 SOM-TL28335 核心板规格书 更多产品信息请浏览 :www.tronlong.com 广州创龙电子科技有限公司 2015/9/25 RevisionHistory Revision No. Description Draft Date V1.0 1. 初始版本 2015/9/25 公司总机 :020-8998-6280 公司官网 :www.tronlong.com 2/14 阅前须知

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

TMS320C665x 开发板恢复出厂设置 Revision History DraftDate Revision No. Description 2018/03/30 V 勘误及排版修改 2017/05/24 V 将 HUA 固化到 NOR FLASH, 功能测试程序固化到

TMS320C665x 开发板恢复出厂设置 Revision History DraftDate Revision No. Description 2018/03/30 V 勘误及排版修改 2017/05/24 V 将 HUA 固化到 NOR FLASH, 功能测试程序固化到 TMS320C665x 开发板恢复出厂设置 Revision History DraftDate Revision No. Description 2018/03/30 V1.4 1. 勘误及排版修改 2017/05/24 V1.3 1. 将 HUA 固化到 NOR FLASH, 功能测试程序固化到 NAND F LASH 里面 2016/10/13 V1.2 1. 添加通过仿真器烧写程序恢复出厂默认状态

More information

重 要 安 全 说 明 1. 2. 3. 4. 5. 6. 7. 8. ii 使 用 产 品 前 请 仔 细 阅 读 这 些 说 明, 并 保 存 起 来 以 备 今 后 参 考 遵 循 本 产 品 上 表 示 的 所 有 警 告 和 指 示 清 洁 之 前 先 从 墙 上 插 座 拔 下 本 产

重 要 安 全 说 明 1. 2. 3. 4. 5. 6. 7. 8. ii 使 用 产 品 前 请 仔 细 阅 读 这 些 说 明, 并 保 存 起 来 以 备 今 后 参 考 遵 循 本 产 品 上 表 示 的 所 有 警 告 和 指 示 清 洁 之 前 先 从 墙 上 插 座 拔 下 本 产 ,, LifeBook Microsoft Windows MS-DOS Windows NT Windows XP Windows Vista Windows 7 Windows 8 Windows 8.1 Microsoft Corporation Phoenix Phoenix Technologies Corporation Fujitsu Limited 2015,,, (1) (2),

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63> 嵌 入 式 系 统 设 计 师 考 试 大 纲 一 考 试 说 明 1 考 试 要 求 : (1) 掌 握 科 学 基 础 知 识 ; (2) 掌 握 嵌 入 式 系 统 的 硬 件 软 件 知 识 ; (3) 掌 握 嵌 入 式 系 统 分 析 的 方 法 ; (4) 掌 握 嵌 入 式 系 统 设 计 与 开 发 的 方 法 及 步 骤 ; (5) 掌 握 嵌 入 式 系 统 实 施 的 方 法

More information

HMI COM1 RS SIEMENSE S7-200 RS485

HMI COM1 RS SIEMENSE S7-200 RS485 目录 第一部分维控人机界面串口引脚定义...2 1 LEVI777T COM1 引脚定义原理图...2 2 LEVI777T COM2 引脚定义原理图...2 3 LEVI908T COM1 引脚定义原理图...2 4 LEVI908T COM2/COM3 引脚定义原理图...3 第二部分通信针头...4 1 通信针头...4 第三部分各 PLC 与 LEVI 通信线接法...5 1 西门子 S7-200

More information

Revision History Draft Date Revision No. Description 2017/12/19 V 排版修改 2. 修改附录 A 例程 3. 替换封面 产品图 机械尺寸图 硬件资源图解 4. 修改产品订购型号以及型号参数解释图 5. 修改硬件参数命名 20

Revision History Draft Date Revision No. Description 2017/12/19 V 排版修改 2. 修改附录 A 例程 3. 替换封面 产品图 机械尺寸图 硬件资源图解 4. 修改产品订购型号以及型号参数解释图 5. 修改硬件参数命名 20 Revision History Draft Date Revision No. Description 2017/12/19 V1.2 1. 排版修改 2. 修改附录 A 例程 3. 替换封面 产品图 机械尺寸图 硬件资源图解 4. 修改产品订购型号以及型号参数解释图 5. 修改硬件参数命名 2016/1/30 V1.1 1. 排版修改 2014/12/24 V1.0 1. 初始版本 公司官网 :www.tronlong.com

More information

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input 06 6 6 HR7PPSC HR7PPSD SOP SOP6 +input 06 6 +input 06 6 6 6 HR7PERB SSOP0 7+input 06 6 6 HR7PESC

More information

杭州言曼科技有限公司 CB01 子卡说明文档 ( 对外发布 ) CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别 CB01 标配版本和低成本区别 CB01 结构信息

杭州言曼科技有限公司 CB01 子卡说明文档 ( 对外发布 )   CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别 CB01 标配版本和低成本区别 CB01 结构信息 CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别... 2 2. CB01 标配版本和低成本区别... 2 3. CB01 结构信息... 3 4. CB01 功耗信息... 3 5. LED 显示... 3 6. 按键... 4 7. 拨码开关... 4 8. USB 串口 - 型号 :CP2102... 4 9. 输入时钟... 4 10. I2C

More information

【工程类】

【工程类】 茂 名 市 地 方 税 务 局 会 议 室 功 能 升 级 项 目 采 购 项 目 编 号 :GZGD-2015-008 公 开 招 标 文 件 广 州 广 大 工 程 项 目 管 理 有 限 公 司 编 制 发 布 日 期 : 二 0 一 六 年 一 月 捌 日 目 录 第 一 部 分 投 标 邀 请 函 -----------------------------------------------------------------------------------------------------------

More information

8S E600Y 0773-CE

8S E600Y 0773-CE 控制面板及侧 背面端子 液晶电视快速操作引导 液晶电视快速操作引导 控制面 板 按键介 绍 控制面板按键及侧面端子介绍 感光头 红外接收器 指示灯 电源 开 关 按键 频 道- 频 道+ 音 量- 音 量+ 频道选择键 音量控制键 菜单 确定 返 回/主 页 确定键 返回/主页键 菜单键 背面端 子 介绍 USB1 光纤音频 输出 USB2 USB3 SD卡 SD卡槽 CA卡 V 注:当您使用非本机配送的重低音音箱连接本机

More information

目 录

目 录 1 Quick51...1 1.1 SmartSOPC Quick51...1 1.2 Quick51...1 1.3 Quick51...2 2 Keil C51 Quick51...4 2.1 Keil C51...4 2.2 Keil C51...4 2.3 1 Keil C51...4 2.4 Flash Magic...9 2.5 ISP...9 2.6...10 2.7 Keil C51...12

More information

104 年 度 第 一 次 會 議 ( )

104 年 度 第 一 次 會 議 ( ) 專 責 小 組 會 議 紀 錄 104 年 03 月 24 日 會 議 紀 錄 104 年 09 月 10 日 會 議 紀 錄 104 年 11 月 24 日 會 議 紀 錄 104 年 12 月 15 日 會 議 紀 錄 104 年 度 第 一 次 會 議 (104.03.24) 遠 東 科 技 大 學 104 年 度 教 育 部 獎 勵 補 助 款 專 責 小 組 第 1 次 會 議 紀 錄 時

More information

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi SPHE8202R Design Guide V2.0 JUN, 2007 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com SPHE8202R Design Guide Important Notice

More information

微雪电子 Open407V-D 实验手册 Open407V-D 实验手册 目录 准备工作... 2 ADC+DMA... 2 CAN1 TO CAN2-Normal... 3 DCMI_OV DCMI_OV I2C... 6 L

微雪电子   Open407V-D 实验手册 Open407V-D 实验手册 目录 准备工作... 2 ADC+DMA... 2 CAN1 TO CAN2-Normal... 3 DCMI_OV DCMI_OV I2C... 6 L Open407V-D 实验手册 目录 准备工作... 2 ADC+DMA... 2 CAN1 TO CAN2-Normal... 3 DCMI_OV7670... 4 DCMI_OV9655... 5 I2C... 6 LCD-HY32D_FSMC... 7 Nand Flash_PCB0... 8 Nand Flash_SCB0... 9 SD_FatFS... 11 SDIO... 12 SPI...

More information

Microsoft Word - 134招标文件.doc

Microsoft Word - 134招标文件.doc 威 海 市 政 府 采 购 招 标 文 件 采 购 编 号 :WHGP2016-134 采 购 项 目 : 网 站 建 设 采 购 方 式 : 公 开 招 标 山 东 省 鲁 成 招 标 有 限 公 司 2016 年 5 月 20 日 目 录 第 一 部 分 招 标 公 告 2 第 二 部 分 投 标 人 须 知 4 第 三 部 分 开 标 评 标 定 标 13 第 四 部 分 采 购 项 目 说

More information

深圳市海天雄电子有限公司 Shenzhen Haitianxiong Electronic Co., Ltd. CES-4418-CORE( 邮票口 ) 产品手册 SAMSUNG ARM 核心板 Rev. V1.0 Date:

深圳市海天雄电子有限公司 Shenzhen Haitianxiong Electronic Co., Ltd. CES-4418-CORE( 邮票口 ) 产品手册 SAMSUNG ARM 核心板 Rev. V1.0 Date: 深圳市海天雄电子有限公司 Shenzhen Haitianxiong Electronic Co., Ltd. CES-4418-CORE( 邮票口 ) 产品手册 Rev. V1.0 Date:2017-06-02 www.nrisc.com 简介 CES-4418 核心板是一款低功耗 高性能的嵌入式 ARM 主板, 使用 Samsung 高端主流 ARM 处理器 :S5P4418 四核 Cortex-A9,

More information

Quidway® S8500系列万兆核心路由交换机

Quidway®  S8500系列万兆核心路由交换机 Quidway NetEngine 20/20E 系列路由器订购信息 1 NetEngine 20-8/4/2 订购信息表 主机系统 RT-NE20-8-CHASSIS 5U 高度路由器机箱 必配 RT-NE20-4-CHASSIS 3U 高度路由器机箱 (NE20-4) 必配 RT-NE20-2-CHASSIS 3U 高度路由器机箱 (NE20-2) 必配 RT-NE20-RPU-512M 路由处理单元

More information

untitled

untitled XILINX Platform Cbale USB www.hseda.com ...... Platform Cable USB Compatible.................. impact.........1 platform Cable USB Compatible.........1...1...1...1...1...1 Platform Cable USB Compatible

More information

SOM-TL18核心板规格书

SOM-TL18核心板规格书 Revision History Draft Date Revision No. Description 2016/1/29 V1.1 1. 排版修改 2014/7/30 V1.0 1. 初始版本 公司官网 :www.tronlong.com 销售邮箱 :sales@tronlong.com 公司总机 :020-8998-6280 2/26 公司官网 :www.tronlong.com 销售邮箱 :sales@tronlong.com

More information

Preface

Preface ...5...5...5...5...6...6...6 DV...7 DV...8...8...8...9...9...10...10 DV...11 DV...11...12 /SD/SDHC...12...13...14...14 DV...15 1 ...15...16...16...16 LCD...17 LCD...17...17...19...21...23...23...23...24...24...26...26...26...27...27

More information

STEP-MXO2 V2硬件手册

STEP-MXO2 V2硬件手册 小脚丫 STEP FPGA STEP 2016/8/28 目录 1. 概述... 2 2.STEP-MXO2 V2 硬件简介 :... 3 2.1 STEP-MXO2 V2 开发板... 3 2.2 FT232 编程器... 3 2.3 FPGA... 3 2.4 供电... 4 2.5 时钟... 4 2.6 七段数码管... 4 2.7 三色 LED... 4 2.8 拨码开关... 4 2.9

More information

Page 2

Page 2 第 15 章交换机 路由器配置技术 Page 2 Page 3 Page 4 Page 5 Page 6 Page 7 Page 8 Page 9 Page 10 Page 11 Page 12 Page 13 Page 14 Page 15 Page 16 Page 17 Page 18 Page 19 Page 20 Page 21 Page 22 Page 23 Page 24 Page 25

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCAB5D1E9CAD2B7BDB0B82E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCAB5D1E9CAD2B7BDB0B82E646F63> 目 录 一 嵌 入 式 系 统 的 应 用 及 前 景... - 1 - 二 目 前 嵌 入 式 系 统 教 学 现 状 和 实 验 体 系 的 建 设... - 1 - 三 嵌 入 式 教 学 在 本 科 生 中 的 教 学 难 点... - 1 - 四 教 学 难 点 解 决 方 法... - 2-1 选 择 S+core7 核 学 习... - 2-2 选 择 ecos 操 作 系 统 进 行

More information

说明书库

说明书库 简 介 非 常 感 谢 您 选 择 使 用 智 能 手 机! 本 手 机 是 一 部 单 C 网 并 具 有 商 务 协 同 功 能 的 智 能 手 机! 该 话 机 除 支 持 基 本 的 语 音 通 话 短 信 等 功 能 之 外, 还 有 如 下 特 色 功 能 : 无 线 上 网, 酣 畅 高 速 通 过 CDMA 1X, 网 上 冲 浪, 无 所 不 通 商 务 协 同, 功 能 强 大

More information

SOM-TL18核心板规格书

SOM-TL18核心板规格书 基于 SYSBIOS 的 EtherCAT 开发例程 Revision History Draft Date Revision No. Description 2018/06/19 V1.2 1. 内容勘误, 例程排版更新 2017/08/10 V1.1 1. 排版修正 2017/06/01 V1.0 1. 初始版本 公司官网 :www.tronlong.com 销售邮箱 :sales@tronlong.com

More information

视频处理开发板 用户手册

视频处理开发板     用户手册 视频处理开发板 用户手册 ALINX822 芯驿电子科技 ( 上海 ) 有限公司 黑金动力社区 目录 功能简介... 3 功能实现... 5 FPGA 核心板... 7 ( 一 ) 简介... 7 ( 二 ) DDR2 引脚分配... 9 ( 三 ) FPGA 供电电源... 10 ( 四 ) 扩展口... 12 ( 五 ) 电源接口... 15 ( 六 ) JTAG 接口... 15 ( 七 )

More information

专业主干课程与主要专业课程教学大纲(2009年、2011年).doc

专业主干课程与主要专业课程教学大纲(2009年、2011年).doc ... 1... 4... 9... 12... 16... 20... 23... 26... 30... 33... 36 Electric Circuits 00440021 64 0 0 4 1 2 Y- 3 4 ZYT H 5 Analog Electronic Technique 00440041 54 14 0 3.5 1. 2. 1. 2. 3. RC 4. 5. 1. 20 2.

More information

SOM-TL18核心板规格书

SOM-TL18核心板规格书 Revision History Draft Date Revision No. Description 2017/12/18 V1.2 1. 排版修改 2. 更新为 A2 版, 替换封面 产品图, 新增硬件框图 3. 修改附录 A 例程 4. 修改产品订购型号及替换产品参数解释图 5. 修改硬件参数命名及区分 DSP 端和 FPGA 端参数 2016/2/4 V1.1 1. 排版修改 2014/12/24

More information

网上对外发布资料适用版本

网上对外发布资料适用版本 HDLC-LCM 嵌 入 式 低 功 耗 通 信 模 块 Rev.2016.0602 用 户 手 册 电 话 :400-025-5057 网 址 :www.yacer.cn 目 录 1 概 述... 1 1.1 简 介... 1 1.2 特 点... 1 1.3 应 用... 1 1.4 订 购 信 息... 1 1.5 技 术 规 格... 2 1.6 机 械 尺 寸 图... 3 2 硬 件 结

More information

申威1621服务器用户使用手册

申威1621服务器用户使用手册 申威 1621 服务器 使用手册 2017 年 10 月 成都申威科技有限责任公司 成都市华府大道四段电子科大科技园 D22 栋 Building D22, National University Science and technology park, Section 4, Huafu Avenue, Chengdu 免责声明 本文档仅提供阶段性信息, 所含内容可根据产品的实际情况随时更新, 恕不另行通知

More information

Ch03_嵌入式作業系統建置_01

Ch03_嵌入式作業系統建置_01 Chapter 3 CPU Motorola DragonBall ( Palm PDA) MIPS ( CPU) Hitachi SH (Sega DreamCast CPU) ARM StrongARM CPU CPU RISC (reduced instruction set computer ) CISC (complex instruction set computer ) DSP(digital

More information

軟體的安裝

軟體的安裝 DMECOM DAR 8000 / 1600A 錄 音 系 統 使 用 說 明 書 Version1.0.0 目 錄 1 產 品 簡 介... 1 2 產 品 外 觀 功 能... 1 3 包 裝 內 容... 2 4 系 統 要 求... 2 5 錄 音 系 統 的 登 入... 2 6 AM2000 使 用 說 明... 7 6.1 AM2000 外 觀 於 主 機 DAR 8000 連 接 圖...

More information

微雪电子 Open103Z 实验手册 Open103Z 实验手册 目录 准备工作 BitIO-Pushbutton... 3 ADC+DMA... 4 CAN-LoopBack... 5 DAC... 6 DS18B GPIO_Key_L

微雪电子   Open103Z 实验手册 Open103Z 实验手册 目录 准备工作 BitIO-Pushbutton... 3 ADC+DMA... 4 CAN-LoopBack... 5 DAC... 6 DS18B GPIO_Key_L 目录 准备工作... 3 8BitIO-Pushbutton... 3 ADC+DMA... 4 CAN-LoopBack... 5 DAC... 6 DS18B20... 7 GPIO_Key_LED... 8 I2C... 9 LCD-HY32D_FSMC... 10 Nand Flash...11 NorFlash... 12 PS2... 13 RTC... 14 SD_FatFS... 15

More information

ICD ICD ICD ICD ICD

ICD ICD ICD ICD ICD MPLAB ICD2 MPLAB ICD2 PIC MPLAB-IDE V6.0 ICD2 usb PC RS232 MPLAB IDE PC PC 2.0 5.5V LED EEDATA MPLAB ICD2 Microchip MPLAB-IDE v6.0 Windows 95/98 Windows NT Windows 2000 www.elc-mcu.com 1 ICD2...4 1.1 ICD2...4

More information

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键 官 方 淘 宝 地 址 :http://metech.taobao.com/ MeTech verilog 典 型 例 程 讲 解 V1.0 笔 者 :MeTech 小 芯 技 术 支 持 QQ : 417765928 1026690567 技 术 支 持 QQ 群 :207186911 China AET 讨 论 组 http://group.chinaaet.com/293 笔 者 博 客 :http://blog.csdn.net/ywhfdl

More information

45,000 40,000 30,000 24,794 30,587 15,000 7,573 9,970 13,589 18,849 0 IT 9, % 15.3% IC 3.2% 32.3% 17.9% 9.9% 21.4%

45,000 40,000 30,000 24,794 30,587 15,000 7,573 9,970 13,589 18,849 0 IT 9, % 15.3% IC 3.2% 32.3% 17.9% 9.9% 21.4% IT IT IT 280,000 IT IT 7.8% 519,320 IT IT IT30,587 23.4% IT 4-66 - 45,000 40,000 30,000 24,794 30,587 15,000 7,573 9,970 13,589 18,849 0 IT 9,877 32.3% 15.3% IC 3.2% 32.3% 17.9% 9.9% 21.4% - 67 - 15 500,000

More information

SOM-TL18核心板规格书

SOM-TL18核心板规格书 Revision History Draft Date Revision No. Description 2018/8/1 V1.2 1. 排版修改 2. 修改附录 A 例程 3. 更换开发板机械尺寸图 产品图 封面 硬件资源图解 4. 修改硬件参数命名 5. 修改产品订购型号和产品参数解释图 2016/02/04 V1.1 1. 排版修改 2015/04/22 V1.0 1. 初始版本 公司官网

More information

FPGA黑金开发平台 用户手册

FPGA黑金开发平台 用户手册 ZYNQ7000 开发平台 用户手册 AC7015 开发板 文档版本控制 文档版本 修改内容记录 REV1.0 创建文档 芯驿电子科技 ( 上海 ) 有限公司 2 / 23 目录 文档版本控制... 2 ( 一 ) 简介... 4 ( 二 ) ZYNQ 芯片... 5 ( 三 ) DDR3 DRAM... 8 ( 四 ) QSPI Flash... 11 ( 五 ) emmc Flash... 13

More information

K 寸猫眼中文说明书

K 寸猫眼中文说明书 使 用 说 明 书 适 用 于 K-301 系 列 产 品 一 简 介 1 二 标 准 套 装 配 件 1 三 产 品 外 观 构 成 2 四 安 装 说 明 4 五 操 作 说 明 6 六 规 格 参 数 13 七 注 意 事 项 14 感 谢 您 购 买 智 能 防 盗 可 视 猫 眼 门 铃 系 列 产 品, 该 系 列 是 本 公 司 最 新 设 计 的 多 功 能 超 薄 悬 挂 式 智

More information

Microsoft PowerPoint - 金融局勢解析.ppt

Microsoft PowerPoint - 金融局勢解析.ppt 主 講 : 許 瑞 立 金 融 局 勢 解 析 現 任 : 安 泰 證 券 公 司 董 事 總 經 理 中 華 民 國 期 貨 公 會 監 事 中 華 民 國 證 券 公 會 監 事 中 華 民 國 期 貨 公 會 券 商 公 會 證 券 暨 期 貨 基 金 會 講 師 網 頁 : http://jurry591.myweb.hinet.net/ 1 世 界 經 濟 預 測 與 展 望 全 球 增

More information

手册 doc

手册 doc 1. 2. 3. 3.1 3.2 3.3 SD 3.4 3.5 SD 3.6 3.7 4. 4.1 4.2 4.3 SD 4.4 5. 5.1 5.2 5.3 SD 6. 1. 1~3 ( ) 320x240~704x288 66 (2G SD 320x2401FPS ) 32M~2G SD SD SD SD 24V DC 3W( ) -10~70 10~90% 154x44x144mm 2. DVR106

More information

【每日更新03-07】转发赚奖金,2016年春季最新猎头岗:档案管理 位

【每日更新03-07】转发赚奖金,2016年春季最新猎头岗:档案管理 位 每 日 更 新 03-07 转 发 赚 奖 金,2016 年 春 季 最 新 猎 头 岗 : 档 案 位 郑 州 档 案 数 字 化 扫 描, 濮 阳 档 案 整 理, 洛 阳 档 案 加 工 扫 描, 档 案 软 件 http://www.20099.cn 每 日 更 新 03-07 转 发 赚 奖 金,2016 年 春 季 最 新 猎 头 岗 : 档 案 管 理 位 工 作 地 点 : 慈 溪

More information

MarsBoard AM335X 用户手册 微雪电子 WAVESHARE ELECTRONICS MarsBoard AM335X 用户手册 产品概述 MarsBoard AM335X 是基于 TI AM335X 系列处理器的卡片式电脑 工业级别的芯片, 广泛的应用 在工业, 医疗, 消费类电子等行

MarsBoard AM335X 用户手册 微雪电子 WAVESHARE ELECTRONICS MarsBoard AM335X 用户手册 产品概述 MarsBoard AM335X 是基于 TI AM335X 系列处理器的卡片式电脑 工业级别的芯片, 广泛的应用 在工业, 医疗, 消费类电子等行 MarsBoard AM335X 用户手册 产品概述 MarsBoard AM335X 是基于 TI AM335X 系列处理器的卡片式电脑 工业级别的芯片, 广泛的应用 在工业, 医疗, 消费类电子等行业 1 目录 产品概述... 1 目录... 2 1. 板载资源... 3 2. 使用操作... 5 2.1. 系统固件的下载... 5 2.2. TF 卡系统的烧写... 5 2.3. TF 卡系统的启动...

More information

大16开产品画册排版.cdr

大16开产品画册排版.cdr 北京圣莱特商贸有限公司 中国 北京 新型产品 XYZ 20A 颜色 黑色 尺寸 210*180*130mm 功能参数 1 使用高转换效率单晶硅片 太阳能转换效率高达16%以上 2 太阳能电池板规格 10W 3 充电器内置高容量可充电电池 20AH 4 输出电压 220V 5 用交流适配器给充电器内置电池充电时间 5小时 (6) 太阳能给充电器内置电池充电时间 20小时

More information

bingdian001.com

bingdian001.com (AVA PROPRE 6HD) ... 5 1.1 1.2 1.3 1.4 1.5 1.6... 5... 6... 6... 9... 9... 10... 12 2.1 2.2 2.3 2.4 2.5 2.6 2.7 2.8 2.9... 12... 12... 13... 13... 14... 15... 16... 17... 18 2.10... 18 2.11... 19 2.12...

More information

SOM-TL18核心板规格书

SOM-TL18核心板规格书 Revision History Draft Date Revision No. Description 2016/11/18 V1.0 1. 初始版本 公司官网 :www.tronlong.com 销售邮箱 :sales@tronlong.com 公司总机 :020-8998-6280 2/28 目 录 1 实验箱简介... 4 2 软硬件参数... 8 3 开发资料... 13 4 电气特性...

More information

附件四:

附件四: 新 办 企 业 纳 税 服 务 手 册 上 海 市 崇 明 县 国 家 税 务 局 上 海 市 地 方 税 务 局 崇 明 分 局 二 一 三 年 一 月 1 使 用 说 明 1 本 手 册 于 纳 税 人 申 请 新 办 税 务 登 记 时 由 税 务 机 关 发 放, 也 可 在 上 海 税 务 网 崇 明 税 务 局 子 网 站 进 行 下 载 和 参 阅 2 如 需 进 一 步 了 解 相

More information

如 果 此 設 備 對 無 線 電 或 電 視 接 收 造 成 有 害 干 擾 ( 此 干 擾 可 由 開 關 設 備 來 做 確 認 ), 用 戶 可 嘗 試 用 以 下 一 種 或 多 種 方 法 來 消 除 這 個 干 擾 : 重 新 調 整 與 確 定 接 收 天 線 方 向 增 大 此 設

如 果 此 設 備 對 無 線 電 或 電 視 接 收 造 成 有 害 干 擾 ( 此 干 擾 可 由 開 關 設 備 來 做 確 認 ), 用 戶 可 嘗 試 用 以 下 一 種 或 多 種 方 法 來 消 除 這 個 干 擾 : 重 新 調 整 與 確 定 接 收 天 線 方 向 增 大 此 設 版 權 前 言 本 出 版 物, 包 括 所 有 照 片 插 圖 與 軟 體 均 受 國 際 版 權 法 之 保 護, 所 有 權 利 均 被 保 留 此 說 明 書 和 其 中 所 包 含 的 任 何 材 料 都 不 可 以 在 沒 有 作 者 的 書 面 許 可 下 被 複 製 版 本 1.0 免 責 聲 明 製 造 商 不 對 說 明 書 內 容 作 任 何 陳 述 或 擔 保, 基 於 此

More information

AMD Geode SC1201项目开发服务协议

AMD Geode SC1201项目开发服务协议 TOP90B 主板 (PCB Rev:1.00) Manual Version 1.00 2017.03.11 第 1 页共 13 页 1 简介 TOP90B 是我公司标准 Mini-ITX 工业主板, 采用 Intel 第 5 代移动 Broadwell-U 单芯片 CPU 主要特性如下 1.1 主要特性 1.1.1 CPU 板载, 支持 Intel Mobile 5 th Broadwell-U/Y

More information

SOM-TL18核心板规格书

SOM-TL18核心板规格书 Revision History Draft Date Revision No. Description 2016/02/04 V1.1 1. 排版修改 2015/04/22 V1.0 1. 初始版本 公司官网 :www.tronlong.com 销售邮箱 :sales@tronlong.com 公司总机 :020-8998-6280 2/28 目 录 1 开发板简介... 4 2 典型运用领域...

More information

(按 Ctrl + F2 可編輯頁首資料)

(按 Ctrl + F2 可編輯頁首資料) SAWANG 大 華 科 技 大 學 秘 書 室 會 議 議 程 SC0-4-533-01-03, A00 名 稱 :103 學 年 度 第 4 次 專 責 小 組 會 議 時 間 :104 年 3 月 24 日 ( 星 期 二 ) 中 午 12 時 10 分 地 點 : 大 華 樓 5 樓 會 議 室 主 席 : 代 理 校 長 李 右 婷 紀 錄 : 秘 書 室 林 佩 姍 壹 主 席 報 告

More information

1 非 常 感 谢 您 购 买 我 司 产 品, 如 您 有 任 何 疑 问 或 需 求 请 随 时 联 系 我 们 本 手 册 适 用 于 以 下 产 品 : 产 品 系 列 产 品 型 号 产 品 名 称 DS-9508N-ST DS-9500N-ST 系 列 DS-9516N-ST DS-95

1 非 常 感 谢 您 购 买 我 司 产 品, 如 您 有 任 何 疑 问 或 需 求 请 随 时 联 系 我 们 本 手 册 适 用 于 以 下 产 品 : 产 品 系 列 产 品 型 号 产 品 名 称 DS-9508N-ST DS-9500N-ST 系 列 DS-9516N-ST DS-95 DS-9500/8500N-ST DS-9500N-RT DS-7601N-SE 系 列 NVR 快 速 操 作 指 南 杭 州 海 康 威 视 数 字 技 术 股 份 有 限 公 司 http://www.hikvision.com 技 术 热 线 :400-700-5998 UD.6L0102B0114A01 1 非 常 感 谢 您 购 买 我 司 产 品, 如 您 有 任 何 疑 问 或 需

More information

深圳市国际招标有限公司

深圳市国际招标有限公司 采 购 文 件 采 购 项 目 编 号 :SSZ-2015G008 采 购 项 目 名 称 : 松 岗 中 心 小 学 扩 建 教 学 设 备 设 施 采 购 及 安 装 项 目 采 购 方 式 : 公 开 招 标 采 购 人 ( 章 ): 佛 山 市 南 海 区 狮 山 镇 教 育 局 采 购 代 理 机 构 ( 章 ): 深 圳 市 国 际 招 标 有 限 公 司 日 期 : 年 月 日 目 录

More information

1. 精 通 运 用 结 构 相 关 设 计 软 件, 如 Pro-E AutoCAD 等 ; 1. 参 不 机 器 人 项 目 评 估, 提 出 吅 理 的 产 品 结 构 设 计 方 案 ; 结 构 3 不 限 不 限 2. 3 年 以 上 工 业 产 品 结 构 设 计 工 作 经 验, 具

1. 精 通 运 用 结 构 相 关 设 计 软 件, 如 Pro-E AutoCAD 等 ; 1. 参 不 机 器 人 项 目 评 估, 提 出 吅 理 的 产 品 结 构 设 计 方 案 ; 结 构 3 不 限 不 限 2. 3 年 以 上 工 业 产 品 结 构 设 计 工 作 经 验, 具 子 公 司 名 称 新 兴 智 能 深 圳 万 腾 ( 深 圳 ) 职 位 人 数 机 械 运 控 调 试 员 哈 工 大 机 器 人 集 团 有 限 公 司 2016 届 校 园 招 聘 简 章 学 历 专 业 要 求 岗 位 职 责 自 动 化 机 械 制 造 专 业 机 械 及 自 动 化 专 业 1 精 通 CAD Pro/E 等 软 件, 熟 悉 从 组 立 图 到 零 件 图 的 设 计

More information

PROTEUS VSM

PROTEUS  VSM Proteus VSM-- 1/1 PROTEUS VSM Proteus VSM ISIS Prospice VSM Proteus PROSPICE ARM7 PIC AVR HC11 8051 CPU LCD RS232 LED IAR Keil Hitech C make 6000 SPICE SPICE DLL SPICE3F5 14 FM PROTEUS PCB LED/LCD / 300

More information

深圳市海天雄电子有限公司 Shenzhen Haitianxiong Electronic Co., Ltd. CES-V210-CORE 产品手册 ARM 核心板 Rev. V1.0 Date:

深圳市海天雄电子有限公司 Shenzhen Haitianxiong Electronic Co., Ltd. CES-V210-CORE 产品手册 ARM 核心板 Rev. V1.0 Date: 深圳市海天雄电子有限公司 Shenzhen Haitianxiong Electronic Co., Ltd. CES-V210-CORE 产品手册 Rev. V1.0 Date:2017-06-02 www.nrisc.com 简介 CES-V210 核心板基于 Samsung 公司 ARM Cortex- A8 S5PV210 应用处理器, 支持高达 1GHz 的处理速度, 核心板上配置一枚智能电源管理芯片,

More information

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi MICROCHIP EVM Board : APP001 1-1. APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pin 16 I/O Extension Interface 1-2. APP001 Block_A Block_B

More information

VME

VME VMEbus VME 35 VME VME VME EOL Tsi148 VME PCI-X Marvell 2025 VME Power Architecture VME VME VME VME VME VME VME PCI VME VME VME 32 32 CPU I/O VME VMEbus 20 70 VERSAbus European Microsystems group VERSAbus

More information

<4D F736F F D20F7E8F7EBD7F9C3D4C4E3BFAAB7A2B0E5D3B2BCFECAB9D3C3CAD6B2E156312E302E646F6378>

<4D F736F F D20F7E8F7EBD7F9C3D4C4E3BFAAB7A2B0E5D3B2BCFECAB9D3C3CAD6B2E156312E302E646F6378> 麒麟座迷你开发板硬件使用手册 V1.0 2016 年 7 月 18 日 1 版本记录 版本号 日期 作者 修改内容 备注 V1.0 2016 7 18 谢斌强 初始版本 2 目录 第一章 OneNET 麒麟座迷你开发板简介... 4 1.1 MCU 介绍... 4 1.2 开发板功能简介... 4 1.3 开发板配置... 6 第二章硬件资源... 7 2.1 Bom 表... 7 相关资料...

More information

SPMC75F2413A_EVM_使用说明_V1.2.doc

SPMC75F2413A_EVM_使用说明_V1.2.doc SPMCFA EVM V. - Jan 0, 00 http://www.sunplusmcu.com ................ SPMCFA........... EEPROM.... I/O............ LED.... LED.... RS-........0............ EVM................ 0.....0..... SPMCFA EVM SPMCFA

More information

MarsBoard AM335X 用户手册 微雪电子 WAVESHARE ELECTRONICS MarsBoard AM335X 用户手册 产品概述 MarsBoard AM335X 是基于 TI AM335X 系列处理器的卡片式电脑 工业级别的芯片, 广泛的应用 在工业, 医疗, 消费类电子等行

MarsBoard AM335X 用户手册 微雪电子 WAVESHARE ELECTRONICS MarsBoard AM335X 用户手册 产品概述 MarsBoard AM335X 是基于 TI AM335X 系列处理器的卡片式电脑 工业级别的芯片, 广泛的应用 在工业, 医疗, 消费类电子等行 MarsBoard AM335X 用户手册 产品概述 MarsBoard AM335X 是基于 TI AM335X 系列处理器的卡片式电脑 工业级别的芯片, 广泛的应用 在工业, 医疗, 消费类电子等行业 1 目录 产品概述... 1 目录... 2 1. 板载资源... 3 2. 使用操作... 5 2.1. 系统固件的下载... 5 2.2. TF 卡系统的烧写... 5 2.3. TF 卡系统的启动...

More information

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010)

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010) ,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN 7-5635-1099-0...............TP36 CIP (2005)076733 : ( 10 ) :100876 : (010 )62282185 : (010)62283578 : publish@bupt.edu.cn : : : 787 mm960 mm 1/

More information

上海东软载波微电子有限公司 SMART

上海东软载波微电子有限公司 SMART 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P/ES7P OTPMCU A/D I/O OTP ROM RAM ES7P7x ES7P7PSD SOP +input 0 ES7P7PSF SOP0 7+input 0 ES7P7PRF SSOP0 7+input 0 EEPROM MHz 0 ~.V ~.V MHz, KHz MHz 0 ~.V

More information

E68 E68C 中性说明书 A6版

E68 E68C 中性说明书 A6版 GPS 行 车 记 录 仪 一 体 机 详 细 功 能 描 述 广 州 超 前 计 算 机 科 技 有 限 公 司 ( 版 本 :V1.0 修 改 时 间 2011-11-16) 目 录 一 产 品 外 形... 1 二 功 能 与 操 作... 1 1 行 驶 记 录 仪 功 能... 1 2 显 示 屏 菜 单 功 能... 5 3 接 线 图... 7 4 屏 操 作 功 能 说 明...

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

Aspire R13/R7/V5 Aspire V14/V15 Acer Windows Aspire R13 TOUCH Aspire V14/V15 Ezel Aero hinge Gorilla Glass 3 Acer Purified.Voice R7-371T R7-572G R7-37

Aspire R13/R7/V5 Aspire V14/V15 Acer Windows Aspire R13 TOUCH Aspire V14/V15 Ezel Aero hinge Gorilla Glass 3 Acer Purified.Voice R7-371T R7-572G R7-37 Aspire R13/R7/V5 Aspire V14/V15 Aspire R13 TOUCH Aspire V14/V15 Ezel Aero hinge Gorilla Glass 3 Acer Purified.Voice R7-371T R7-572G R7-371T-57R4 R7-572G-54214G1Tass03 Windows 8.1 64 4Intel Core i5-4210u(1.7ghz/turbo

More information

<4D6963726F736F667420576F7264202D20B8EAB054B35DB3C6B1C4C1CAABD8C4B3AAED2D313031A67E3131A4EB31352EB8B9A7F3B7732E646F63>

<4D6963726F736F667420576F7264202D20B8EAB054B35DB3C6B1C4C1CAABD8C4B3AAED2D313031A67E3131A4EB31352EB8B9A7F3B7732E646F63> 一 個 人 電 腦 ( 中 信 局 ) LP5-100066 ( 契 約 起 始 日 期 :101/06/04, 終 止 日 期 :102/05/31) ( 3 年 之 到 府 人 工 及 零 件 保 固 服 務 ) 等 級 規 格 預 算 CPU RAM HDD 獨 立 顯 卡 其 他 建 議 型 號 備 註 行 政 入 門 個 人 電 腦 ( 含 19 吋 以 螢 幕 ) IntelCore i3-3.3ghz

More information

MESSAGE LG LG 900 Cleveland Motion HORNER APG % LG ( 900 Cleveland Motion Controls HORNER APG % 2

MESSAGE LG LG 900 Cleveland Motion HORNER APG % LG (  900 Cleveland Motion Controls HORNER APG % 2 http://www.lgis.com.cn 2003.09.15 LG Tel: 010-64623254 Fax: 010-64623236 Tel: 021-62784371 Fax: 021-62784301 Tel: 020-87553412 Fax: 020-87553408 Homepage: Http://www.lgis.com.cn LG MASTER-K120s 2003 04

More information

stm32_mini_v2

stm32_mini_v2 US Mirco S SIO US Power:V Power:.V STMF0VET GPIO TFT SPI URT RJ ENJ0SS SPI Flash lock iagram Size ocument Number Rev STM-Lite-V.0 Ver.0 ate: Friday, June 0, 0 Sheet of 0.0uF R M V - + S J MP-0 V_PWR R

More information

展 望 与 述 评 2 广 电 设 备 与 技 术 2013.2

展 望 与 述 评 2 广 电 设 备 与 技 术 2013.2 展 望 与 述 评 2013.2 广 电 设 备 与 技 术 1 展 望 与 述 评 2 广 电 设 备 与 技 术 2013.2 展 望 与 述 评 2013.2 广 电 设 备 与 技 术 3 展 望 与 述 评 4 广 电 设 备 与 技 术 2013.2 展 望 与 述 评 2013.2 广 电 设 备 与 技 术 5 展 望 与 述 评 骆 萧 萧 卜 筱 皛 本 文 讨 论 了 在 非

More information

Microsoft Word - New_radio_platform_prof_article_CHN_12-2015.docx

Microsoft Word - New_radio_platform_prof_article_CHN_12-2015.docx (( 信 息 娱 乐 )) 基 于 SoC, 打 造 灵 活 的 无 线 电 平 台 所 有 功 能 集 于 一 身 新 功 能 灵 活 性 跟 随 车 辆 终 身 升 级 以 诱 人 的 价 格 获 得 全 部 服 务 这 些 都 是 现 今 汽 车 制 造 商 和 最 终 用 户 对 信 息 娱 乐 系 统 的 需 求 大 陆 汽 车 的 新 型 汽 车 无 线 电 平 台 将 借 助 其 先

More information

WON1200 规格书 Author:Wonhere Rev:0.22 Date:2015-May

WON1200 规格书 Author:Wonhere Rev:0.22 Date:2015-May WON1200 规格书 Author:Wonhere Rev:0.22 Date:2015-May-13 1 Revision History 0.2, 增加 J2 的 PIN57 PIN59 说明 0.21,2014-Feb-17 去掉表格中的 HDMI 接口 修改 SPI 个数, 只有 1 个 SPI 接口 修改 硬件参数 表格 增加备注 关于 MMC1_DAT4-7 被替换 0.22, 增加

More information

378高雄市都市計畫說明書

378高雄市都市計畫說明書 378 高 雄 市 都 市 計 畫 說 明 書 案 名 : 變 更 高 雄 市 楠 梓 區 高 楠 段 二 七 九 地 號 等 八 筆 農 業 區 土 地 為 批 發 市 場 用 地 擬 定 申 請 單 位 : 高 雄 市 政 府 計 畫 範 圍 : 如 圖 示 法 令 依 據 : 都 市 計 畫 法 第 二 十 七 條 第 一 項 第 四 款 一 背 景 說 明 : ( 一 ) 本 市 現 有 果

More information

技 术 支 持 电 话 1.800.283.5936 1.801.974.3760 传 真 1.801.977.0087 电 子 邮 件 网 址 CONVERGE PRO 880/880T/840T/8i, CON

技 术 支 持 电 话 1.800.283.5936 1.801.974.3760 传 真 1.801.977.0087 电 子 邮 件 网 址  CONVERGE PRO 880/880T/840T/8i, CON CONVERGE PRO 880 880T 840T 8i TH20 CONVERGE SR 1212 专 业 会 议 系 统 安 装 和 操 作 手 册 技 术 支 持 电 话 1.800.283.5936 1.801.974.3760 传 真 1.801.977.0087 电 子 邮 件 tech.support@clearone.com 网 址 www.clearone.com CONVERGE

More information

+01-10_M5A_C1955.p65

+01-10_M5A_C1955.p65 Notebook PC User s Manual C1955 1.01 2005 4 2 50 70 3 (0 30 ) (50 122 ) 4 pre-load Fn+F7 5 ...2...3...6 1-1...12...12...13...14...15...16...17 1-2...18 1-3...20...20...21...21...21...21...22...22...22...22...23...23

More information

untitled

untitled DOP www.deltaww.com DOP (RS232/RS485/ RS422) DOP USB SD 30 100 PLC Best Performance Huma Beautiful Display Beneficial Feature 1 DOP LED 65536 2D n Machine Interface s 2 DOP-B DOP-B 4.3 inches Wide B03S211

More information

目录 一 产品简介 产品概述 应用领域...4 二 接口描述...5 三 硬件规格...6 四 PCB 尺寸...7 五 接口定义 CON1 SIP-42PIN-2D CON2 SIP-42PIN-2D 六 附录 源

目录 一 产品简介 产品概述 应用领域...4 二 接口描述...5 三 硬件规格...6 四 PCB 尺寸...7 五 接口定义 CON1 SIP-42PIN-2D CON2 SIP-42PIN-2D 六 附录 源 Firefly-RK3128 产品规格书 作者 天启智能科技有限公司 版本 V1.0 日期 2018-05-15 版本更新日期更新内容 V1.0 2018-05-15 原始版本 - 1 - 目录 一 产品简介...4 1 产品概述...4 1.2 应用领域...4 二 接口描述...5 三 硬件规格...6 四 PCB 尺寸...7 五 接口定义...9 1 CON1 SIP-42PIN-2D54...9

More information

1 7 7 15 16 23 26 35 39 40 45 47 49 55 61 61 61 63 69 81 81 83 87 91 91 93 95 97 2 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43

More information

中文版

中文版 人机界面 Easy Harmony 工业平板电脑 产品目录 2019 schneider-electric.con www.schneider-electric.com/hmi 目录 Easy Harmony 工业平板电脑 选型指引....page 0590Q/2 介绍 产品特点... page 28626/2 EcoStruxure Architecture... page 28626/2 概述...

More information

Microsoft Word - MYD-CZU3EG 产品用户手册.docx

Microsoft Word - MYD-CZU3EG 产品用户手册.docx 开发板产品 用户手册 版本 V1.0 版本记录 版本号说明时间 V1.0 初始版本 2019/05/08 目录 目录... 3 第 1 章产品概述... 1 1.1 产品简介... 1 1.2 产品预览... 1 第 2 章硬件资源介绍... 2 2.1 核心板硬件资源... 2 2.2 底板硬件资源... 3 第 3 章核心板电路及底板设计说明... 5 3.1 电源... 5 3.2 引导模式和

More information

中文手册

中文手册 PCC-3428 PC/104 1. PCC-3428 1.1 PCC-3428 90mm 96mm ST CPU STPC Atlas Atlas CPU 486 DX/DX2 CPU DX2 133MHz Atlas 2D LCD/CRT 100MHz SDRAM 64MBytes PCC-3428 10/100Mbps DOC EIDE USB PC/104 ST STPC Atlas STPC

More information

<4D6963726F736F667420576F7264202D20D4D3D6BECEC4B8E5C4A3B0E52E646F63>

<4D6963726F736F667420576F7264202D20D4D3D6BECEC4B8E5C4A3B0E52E646F63> 1/8 文 / 杜 洋 自 从 我 的 爱 上 单 片 机 一 书 问 世, 爱 上 一 词 就 在 电 子 制 作 的 圈 子 里 小 火 了 一 把 随 后 又 出 现 了 爱 上 制 作 爱 上 Arduino, 还 有 一 大 堆 类 似 名 字 的 出 版 物 虽 然 每 一 本 的 内 容 都 很 精 彩, 但 总 这 么 爱 来 爱 去 的, 是 不 是 有 点 审 美 疲 劳 呢?

More information

water level_CN_ pdf

water level_CN_ pdf www.heinlanz.cn ..................... page 02-03.......................... page 04-11......... page 04 HD-1000......... page 08....................... page 12-13 WL-GSM-3000.... page 12............ page

More information

河 南 蓝 皮 书 文 化 (2008) 网 络 文 学 主 要 是 指 在 网 上 原 创 的 文 学 作 品 作 为 网 络 文 化 的 主 力 部 分, 网 络 文 学 的 发 展 在 近 年 来 势 不 可 挡 作 为 凭 借 新 兴 媒 介 兴 起 的 文 学, 网 络 文 学 与 传 统

河 南 蓝 皮 书 文 化 (2008) 网 络 文 学 主 要 是 指 在 网 上 原 创 的 文 学 作 品 作 为 网 络 文 化 的 主 力 部 分, 网 络 文 学 的 发 展 在 近 年 来 势 不 可 挡 作 为 凭 借 新 兴 媒 介 兴 起 的 文 学, 网 络 文 学 与 传 统 网络文化的现状与存在问题 网络文化的现状与存在问题 郭海荣 互联网自 20 世纪 90 年代进入普通百姓的视野以来, 迅速得以普及 迅速发 展的网络改变了和正在改变着社会的方方面面, 并产生了全新的文化形式, 即网 络文化 网络文化是随着计算机联网而产生的一种新的文化现象, 它是信息时代 的特殊文化 作为人类社会最新的文化传播工具, 互联网体现了对自由的追求, 开启了新的自由空间, 为文化的平等发展开辟了空间

More information

《將進酒》

《將進酒》 00 年 教 學 設 計 獎 勵 計 劃 將 進 酒 參 選 編 號 :C076 學 科 名 稱 : 適 合 程 度 : 高 一 簡 介 設 計 目 的 1. 此 教 案 之 設 計, 目 的 是 讓 學 生 清 楚 明 白 古 體 詩 與 近 體 詩 之 不 同 處, 並 且 以 多 媒 體 輔 助 教 學, 提 高 學 生 學 習 的 興 趣. 藉 課 件 之 運 用, 促 進 學 生 在 學

More information

±¨¼ÛÃ÷ϸ±í

±¨¼ÛÃ÷ϸ±í 序 号 名 称 品 牌 规 格 型 号 详 细 配 置 数 量 产 地 制 造 厂 家 单 价 合 价 质 保 期 ( 一 ) 显 示 系 统 尺 寸 :55 寸, 原 装 超 窄 边 面 板, 屏 幕 之 间 拼 缝 3.5mm 超 高 亮 度 500cd/m², 直 下 式 LED 背 光 源, 使 用 寿 命 可 长 达 60000 小 时 1 55 寸 液 晶 拼 接 屏 海 康 威 视 DS-D205

More information