Microsoft Word - MYD-CZU3EG 产品用户手册.docx

Size: px
Start display at page:

Download "Microsoft Word - MYD-CZU3EG 产品用户手册.docx"

Transcription

1 开发板产品 用户手册 版本 V1.0

2 版本记录 版本号说明时间 V1.0 初始版本 2019/05/08

3 目录 目录... 3 第 1 章产品概述 产品简介 产品预览... 1 第 2 章硬件资源介绍 核心板硬件资源 底板硬件资源... 3 第 3 章核心板电路及底板设计说明 电源 引导模式和 JTAG 模式选择 DDR 存储 SPI Flash emmc 以太网 USB 多路可编程时钟发生器 外部看门狗与复位 第 4 章硬件接口 板上接口说明 PS 单元接口 以太网 USB-C DisplayPort SATA PCI-E CAN 串口 MYiR-Tech tech.com

4 4.2.8 按键 JTAG TF 卡 实时时钟 PL 单元接口 FMC Pmod Arduino LCD/HDMI I2C SFP BTB 连接器 第 5 章机械参数 附录一售后服务与技术支持 MYiR-Tech tech.com

5 第 1 章产品概述 1.1 产品简介 开发板由 MYC-CZU3EG 核心板加 MYB-CZU3EG 底板组成 核心板采用了 Xilinx 最新的基于 16nm 工艺的 Zynq UltraScale+ All Programmable SoC 平台, 集成了四核 Cortex -A53 处理器, 双核 Cortex -R5 实时处理单元以及 Mali-400 MP2 图形处理单元及 16nm FinFET+ 可编程逻辑相结合的异构处理系统, 具有高性能, 低功耗, 高扩展等特性, 能在工业设计中满足各种需要 底板搭载串口, 网口,HDMI,DP,SATA, PCIE,USB3.0 typec,lcd,pmod,audrino,fmc-lpc,tf 卡接口,SFP,ADC,CAN 等多种接口, 方便评估或集成 开发板采用 Linux, 提供包括用户手册,PDF 底板原理图, 外扩接口驱动,BSP 源码包, 开发工具等, 为开发者提供了完善的软件开发环境, 帮助降低产品开发周期, 实现产品快速上市 1.2 产品预览 Figure 1-2 MYiR-Tech tech.com 1 / 14

6 第 2 章硬件资源介绍 2.1 核心板硬件资源 Figure 2-1 4GB DDR4 SDRAM 4GB emmc 两个 Qual SPI-Flash 64MB, 共 128MB 10/100/1000M 千兆以太网接口 集成 USB2.0 PHY 外置看门狗电路 4 个状态指示 LED MYiR-Tech tech.com 2 / 14

7 2.2 底板硬件资源 Figure 2-2 PS 单元 1 路千兆以太网 1 路 USB3.0 typec 接口 1 路 DisplayPort 接口 1 路 PCIE2.1 x1 接口 1 路 SATA3.1 接口 1 路 CAN 接口 1 路 RS232 串口 1 路 TF 卡接口 1 路 I2C 接口 1 个复位按键,2 个用户按键, 1 路 JTAG 内置实时时钟 MYiR-Tech tech.com 3 / 14

8 PL 单元 XADC 接口 1 路 Xilinx 标准 LPFMC 接口 1 路 HDMI 接口,RGB 24bit, 不支持音频 1 路 LCD DIP/LPC 接口,RGB 24bit, 与 HDMI 复用显示信号 电阻式电容式触摸屏接口, 集成在 LCD 触摸屏接口 2 路 PMoD 5 个电源指示灯 4 路 SFP 模块接口 1 路 Arduino 接口 MYiR-Tech tech.com 4 / 14

9 第 3 章核心板电路及底板设计说明 3.1 电源 Figure 3 1 上图为开发板底板电源框图, 待 12V 电源打开后,Main 电源会自动上电给核心板提供 3.3V 电源, 后核心板自动完成上电, 再通过 PS_VCCO_EN 和 PL_VCCO_EN 打开底板上的相关电源 各 BANK 电压 : BANK0: 3.3V MYiR-Tech tech.com 5 / 14

10 BANK64: 由底板供电, 配套的底板供电为 1.8V BANK65: 由底板供电, 配套的底板供电为 1.8V BANK66: 由底板供电, 配套的底板供电为 1.8V BANK500: 1.8V BANK501: 1.8V BANK502: 1.8V BANK503: 1.8V 3.2 引导模式和 JTAG 模式选择 开发板提供四种启动方式供选择, 分别是 JTAG,SD1,eMMC 和 Quad-SPI 启动, 可 通过管脚的上拉电阻进行设置 Name PS_MODE0 PS_MODE1 PS_MODE2 PSMODE3 SW1 M0 M1 M2 / JTAG ON ON ON / QSPI32 ON OFF ON / SD1 ON ON ON / emmc ON OFF OFF / 3.3 DDR 开发板采用四片 Micron 公司的 (MT40A512M16HA-083E IT:A) DDR4 内存芯片,64 位接口, 共计 4 GB 容量 DDR4 存储器连接到 SoC 的 PS DDR 控制器的物理端口上,IO 电压为 1.2V, 支持的最高速度达 2400MT/s 3.4 存储 SPI Flash MYiR-Tech tech.com 6 / 14

11 Figure 开发板带有 2 片 Quad-SPI Flash(MT25QU512ABB8E12-0SIT), 连接到 CPU 的 QSP I0 接口 BANK500 的 PS_MIO0~PSMIO5, 以及 PS_MIO7~PSMIO12 引脚 : U32 PS_MIO0 PS_MIO1 QSPI_LOWER_SCK QSPI_LOWER_D1 MYiR-Tech tech.com 7 / 14

12 PS_MIO2 PS_MIO3 PS_MIO4 PS_MIO5 U33 PS_MIO7 PS_MIO8 PS_MIO9 PS_MIO10 PS_MIO11 PS_MIO12 QSPI_LOWER_D2 QSPI_LOWER_D3 QSPI_LOWER_D0 QSPI_LOWER_CS QSPI_UPPER_CS QSPI_UPPER_D0 QSPI_UPPER_D1 QSPI_UPPER_D2 QSPI_UPPER_D3 QSPI_UPPER_SCK Table 可以用于引导 SoC, 初始化 PS 并配置 PL 单元 emmc Figure MYiR-Tech tech.com 8 / 14

13 板载镁光 4GB emmc -- MTFC4GACAJCN-1M WT,8 位接口, 连接到了 CPU 的 S DIO1-- BANK500 的 PS_MIO13~ 的 PS_MIO23 引脚 : U31 PS_MIO13 PS_MIO14 PS_MIO15 PS_MIO16 PS_MIO17 PS_MIO18 PS_MIO19 PS_MIO20 PS_MIO21 PS_MIO22 PS_MIO23 SD0_EMMC_D0 SD0_EMMC_D1 SD0_EMMC_D2 SD0_EMMC_D3 SD0_EMMC_D4 SD0_EMMC_D5 SD0_EMMC_D6 SD0_EMMC_D7 SD0_EMMC_CMD SD0_EMMC_CLK SD0_EMMC_RST Table 以太网 Figure 3-5 Zynq UltraScale+ 的 PS 单元包含一路千兆以太网 MAC 硬件控制器, 外部需接有以太网物理层传输芯片, 开发板采用 DP83867IRRGZT 作为 PHY, 利用 PS 端 RGMII 接口接出一路千兆以太网口 MYiR-Tech tech.com 9 / 14

14 DP83867IRRGZT 接到了 CPU 的 ETH0 BANK501 的 PS_MIO64 ~ PS_MIO77 引 脚 U34 PS_MIO64 GEM3_TX_CLK PS_MIO65 GEM3_TX_D0 PS_MIO66 GEM3_TX_D1 PS_MIO67 GEM3_TX_D2 PS_MIO68 GEM3_TX_D3 PS_MIO69 GEM3_TX_CTL PS_MIO70 GEM3_RX_CLK PS_MIO71 GEM3_RX_D0 PS_MIO72 GEM3_RX_D1 PS_MIO73 GEM3_RX_D2 PS_MIO74 GEM3_RX_D3 PS_MIO75 GEM3_RX_CTL PS_MIO76 GEM3_MDCK PS_MIO77 GEM3_MDIO PS_MIO39 GEM3_PWDN_N PS_MIO40 GEM3_RESET_N Table USB Figure 3-6 SoC 通过 PS 端的 USB 控制器与一片 SMSC 公司的 USB PHY 芯片 USB3320C 连接 构成一个带有 OTG 功能的 USB 2.0 端口 既可以作为 USB Host 又可以作为 USB device, 作为 USB Host 时可以连接 U 盘 鼠标等 USB 设备, 作为 USB device 时, 可以连接其他 MYiR-Tech tech.com 10 / 14

15 主机, 这时开发板充当 USB 网卡或者 U 盘的角色 USB3320C 连接到了 CPU 的 USB0 BANK501 的 PS_MIO52~PS_MIO63 引脚 U35 PS_MIO52 USB0_CLK_IN PS_MIO53 USB0_DIR PS_MIO54 USB0_TX_D2 PS_MIO55 USB0_NXT PS_MIO56 USB0_TX_D0 PS_MIO57 USB0_TX_D1 PS_MIO58 USB0_STP PS_MIO59 USB0_TX_D3 PS_MIO60 USB0_TX_D4 PS_MIO61 USB0_TX_D5 PS_MIO62 USB0_TX_D6 PS_MIO63 USB0_TX_D7 PS_MIO38 USB0_RESET_N Table 多路可编程时钟发生器 MYC-CZU3EG 具有编程的 IDT SI5338 I2C 可编程任意频率, 任意输出四分频时钟发生器 该时钟 IC 为下面列出的接口生成必要的 LVDS 时钟 该器件内置 25 MHz 晶振时钟源, 无需外部时钟 该器件能够提供多种时钟频率, 输出类型, 扩频, 相移控制和转换速率控制 开发板套件的详细时钟框架图如下 : Figure MYiR-Tech tech.com 11 / 14

16 Figure 外部看门狗与复位 Figure 使用外部看门狗芯片 TPS DBVT, 该芯片的喂狗引脚连接到了 CPU 的 PS_MIO41 引脚,PS_MIO41 设置为高阻态时看门狗不工作, 调试阶段可以通过设置 PS_MIO41 为高阻态禁止看门狗工作 MYiR-Tech tech.com 12 / 14

17 TPS DBVT 同时具有监控电压复位系统的功能, 当电源电压达到门限电压后, 复位自动拉高启动系统 复位信号连接到 ZU3EG, 千兆网卡 PHY,USB PHY 这些设备上 Figure MYiR-Tech tech.com 13 / 14

18 第 4 章硬件接口 4.1 板上接口说明 Figure 4-1 Num Description J1,J2 电源输入 (12V) J3 电源开关 J4, J5 板对板连接器母座 J30 TYPEC 插座包含 USB2.0&USB3.0 信号 J7 PCI-E x 1 Slot J8 SATA J9 SATA 电源插座 J10 DisplayPort 视频输出接口 J11 SFP x 4 笼子 J12 显示屏接口, 直插封装 J13 显示屏接口,FPC J14 HDMI 视频输出接口 J15 Micro USB 接口 J16 JTAG 接口 J17 TF 卡插槽 J18, J19 PMOD 1, PMOD 2 接口 MYiR-Tech tech.com 14 / 14

19 Num J20 Description CAN 接口 J21,J22 J23,J24 Arduino 接口 J25 千兆以太网接口 J27 LP FMC 插座 J28 RTC 电池座, 支持 LR55 JP1 用户时钟输入 JP2 用户时钟输出 JP3 PS_MGTRRXP3_505 调试信号输入 JP4 MGTREFCLK0P_224 参考时钟输入 JP5 FMC JTAG 调试选择 JP6 数字模拟信号输入选择 S1 用户按键 S2 PS_PROG_B 按键 S3 复位按键 D5 TYPEC 过流指示 ( 红色 ) D7 DisplayPort 电源指示 ( 绿色 ) D36 核心板电源指示 ( 蓝色 ) D37 用户可编程 LED( 黄色 ) D41 用户可编程 LED( 黄色 ) Table PS 单元接口 以太网 1 路 10/100/1000Mbps 以太网接口,PHY 芯片集成在核心板上,8 线千兆 PHY 信号经 由底板上的 RJ45 接出, 端口为 J USB-C 1 路 USB 2.0 高速接口,1 路 USB3.0 接口, 一起经由底板上的 TYPEC 接口引出, 可 根据不同需要配置成主从设备, 端口为 J30 MYiR-Tech tech.com 15 / 14

20 4.2.3 DisplayPort 1 路 DisplayPort 接口, 用于输出图像, 接口为 J SATA 1 路 SATA3.1 接口, 用于连接 SATA 存储设备, 与之配套的电源接口为 J9,SATA 接 口为 J8 对应供电接口为 J PCI-E 1 路 PCI-E2.1 x1 host 接口, 用以连接外部 PCI-E 设备, 接口为 J CAN 1 路 CAN 接口, 端口为 J 串口 为 J15 1 路 UART TTL, 通过 USB 转串口芯片 CP2012 对联, 作为系统默认调试串口, 端口 按键 一个复位按键 S3 可对 PS 单元进行复位, 一个用户按键 S1, 一个 PS 编程按键 S JTAG 1 路 14 Pin ARM 标准的 JTAG, 可对 PS 和 PL 单元进行调试, 端口为 J TF 卡 1 路 SD/MMC 接口, 接在 SD/SDIO 2.0/MMC4.5 控制器 SD0 上,J17 为 TF 卡插槽 MYiR-Tech tech.com 16 / 14

21 实时时钟 内部 RTC 芯片, 电池座 J26 使用 LR55 电池, 可用于实现日期时间以及内部密钥保存 等相关功能 4.3 PL 单元接口 FMC FMC 标准描述了一个通用的模块, 它是以一定范围的应用, 环境和市场为目标的 该标准由包括 FPGA 厂商和最终用户在内的公司联盟开发, 旨在为基础板 ( 载卡 ) 上的 FPGA 提供标准的夹层板 ( 子卡 ) 尺寸 连接器和模块接口 通过这种方式将 I/O 接口与 FPGA 分离, 不仅简化了 I/O 接口模块设计, 同时还最大化了载卡的重复利用率 包含一路 Xilinx 标准 FMC 接口, 采用的是 160 引脚数的 LPC 连接器, FMC LPC female 母座, 即 J27, 对于的公座参考型号为 Samtec 公司的 ASP 详细管脚定义请参考原理图以及管脚描述表 Pmod Pmod 是一种少 I/O 数的扩展接口, 提供一种简易的方式来实现功能的扩展,Pmod 可连接各种功能的模块, 例如 I/O 外设 传感器 数据采集等等 Pmod 有 6 针和 12 针两种, 开发板包含 3 组 12 针的 Pmod, 即 J18,J19 详细管脚定义请参考原理图以及管脚描述表 Arduino Arduino 模块拥有 16 位数字 IO,6 个 ADC IO, 部分管脚复用, 通过 JP6 跳线来设置, 最终所有信号连接到 J21,J22,J23,J24 以实现 Arduino 接口 另有部分引脚可能参与实现其他功能, 具体请参考底板原理图 有关更多引脚信息, 请参阅 <MYC-CZU3EG Pin MAP 表 > MYiR-Tech tech.com 17 / 14

22 4.3.4 LCD/HDMI 通过 PL 端 BANK64 上 IO 直接引出实现 LCD 端口功能, 相关信号同 时也被引到 SiI9022 上用以实现 HDMI 接口功能 具体信号路径如下图所示 : Figure I2C 1 路 I2C 接口, 通过 TCA9548APWR 扩展出 8 路, 被用于 FMC SFP HDMI 芯片 触摸屏等的控制 Figure MYiR-Tech tech.com 18 / 14

23 4.3.6 SFP XCZU3EG 具有集成高速 MGT (Multi-Gigabit Transceiver) 的 BANK, 可以通过使用相 应 IP 在 PL 端实现 SFP 的物理层, 目前底板上有 4 个 SFP 接口可供扩展 注 : 此部分高速 SERDES 仅部分型号芯片支持 ( 如 ZU4EV 等 ) 4.4 BTB 连接器 采用 Samtec 的 0.5mm pitch 的双排高速 BTB 连接器, 核心板采用的连接器型号为 ST5-80-1_50-L-D-P-TR, 对应底板采用的型号为 SS L-D-K-TR 目前我们选用的这两款结合后高度为 5mm, 该款连接器有不同高度的型号, 可根据需要配对选用, 以满足不同的空间要求, 更详细内容请参考相关器件规格书 我们提供的资料中包含了该元件封装, 格式为 Allegro 16.6, 可以在光盘或硬件资料里面找到 Table 4-4 MYiR-Tech tech.com 19 / 14

24 第 5 章机械参数 电气参数 : 工作温度 : 工业级 :-40 C ~+85 C 商业级 :0 C ~+70 C 工作湿度 :20%~90%, 非冷凝 电源供电 : 核心板 :3.3V 套板 :12V 核心板接口 :320 Pin 0.5mm 间距双排 PCB 连接器 PCB 板层 : 底板,6 层, 沉金工艺生产, 独立的接地信号层, 无铅 核心板,12 层盲埋孔, 沉金工艺生产, 独立的接地信号参考层, 无铅 机械尺寸 : 底板 :195.4mm x 124 mm 核心板 :60mm x 52 mm MYiR-Tech tech.com 20 / 14

25 附录一售后服务与技术支持 凡是通过米尔科技直接购买或经米尔科技授权的正规代理商处购买的米尔科技全系列产品, 均可享受以下权益 : 1 6 个月免费保修服务周期 2 终身免费技术支持服务 3 终身维修服务 4 免费享有所购买产品配套的软件升级服务 5 免费享有所购买产品配套的软件源代码, 以及米尔科技开发的部分软件源代码 6 可直接从米尔科技购买主要芯片样品, 简单 方便 快速 ; 免去从代理商处购买时, 漫长的等待周期 7 自购买之日起, 即成为米尔科技永久客户, 享有再次购买米尔科技任何一款软硬件产品的优惠政策 8 OEM/ODM 服务 如有以下情况之一, 则不享有免费保修服务 : 1 超过免费保修服务周期 2 无产品序列号或无产品有效购买单据 3 进液 受潮 发霉或腐蚀 4 受撞击 挤压 摔落 刮伤等非产品本身质量问题引起的故障和损坏 5 擅自改造硬件 错误上电 错误操作造成的故障和损坏 6 由不可抗拒自然因素引起的故障和损坏 产品返修 : 用户在使用过程中由于产品故障 损坏或其他异常现象, 在寄回维修之 前, 请先致电米尔科技客服部, 与工程师进行沟通以确认问题, 避免故障判断错误造成不 必要的运费损失及周期的耽误 维修周期 : 收到返修产品后, 我们将即日安排工程师进行检测, 我们将在最短的时间 内维修或更换并寄回 一般的故障维修周期为 3 个工作日 ( 自我司收到物品之日起, 不计 MYiR-Tech tech.com 21 / 14

26 运输过程时间 ), 由于特殊故障导致无法短期内维修的产品, 我们会与用户另行沟通并确认 维修周期 维修费用 : 在免费保修期内的产品, 由于产品质量问题引起的故障, 不收任何维修费用 ; 不属于免费保修范围内的故障或损坏, 在检测确认问题后, 我们将与客户沟通并确认维修费用, 我们仅收取元器件材料费, 不收取维修服务费 ; 超过保修期限的产品, 根据实际损坏的程度来确定收取的元器件材料费和维修服务费 运输费用 : 产品正常保修时, 用户寄回的运费由用户承担, 维修后寄回给用户的费用 由我司承担 非正常保修产品来回运费均由用户承担 购买请联系 : 电话 : 传真 : 邮箱 :sales@myirtech.com 网站 : 技术支持请联系 : 电话 : 传真 : 邮箱 :support@myirtech.com 网站 : MYiR-Tech tech.com 22 / 14

MYE-SAM9G15/9G25

MYE-SAM9G15/9G25 嵌入式 SoC(ARM+FPGA) 核心板 概述 MYC-C7Z010/20 是深圳市米尔科技有限公司推出的一款以 Xilinx Zynq-7010/7020 作为核心的嵌入式核心板 采用了 Xilinx 最新的基于 28nm 工艺流程的 Zynq-7000 All Programmable SoC 平台, 将 ARM 处理器和 FPGA 架构紧密集成,PS 单元拥有双核 ARM Cortex-A9

More information

MYD-SAM9X5系列开发板

MYD-SAM9X5系列开发板 MYD-SAM9X5 系列开发板简介 MYD-SAM9X5 系列开发板 产品概述 MYD-SAM9X5 系列开发板是一款基于 ATMEL SAM9X5 处理器 (SAM9G15,SAM9G25, SAM9X25,SAM9G35,SAM9X35 )ARM ARM926EJ-S 内核的全功能评估工具, 主频高达 400MHz, 外扩 128MB DDR2 SDRAM,256MB NandFlash,4MB

More information

MYE-SAM9G15/9G25

MYE-SAM9G15/9G25 MYD-C7Z010/20 Board Linux 开发手册 版本 V1.0 2015 年 5 月 11 日 米尔科技 www.myir-tech.com 版本记录 版本号说明时间 V1.0 初始版本 2015/5/11 米尔科技 www.myir-tech.com 目录 目录... 1 第 1 章概述及软件资源介绍... 2 1.1 概述... 2 1.2 软件资源... 2 第 2 章 Linux

More information

MYE-SAM9G15/9G25

MYE-SAM9G15/9G25 Z-turn Board 版本 V1.1 2014 年 12 月 16 日 版本记录版本号 说明 时间 V1.0 初始版本 2014/12/4 V1.1 更新图片 4-1 2014/12/16 目录 目录... 1 第 1 章产品概述... 1 1.1 产品简介... 1 1.2 产品预览... 1 第 2 章 SoC 介绍... 2 2.1 SoC 特性... 2 2.1 SoC BANK...

More information

MYE-SAM9G15/9G25

MYE-SAM9G15/9G25 MYS-6ULX-IOT 产品数据手册 版本 V1.0 2017.01.22 版本记录 版本号说明时间 V1.0 初始版本 2017.01.22 米尔科技 www.myir-tech.com 2 / 30 目录目录... 3 第 1 章概述... 4 第 2 章硬件参数... 6 2.1 CPU 特性... 错误! 未定义书签 2.2 板载硬件资源... 7 2.3 扩展接口... 9 第 3 章接口说明...

More information

TL5728-EasyEVM 开发板硬件说明书 Revision History Draft Date Revision No. Description 2018/04/04 V 开发板版本更新为 A3, 文档格式校订 2017/01/16 V 添加视频输入拓展口 2. 修改

TL5728-EasyEVM 开发板硬件说明书 Revision History Draft Date Revision No. Description 2018/04/04 V 开发板版本更新为 A3, 文档格式校订 2017/01/16 V 添加视频输入拓展口 2. 修改 TL5728-EasyEVM 开发板硬件说明书 Revision History Draft Date Revision No. Description 2018/04/04 V1.2 1. 开发板版本更新为 A3, 文档格式校订 2017/01/16 V1.1 1. 添加视频输入拓展口 2. 修改 USB 介绍错漏 2016/10/26 V1.0 1. 初始版本 公司官网 :www.tronlong.com

More information

MYE-SAM9G15/9G25

MYE-SAM9G15/9G25 MYD-C7Z010/20 可编程逻辑 开发手册 版本 V1.2 2015 年 5 月 31 日 米尔科技 www.myir-tech.com 版本记录版本号 说明 时间 V1.0 初始版本 2015/5/5 V1.1 补充第 4 章 2015/5/19 V1.2 添加第 5 章 2015/5/31 米尔科技 www.myir-tech.com 目录 目录... 1 第 1 章概述... 3 1.1

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

目 录 前言 处理器 FLASH RAM QSPI Flash 加密芯片 电源接口和拨码开关 JTAG 仿真器接口 LCD 触摸屏接口 LED 指示灯 按键.

目 录 前言 处理器 FLASH RAM QSPI Flash 加密芯片 电源接口和拨码开关 JTAG 仿真器接口 LCD 触摸屏接口 LED 指示灯 按键. TL5728-IDK 开发板硬件说明书 Revision History Draft Date Revision No. Description 2018/04/10 V1.1 1. 开发板版本更新为 A3 版 2017/06/20 V1.0 1. 初始版本 公司官网 :www.tronlong.com 销售邮箱 :sales@tronlong.com 公司总机 :020-8998-6280 1/33

More information

MYE-SAM9G15/9G25

MYE-SAM9G15/9G25 ARM 嵌入式工业控制核心板 产品数据手册 概述 是深圳市米尔科技有限公司推出的一款以 TI AM335X 作为主处理器的嵌入式核心板, 该系列器件是基于 ARM Cortex-A8 内核的最高性能 低功耗 MPU 特性, 可以提供相同成本下最高的 DMIPS, 同时提供 3D 图形加速和关键外设的集成, 可满足各种应用需要, 可选 3D 图形加速器性能高达 20M/tri/s, 支持 LPDDR1/DDR2/DDR3

More information

Quidway® S8500系列万兆核心路由交换机

Quidway®  S8500系列万兆核心路由交换机 Quidway NetEngine 20/20E 系列路由器订购信息 1 NetEngine 20-8/4/2 订购信息表 主机系统 RT-NE20-8-CHASSIS 5U 高度路由器机箱 必配 RT-NE20-4-CHASSIS 3U 高度路由器机箱 (NE20-4) 必配 RT-NE20-2-CHASSIS 3U 高度路由器机箱 (NE20-2) 必配 RT-NE20-RPU-512M 路由处理单元

More information

【工程类】

【工程类】 茂 名 市 地 方 税 务 局 会 议 室 功 能 升 级 项 目 采 购 项 目 编 号 :GZGD-2015-008 公 开 招 标 文 件 广 州 广 大 工 程 项 目 管 理 有 限 公 司 编 制 发 布 日 期 : 二 0 一 六 年 一 月 捌 日 目 录 第 一 部 分 投 标 邀 请 函 -----------------------------------------------------------------------------------------------------------

More information

Revision History Draft Date Revision No. Description 2018/2/8 V 更换开发板底板图片为 A2 版 2018/1/31 V 勘误 2017/11/23 V 初始版本 公司官网 :

Revision History Draft Date Revision No. Description 2018/2/8 V 更换开发板底板图片为 A2 版 2018/1/31 V 勘误 2017/11/23 V 初始版本 公司官网 : Revision History Draft Date Revision No. Description 2018/2/8 V1.2 1. 更换开发板底板图片为 A2 版 2018/1/31 V1.1 1. 勘误 2017/11/23 V1.0 1. 初始版本 公司官网 :www.tronlong.com 销售邮箱 :sales@tronlong.com 公司总机 :020-8998-6280 2/15

More information

杭州言曼科技有限公司 CB01 子卡说明文档 ( 对外发布 ) CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别 CB01 标配版本和低成本区别 CB01 结构信息

杭州言曼科技有限公司 CB01 子卡说明文档 ( 对外发布 )   CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别 CB01 标配版本和低成本区别 CB01 结构信息 CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别... 2 2. CB01 标配版本和低成本区别... 2 3. CB01 结构信息... 3 4. CB01 功耗信息... 3 5. LED 显示... 3 6. 按键... 4 7. 拨码开关... 4 8. USB 串口 - 型号 :CP2102... 4 9. 输入时钟... 4 10. I2C

More information

深圳市海天雄电子有限公司 Shenzhen Haitianxiong Electronic Co., Ltd. CES-4418-CORE( 邮票口 ) 产品手册 SAMSUNG ARM 核心板 Rev. V1.0 Date:

深圳市海天雄电子有限公司 Shenzhen Haitianxiong Electronic Co., Ltd. CES-4418-CORE( 邮票口 ) 产品手册 SAMSUNG ARM 核心板 Rev. V1.0 Date: 深圳市海天雄电子有限公司 Shenzhen Haitianxiong Electronic Co., Ltd. CES-4418-CORE( 邮票口 ) 产品手册 Rev. V1.0 Date:2017-06-02 www.nrisc.com 简介 CES-4418 核心板是一款低功耗 高性能的嵌入式 ARM 主板, 使用 Samsung 高端主流 ARM 处理器 :S5P4418 四核 Cortex-A9,

More information

<4D6963726F736F667420576F7264202D20D4D3D6BECEC4B8E5C4A3B0E52E646F63>

<4D6963726F736F667420576F7264202D20D4D3D6BECEC4B8E5C4A3B0E52E646F63> 1/8 文 / 杜 洋 自 从 我 的 爱 上 单 片 机 一 书 问 世, 爱 上 一 词 就 在 电 子 制 作 的 圈 子 里 小 火 了 一 把 随 后 又 出 现 了 爱 上 制 作 爱 上 Arduino, 还 有 一 大 堆 类 似 名 字 的 出 版 物 虽 然 每 一 本 的 内 容 都 很 精 彩, 但 总 这 么 爱 来 爱 去 的, 是 不 是 有 点 审 美 疲 劳 呢?

More information

Revision History Draft Date Revision No. Description 2019/04/06 V 更新为 B2 版本 2018/11/22 V 初始版本 公司官网 : 销售邮箱

Revision History Draft Date Revision No. Description 2019/04/06 V 更新为 B2 版本 2018/11/22 V 初始版本 公司官网 :  销售邮箱 Revision History Draft Date Revision No. Description 2019/04/06 V1.1 1. 更新为 B2 版本 2018/11/22 V1.0 1. 初始版本 公司官网 :www.tronlong.com 销售邮箱 :sales@tronlong.com 公司总机 :020-8998-6280 2/20 目 录 1 开发板简介... 4 2 典型运用领域...

More information

EES288 Documentation

EES288 Documentation EES288 Documentation 发布 1.0 e-elements 2018 年 04 月 20 日 Contents 1 目录 : 1 1.1 EES288 用户手册............................................ 1 i ii CHAPTER 1 目录 : 1.1 EES288 用户手册 1.1.1 1 概述 EES-288 是基于 Xilinx

More information

目录 一 产品简介 产品概述 应用领域...4 二 接口描述...5 三 硬件规格...6 四 PCB 尺寸...7 五 接口定义 CON1 SIP-42PIN-2D CON2 SIP-42PIN-2D 六 附录 源

目录 一 产品简介 产品概述 应用领域...4 二 接口描述...5 三 硬件规格...6 四 PCB 尺寸...7 五 接口定义 CON1 SIP-42PIN-2D CON2 SIP-42PIN-2D 六 附录 源 Firefly-RK3128 产品规格书 作者 天启智能科技有限公司 版本 V1.0 日期 2018-05-15 版本更新日期更新内容 V1.0 2018-05-15 原始版本 - 1 - 目录 一 产品简介...4 1 产品概述...4 1.2 应用领域...4 二 接口描述...5 三 硬件规格...6 四 PCB 尺寸...7 五 接口定义...9 1 CON1 SIP-42PIN-2D54...9

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCAB5D1E9CAD2B7BDB0B82E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCAB5D1E9CAD2B7BDB0B82E646F63> 目 录 一 嵌 入 式 系 统 的 应 用 及 前 景... - 1 - 二 目 前 嵌 入 式 系 统 教 学 现 状 和 实 验 体 系 的 建 设... - 1 - 三 嵌 入 式 教 学 在 本 科 生 中 的 教 学 难 点... - 1 - 四 教 学 难 点 解 决 方 法... - 2-1 选 择 S+core7 核 学 习... - 2-2 选 择 ecos 操 作 系 统 进 行

More information

MYE-SAM9G15/9G25

MYE-SAM9G15/9G25 ARM 嵌入式工业控制核心板 概述 产品数据手册 是深圳市米尔科技有限公司推出的一款以 ATMEL SAM9X5 作为主处理器的嵌入式核心板, 该系列器件是基于 ARM926EJ-S 内核的最高性能 低功耗 MPU 特性, 处理器频率高达 400MHz, 并且基于 12 层总线矩阵的高数据带宽高速通道, 微处理器的 MLC/SLC NAND Flash 控制器支持 24 位的 PMECC( 可编程的多位

More information

(按 Ctrl + F2 可編輯頁首資料)

(按 Ctrl + F2 可編輯頁首資料) SAWANG 大 華 科 技 大 學 秘 書 室 會 議 議 程 SC0-4-533-01-03, A00 名 稱 :103 學 年 度 第 4 次 專 責 小 組 會 議 時 間 :104 年 3 月 24 日 ( 星 期 二 ) 中 午 12 時 10 分 地 點 : 大 華 樓 5 樓 會 議 室 主 席 : 代 理 校 長 李 右 婷 紀 錄 : 秘 書 室 林 佩 姍 壹 主 席 報 告

More information

FPGA黑金开发平台 用户手册

FPGA黑金开发平台 用户手册 ZYNQ7000 开发平台 用户手册 AC7015 开发板 文档版本控制 文档版本 修改内容记录 REV1.0 创建文档 芯驿电子科技 ( 上海 ) 有限公司 2 / 23 目录 文档版本控制... 2 ( 一 ) 简介... 4 ( 二 ) ZYNQ 芯片... 5 ( 三 ) DDR3 DRAM... 8 ( 四 ) QSPI Flash... 11 ( 五 ) emmc Flash... 13

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63> 嵌 入 式 系 统 设 计 师 考 试 大 纲 一 考 试 说 明 1 考 试 要 求 : (1) 掌 握 科 学 基 础 知 识 ; (2) 掌 握 嵌 入 式 系 统 的 硬 件 软 件 知 识 ; (3) 掌 握 嵌 入 式 系 统 分 析 的 方 法 ; (4) 掌 握 嵌 入 式 系 统 设 计 与 开 发 的 方 法 及 步 骤 ; (5) 掌 握 嵌 入 式 系 统 实 施 的 方 法

More information

深圳市海天雄电子有限公司 Shenzhen Haitianxiong Electronic Co., Ltd. CES-V210-CORE 产品手册 ARM 核心板 Rev. V1.0 Date:

深圳市海天雄电子有限公司 Shenzhen Haitianxiong Electronic Co., Ltd. CES-V210-CORE 产品手册 ARM 核心板 Rev. V1.0 Date: 深圳市海天雄电子有限公司 Shenzhen Haitianxiong Electronic Co., Ltd. CES-V210-CORE 产品手册 Rev. V1.0 Date:2017-06-02 www.nrisc.com 简介 CES-V210 核心板基于 Samsung 公司 ARM Cortex- A8 S5PV210 应用处理器, 支持高达 1GHz 的处理速度, 核心板上配置一枚智能电源管理芯片,

More information

MYE-SAM9G15/9G25

MYE-SAM9G15/9G25 ARM 嵌入式工业控制核心板 概述 产品数据手册 是深圳市米尔科技有限公司推出的一款以 ATMEL SAM9X5 作为主处理器的嵌入式核心板, 该系列器件是基于 ARM926EJ-S 内核的最高性能 低功耗 MPU 特性, 处理器频率高达 400MHz, 并且基于 12 层总线矩阵的高数据带宽高速通道, 微处理器的 MLC/SLC NAND Flash 控制器支持 24 位的 PMECC( 可编程的多位

More information

HMI COM1 RS SIEMENSE S7-200 RS485

HMI COM1 RS SIEMENSE S7-200 RS485 目录 第一部分维控人机界面串口引脚定义...2 1 LEVI777T COM1 引脚定义原理图...2 2 LEVI777T COM2 引脚定义原理图...2 3 LEVI908T COM1 引脚定义原理图...2 4 LEVI908T COM2/COM3 引脚定义原理图...3 第二部分通信针头...4 1 通信针头...4 第三部分各 PLC 与 LEVI 通信线接法...5 1 西门子 S7-200

More information

52C-14266-5

52C-14266-5 逻 辑 分 析 仪 基 础 知 识 入 门 手 册 www.tektronix.com.cn/logic_analyzers 15 入 门 手 册 目 录 引 言 3-4 起 源 3 数 字 示 波 器 3 逻 辑 分 析 仪 4 逻 辑 分 析 仪 操 作 5-13 连 接 被 测 系 统 5 探 头 5 设 置 逻 辑 分 析 仪 7 设 置 时 钟 模 式 7 设 置 触 发 7 采 集 状

More information

深圳市国际招标有限公司

深圳市国际招标有限公司 采 购 文 件 采 购 项 目 编 号 :SSZ-2015G008 采 购 项 目 名 称 : 松 岗 中 心 小 学 扩 建 教 学 设 备 设 施 采 购 及 安 装 项 目 采 购 方 式 : 公 开 招 标 采 购 人 ( 章 ): 佛 山 市 南 海 区 狮 山 镇 教 育 局 采 购 代 理 机 构 ( 章 ): 深 圳 市 国 际 招 标 有 限 公 司 日 期 : 年 月 日 目 录

More information

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010)

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010) ,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN 7-5635-1099-0...............TP36 CIP (2005)076733 : ( 10 ) :100876 : (010 )62282185 : (010)62283578 : publish@bupt.edu.cn : : : 787 mm960 mm 1/

More information

MarsBoard AM335X 用户手册 微雪电子 WAVESHARE ELECTRONICS MarsBoard AM335X 用户手册 产品概述 MarsBoard AM335X 是基于 TI AM335X 系列处理器的卡片式电脑 工业级别的芯片, 广泛的应用 在工业, 医疗, 消费类电子等行

MarsBoard AM335X 用户手册 微雪电子 WAVESHARE ELECTRONICS MarsBoard AM335X 用户手册 产品概述 MarsBoard AM335X 是基于 TI AM335X 系列处理器的卡片式电脑 工业级别的芯片, 广泛的应用 在工业, 医疗, 消费类电子等行 MarsBoard AM335X 用户手册 产品概述 MarsBoard AM335X 是基于 TI AM335X 系列处理器的卡片式电脑 工业级别的芯片, 广泛的应用 在工业, 医疗, 消费类电子等行业 1 目录 产品概述... 1 目录... 2 1. 板载资源... 3 2. 使用操作... 5 2.1. 系统固件的下载... 5 2.2. TF 卡系统的烧写... 5 2.3. TF 卡系统的启动...

More information

中文版

中文版 人机界面 Easy Harmony 工业平板电脑 产品目录 2019 schneider-electric.con www.schneider-electric.com/hmi 目录 Easy Harmony 工业平板电脑 选型指引....page 0590Q/2 介绍 产品特点... page 28626/2 EcoStruxure Architecture... page 28626/2 概述...

More information

VME

VME VMEbus VME 35 VME VME VME EOL Tsi148 VME PCI-X Marvell 2025 VME Power Architecture VME VME VME VME VME VME VME PCI VME VME VME 32 32 CPU I/O VME VMEbus 20 70 VERSAbus European Microsystems group VERSAbus

More information

K 寸猫眼中文说明书

K 寸猫眼中文说明书 使 用 说 明 书 适 用 于 K-301 系 列 产 品 一 简 介 1 二 标 准 套 装 配 件 1 三 产 品 外 观 构 成 2 四 安 装 说 明 4 五 操 作 说 明 6 六 规 格 参 数 13 七 注 意 事 项 14 感 谢 您 购 买 智 能 防 盗 可 视 猫 眼 门 铃 系 列 产 品, 该 系 列 是 本 公 司 最 新 设 计 的 多 功 能 超 薄 悬 挂 式 智

More information

j_xilinx-training-courses_2012.pdf

j_xilinx-training-courses_2012.pdf Xilinx Training Catalog ... 2... 3-7 FPGA ISE... 8 FPGA... 9 FPGA... 10 FPGA... 11 Spartan-6... 12 Virtex-6... 13 7 FPGA... 14 PlanAhead... 15 PlanAhead... 16 ChipScope Pro... 17... 18... 19... 20 LogiCORE

More information

中文手册

中文手册 PCC-3428 PC/104 1. PCC-3428 1.1 PCC-3428 90mm 96mm ST CPU STPC Atlas Atlas CPU 486 DX/DX2 CPU DX2 133MHz Atlas 2D LCD/CRT 100MHz SDRAM 64MBytes PCC-3428 10/100Mbps DOC EIDE USB PC/104 ST STPC Atlas STPC

More information

Microsoft Word - 134招标文件.doc

Microsoft Word - 134招标文件.doc 威 海 市 政 府 采 购 招 标 文 件 采 购 编 号 :WHGP2016-134 采 购 项 目 : 网 站 建 设 采 购 方 式 : 公 开 招 标 山 东 省 鲁 成 招 标 有 限 公 司 2016 年 5 月 20 日 目 录 第 一 部 分 招 标 公 告 2 第 二 部 分 投 标 人 须 知 4 第 三 部 分 开 标 评 标 定 标 13 第 四 部 分 采 购 项 目 说

More information

网上对外发布资料适用版本

网上对外发布资料适用版本 HDLC-LCM 嵌 入 式 低 功 耗 通 信 模 块 Rev.2016.0602 用 户 手 册 电 话 :400-025-5057 网 址 :www.yacer.cn 目 录 1 概 述... 1 1.1 简 介... 1 1.2 特 点... 1 1.3 应 用... 1 1.4 订 购 信 息... 1 1.5 技 术 规 格... 2 1.6 机 械 尺 寸 图... 3 2 硬 件 结

More information

(Quad-Core Intel Xeon 2.0GHz) ()(SAS) (Quad-Core Intel Xeon 2.0GHz) (Windows )(Serial ATA) (Quad-Core Intel Xeon 2.0GHz) (Linux)(Serial ATA)

(Quad-Core Intel Xeon 2.0GHz) ()(SAS) (Quad-Core Intel Xeon 2.0GHz) (Windows )(Serial ATA) (Quad-Core Intel Xeon 2.0GHz) (Linux)(Serial ATA) LP5-970060 HP (EDA) HP (GIS) HP OEM HP z400 z600/xw6000 z800 xw9000 CPU 8 intel base 6SATA channel SAS Hard Disk PCI-X 192GB Memory CAE D H D SDHD CPU APRAM CPU, AMD Dual core Model 3D 64GB Memory SCI

More information

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8 Version History 日期 版本 2016/8/4 1.00 2016/9/2 1.01 2016/11/29 1.02 2017/2/16 1.03 2017/6/9 1.04 2018/6/27 1.05 2018/8/8 1.06 新增 MG196 PBGA256S 封装信息 ; 修改 SSPI 模式的配置功能 ; 增加 QN88 封装信息 2019/3/27 1.07 增加电源供电要求

More information

《计算机应用基础》学习材料(讲义)

《计算机应用基础》学习材料(讲义) 计 算 机 应 用 基 础 学 习 材 料 ( 讲 义 ) Fundamentals of Computer Application 2014-3-22 JIANGSU OPEN UNIVERSITY 第 二 学 习 周 计 算 机 基 础 知 识 ( 一 ) 导 学 在 本 学 习 周, 我 们 主 要 的 任 务 是 认 识 计 算 机 你 将 知 道 计 算 机 是 什 么 时 候 产 生 的,

More information

<4D F736F F D20F7E8F7EBD7F9C3D4C4E3BFAAB7A2B0E5D3B2BCFECAB9D3C3CAD6B2E156312E302E646F6378>

<4D F736F F D20F7E8F7EBD7F9C3D4C4E3BFAAB7A2B0E5D3B2BCFECAB9D3C3CAD6B2E156312E302E646F6378> 麒麟座迷你开发板硬件使用手册 V1.0 2016 年 7 月 18 日 1 版本记录 版本号 日期 作者 修改内容 备注 V1.0 2016 7 18 谢斌强 初始版本 2 目录 第一章 OneNET 麒麟座迷你开发板简介... 4 1.1 MCU 介绍... 4 1.2 开发板功能简介... 4 1.3 开发板配置... 6 第二章硬件资源... 7 2.1 Bom 表... 7 相关资料...

More information

STEP-MXO2 V2硬件手册

STEP-MXO2 V2硬件手册 小脚丫 STEP FPGA STEP 2016/8/28 目录 1. 概述... 2 2.STEP-MXO2 V2 硬件简介 :... 3 2.1 STEP-MXO2 V2 开发板... 3 2.2 FT232 编程器... 3 2.3 FPGA... 3 2.4 供电... 4 2.5 时钟... 4 2.6 七段数码管... 4 2.7 三色 LED... 4 2.8 拨码开关... 4 2.9

More information

石油大学(北京)

石油大学(北京) 大 學 生 安 全 教 育 參 考 資 料 1 對 大 學 生 進 行 安 全 教 育 的 必 要 性 第 一 篇 遵 紀 守 法 篇 第 1 章 安 全 教 育 大 學 生 活 對 於 每 一 位 莘 莘 學 子 來 說 都 是 一 段 美 好 而 又 難 忘 的 時 光 而 這 一 切 從 頭 開 始 的 時 候, 不 大 容 易 被 同 學 們 重 視 的 往 往 是 安 全 問 題 安 全

More information

PCI Express

PCI Express PCI Express 1-Gigabit Wall Chip-to-Chip Line Card Interconnect PL2 PCI PL3 SFI-4/SPI-4 SFI-5/SPI-5 2.488-3.125 Gbps 16 ch 3GIO I/O Interconnect Rapid I/O (Parallel) HyperTransport Rapid I/O (Serial) 1.25,

More information

untitled

untitled XILINX Platform Cbale USB www.hseda.com ...... Platform Cable USB Compatible.................. impact.........1 platform Cable USB Compatible.........1...1...1...1...1...1 Platform Cable USB Compatible

More information

104 年 度 第 一 次 會 議 ( )

104 年 度 第 一 次 會 議 ( ) 專 責 小 組 會 議 紀 錄 104 年 03 月 24 日 會 議 紀 錄 104 年 09 月 10 日 會 議 紀 錄 104 年 11 月 24 日 會 議 紀 錄 104 年 12 月 15 日 會 議 紀 錄 104 年 度 第 一 次 會 議 (104.03.24) 遠 東 科 技 大 學 104 年 度 教 育 部 獎 勵 補 助 款 專 責 小 組 第 1 次 會 議 紀 錄 時

More information

< 用于 IA (Industrial Appliances)> ( 续 ) 1 [12.1] 8 [15.0] LQ121S1DG RGB 万 CMOS 6.2 LQ121S1LG 万 LQ121S1LG8

< 用于 IA (Industrial Appliances)> ( 续 ) 1 [12.1] 8 [15.0] LQ121S1DG RGB 万 CMOS 6.2 LQ121S1LG 万 LQ121S1LG8 < 用于 IA (Industrial Appliances)> 8.8 [.5] 8.9 [.5] 9.4 [.7] 11 [4.2] 11 [4.] 14 [5.7] 16 [6.4] 18 [7.0] 21 [8.4] 2 [9.1] 26 [10.1] 26 [10.4] LQ05QDG0 LQ05QDY01 LS07V7DW05 20 RGB 240 240 RGB 20 480 RGB

More information

MYE-SAM9G15/9G25

MYE-SAM9G15/9G25 Z-turn Board 版本 V1.0 2014 年 12 月 4 日 版本记录 版本号说明时间 V1.0 初始版本 2014/12/4 目录 目录... 1 第 1 章概述及软件资源介绍... 3 1.1 概述... 3 1.2 软件资源... 3 第 2 章 Linux 开发环境搭建... 5 2.1 建立工作目录... 5 2.2 设置交叉编译工具... 5 2.3 安装工具... 5 第

More information

Revision History Draft Date Revision No. Description 2018/7/9 V 修改核心版简介 硬件参数 产品订购型号 2018/2/8 V 更换开发板底板图片为 A2 版 2018/1/31 V 勘误 2017/1

Revision History Draft Date Revision No. Description 2018/7/9 V 修改核心版简介 硬件参数 产品订购型号 2018/2/8 V 更换开发板底板图片为 A2 版 2018/1/31 V 勘误 2017/1 Revision History Draft Date Revision No. Description 2018/7/9 V1.3 1. 修改核心版简介 硬件参数 产品订购型号 2018/2/8 V1.2 1. 更换开发板底板图片为 A2 版 2018/1/31 V1.1 1. 勘误 2017/11/23 V1.0 1. 初始版本 公司官网 :www.tronlong.com 销售邮箱 :sales@tronlong.com

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

Xilinx Alliance Program Certified GJVZsIPb3 IPb3pg(lwE & by2eh;[d)y IP ROM

Xilinx Alliance Program Certified GJVZsIPb3 IPb3pg(lwE & by2eh;[d)y IP ROM Xilinx Alliance Program Certified IPb3pg(lwE & by2eh;[d)y IP ROM NVMe SSD FPGA!! NVMe-IP 32G bps Gen3 x 4Lane IP CPUNVMe PCIe SSD 4GB/sec, PCIe Gen3 2ch RAID CPU FAT32 PLDAPCIe Soft IP!! Linux Gen3 PCIe

More information

ø8 ø10 ø12 ø16 AP LED LED AP8M 100V IDEC AP2M AP6M AP8M AP1M 6V DC 12V DC 24V DC 5V DC 12V AC/DC 24V AC/DC 6V DC 5% 12V DC 10% 24V DC 10% 6V AC/DC 5%

ø8 ø10 ø12 ø16 AP LED LED AP8M 100V IDEC AP2M AP6M AP8M AP1M 6V DC 12V DC 24V DC 5V DC 12V AC/DC 24V AC/DC 6V DC 5% 12V DC 10% 24V DC 10% 6V AC/DC 5% ø8 ø1 ø16 AP LED LED AP8M 1V IDEC AP2M AP6M AP8M AP1M 5% 1% 1% 6V AC/DC 5% 1% 1% 5% 1% 1% 33mA 22mA 11mA 9mA R G A W 9mA R G A W 9mA R G A W 7mA S PW 7mA S PW 7mA S PW 9mA 11mA 11mA 9mA 9mA 9mA R G Y AW

More information

MarsBoard AM335X 用户手册 微雪电子 WAVESHARE ELECTRONICS MarsBoard AM335X 用户手册 产品概述 MarsBoard AM335X 是基于 TI AM335X 系列处理器的卡片式电脑 工业级别的芯片, 广泛的应用 在工业, 医疗, 消费类电子等行

MarsBoard AM335X 用户手册 微雪电子 WAVESHARE ELECTRONICS MarsBoard AM335X 用户手册 产品概述 MarsBoard AM335X 是基于 TI AM335X 系列处理器的卡片式电脑 工业级别的芯片, 广泛的应用 在工业, 医疗, 消费类电子等行 MarsBoard AM335X 用户手册 产品概述 MarsBoard AM335X 是基于 TI AM335X 系列处理器的卡片式电脑 工业级别的芯片, 广泛的应用 在工业, 医疗, 消费类电子等行业 1 目录 产品概述... 1 目录... 2 1. 板载资源... 3 2. 使用操作... 5 2.1. 系统固件的下载... 5 2.2. TF 卡系统的烧写... 5 2.3. TF 卡系统的启动...

More information

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键 官 方 淘 宝 地 址 :http://metech.taobao.com/ MeTech verilog 典 型 例 程 讲 解 V1.0 笔 者 :MeTech 小 芯 技 术 支 持 QQ : 417765928 1026690567 技 术 支 持 QQ 群 :207186911 China AET 讨 论 组 http://group.chinaaet.com/293 笔 者 博 客 :http://blog.csdn.net/ywhfdl

More information

軟體的安裝

軟體的安裝 DMECOM DAR 8000 / 1600A 錄 音 系 統 使 用 說 明 書 Version1.0.0 目 錄 1 產 品 簡 介... 1 2 產 品 外 觀 功 能... 1 3 包 裝 內 容... 2 4 系 統 要 求... 2 5 錄 音 系 統 的 登 入... 2 6 AM2000 使 用 說 明... 7 6.1 AM2000 外 觀 於 主 機 DAR 8000 連 接 圖...

More information

FPGA黑金开发平台 用户手册

FPGA黑金开发平台 用户手册 ZYNQ7000 开发平台 用户手册 AX7021 开发板 文档版本控制 文档版本 修改内容记录 REV1.0 创建文档 芯驿电子科技 ( 上海 ) 有限公司 2 / 49 目录 文档版本控制... 2 一 开发板简介... 5 二 AC7021 核心板... 7 ( 一 ) 简介... 7 ( 二 ) ZYNQ 芯片... 8 ( 三 ) DDR3 DRAM... 11 ( 四 ) QSPI Flash...

More information

Preface

Preface ...5...5...5...5...6...6...6 DV...7 DV...8...8...8...9...9...10...10 DV...11 DV...11...12 /SD/SDHC...12...13...14...14 DV...15 1 ...15...16...16...16 LCD...17 LCD...17...17...19...21...23...23...23...24...24...26...26...26...27...27

More information

PROTEUS VSM

PROTEUS  VSM Proteus VSM-- 1/1 PROTEUS VSM Proteus VSM ISIS Prospice VSM Proteus PROSPICE ARM7 PIC AVR HC11 8051 CPU LCD RS232 LED IAR Keil Hitech C make 6000 SPICE SPICE DLL SPICE3F5 14 FM PROTEUS PCB LED/LCD / 300

More information

手册 doc

手册 doc 1. 2. 3. 3.1 3.2 3.3 SD 3.4 3.5 SD 3.6 3.7 4. 4.1 4.2 4.3 SD 4.4 5. 5.1 5.2 5.3 SD 6. 1. 1~3 ( ) 320x240~704x288 66 (2G SD 320x2401FPS ) 32M~2G SD SD SD SD 24V DC 3W( ) -10~70 10~90% 154x44x144mm 2. DVR106

More information

目录 一 产品简介 产品概述 应用领域...4 二 接口描述...5 三 硬件规格...6 四 主板尺寸...7 五 引脚定义...8 六 系统软件 七 电气性能 八 附录 源码获取 联系我们

目录 一 产品简介 产品概述 应用领域...4 二 接口描述...5 三 硬件规格...6 四 主板尺寸...7 五 引脚定义...8 六 系统软件 七 电气性能 八 附录 源码获取 联系我们 ROC-RK3328-CC 产品规格书 作者 天启智能科技有限公司 版本 V1.0 日期 2018-04-02 版本更新日期更新内容 V1.0 2018-04-02 原始版本 - 1 - 目录 一 产品简介...4 1 产品概述...4 2 应用领域...4 二 接口描述...5 三 硬件规格...6 四 主板尺寸...7 五 引脚定义...8 六 系统软件... 10 七 电气性能... 10 八

More information

视频处理开发板 用户手册

视频处理开发板     用户手册 视频处理开发板 用户手册 ALINX822 芯驿电子科技 ( 上海 ) 有限公司 黑金动力社区 目录 功能简介... 3 功能实现... 5 FPGA 核心板... 7 ( 一 ) 简介... 7 ( 二 ) DDR2 引脚分配... 9 ( 三 ) FPGA 供电电源... 10 ( 四 ) 扩展口... 12 ( 五 ) 电源接口... 15 ( 六 ) JTAG 接口... 15 ( 七 )

More information

Microsoft Word - 征求意见书.doc

Microsoft Word - 征求意见书.doc 新 乡 市 卫 滨 区 教 育 文 化 体 育 局 幼 儿 园 设 备 购 置 项 目 征 求 意 见 书 经 新 乡 市 卫 滨 区 政 府 采 购 管 理 部 门 批 准, 河 南 卓 越 工 程 管 理 有 限 公 司 将 于 近 期 就 新 乡 市 卫 滨 区 教 育 文 化 体 育 局 幼 儿 园 设 备 购 置 项 目 进 行 公 开 招 标 现 将 有 关 项 目 需 求 公 布 如

More information

岳西职教中心

岳西职教中心 省 级 示 范 中 等 职 业 学 校 复 评 申 报 材 料 岳 西 县 职 业 技 术 教 育 中 心 二 00 九 年 九 月 目 录 一 关 于 要 求 对 岳 西 县 职 教 中 心 申 报 省 级 示 范 中 等 职 业 学 校 工 作 进 行 复 评 的 报 告 二 关 于 成 立 岳 西 县 争 创 省 级 示 范 中 等 职 业 学 校 领 导 小 组 的 通 知 三 关 于 成

More information

复件 Chinese usermanual android tv box

复件 Chinese usermanual android tv box Android TV Box User Manual 産 品 說 明 書 型 號 : TD-Q8 序 言 非 常 感 謝 您 選 擇 安 卓 多 媒 體 播 放 器, 爲 了 方 便 您 盡 快 熟 悉 使 用, 我 們 爲 您 配 備 了 用 戶 使 用 說 明 書, 在 使 用 前 請 認 真 閱 讀 並 妥 善 保 存, 以 備 參 考 聲 明 本 産 品 內 含 自 動 聯 網 功 能, 該

More information

RT-Thread内核实现与应用开发实战指南—基于STM32

RT-Thread内核实现与应用开发实战指南—基于STM32 1 / 8 第 1 章 RT1052 EVK Pro 1.1 产品概述 野火 i.mx RT1052 EVK Pro 是野火电子基于 NXP i.mx 1052 设计的一款全功能型评估 板 采用核心板加底板的设计方案设计, 核心板通过 BTB 接口与底板链接, 具体见图 1-1 图 1-1 野火 i.mx RT1052 EVK Pro 图 1-1 中的核心板是由一个邮票孔型的核心板加一个小转接板复合而成的核心板,

More information

目 录 第 一 章 投 标 邀 请 函...4 第 二 章 采 购 项 目 内 容... 8 第 三 章 投 标 人 须 知... 79 一 说 明... 80 1. 采 购 项 目 与 采 购 当 事 人...80 2. 合 格 的 投 标 人...80 3. 合 格 的 货 物 和 服 务...

目 录 第 一 章 投 标 邀 请 函...4 第 二 章 采 购 项 目 内 容... 8 第 三 章 投 标 人 须 知... 79 一 说 明... 80 1. 采 购 项 目 与 采 购 当 事 人...80 2. 合 格 的 投 标 人...80 3. 合 格 的 货 物 和 服 务... 委 托 编 号 :GDB-2016-00950 资 金 批 复 编 号 :GD-201604-156069-0007 现 代 一 体 化 综 合 仿 真 实 训 室 设 备 采 购 项 目 ( 重 招 ) 国 内 货 物 采 购 招 标 文 件 广 东 国 和 采 购 咨 询 有 限 公 司 二 〇 一 六 年 五 月 三 十 一 日 目 录 第 一 章 投 标 邀 请 函...4 第 二 章 采

More information

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input 06 6 6 HR7PPSC HR7PPSD SOP SOP6 +input 06 6 +input 06 6 6 6 HR7PERB SSOP0 7+input 06 6 6 HR7PESC

More information

1. 精 通 运 用 结 构 相 关 设 计 软 件, 如 Pro-E AutoCAD 等 ; 1. 参 不 机 器 人 项 目 评 估, 提 出 吅 理 的 产 品 结 构 设 计 方 案 ; 结 构 3 不 限 不 限 2. 3 年 以 上 工 业 产 品 结 构 设 计 工 作 经 验, 具

1. 精 通 运 用 结 构 相 关 设 计 软 件, 如 Pro-E AutoCAD 等 ; 1. 参 不 机 器 人 项 目 评 估, 提 出 吅 理 的 产 品 结 构 设 计 方 案 ; 结 构 3 不 限 不 限 2. 3 年 以 上 工 业 产 品 结 构 设 计 工 作 经 验, 具 子 公 司 名 称 新 兴 智 能 深 圳 万 腾 ( 深 圳 ) 职 位 人 数 机 械 运 控 调 试 员 哈 工 大 机 器 人 集 团 有 限 公 司 2016 届 校 园 招 聘 简 章 学 历 专 业 要 求 岗 位 职 责 自 动 化 机 械 制 造 专 业 机 械 及 自 动 化 专 业 1 精 通 CAD Pro/E 等 软 件, 熟 悉 从 组 立 图 到 零 件 图 的 设 计

More information

WON1200 规格书 Author:Wonhere Rev:0.22 Date:2015-May

WON1200 规格书 Author:Wonhere Rev:0.22 Date:2015-May WON1200 规格书 Author:Wonhere Rev:0.22 Date:2015-May-13 1 Revision History 0.2, 增加 J2 的 PIN57 PIN59 说明 0.21,2014-Feb-17 去掉表格中的 HDMI 接口 修改 SPI 个数, 只有 1 个 SPI 接口 修改 硬件参数 表格 增加备注 关于 MMC1_DAT4-7 被替换 0.22, 增加

More information

Microsoft PowerPoint - 金融局勢解析.ppt

Microsoft PowerPoint - 金融局勢解析.ppt 主 講 : 許 瑞 立 金 融 局 勢 解 析 現 任 : 安 泰 證 券 公 司 董 事 總 經 理 中 華 民 國 期 貨 公 會 監 事 中 華 民 國 證 券 公 會 監 事 中 華 民 國 期 貨 公 會 券 商 公 會 證 券 暨 期 貨 基 金 會 講 師 網 頁 : http://jurry591.myweb.hinet.net/ 1 世 界 經 濟 預 測 與 展 望 全 球 增

More information

第十章 3G手机的电路原理分析及维修实例

第十章    3G手机的电路原理分析及维修实例 10 1 1 PAD PCBA MIC RF Power *#06# *#06# PE 100 PCS 1 2 10 2 1 2 1 PIN 10.2 1 1 10.2 1 10.1 1 10.2 2 10.2 2 OK 10.2 3 10.2 3 2 A 1 A ( ) 2 1PCS 1PCS A 10.2 4 2 10.2 4 OK 10.2 5 10.2 5 PIN 3 DOME 1 DOME

More information

<4D6963726F736F667420576F7264202D2053444451323031362D3131365FC9BDB6ABBBAFB9A4BCBCCAA6D1A7D4BABDCCD1A7D7A8D3C3D2C7C6F75FD5D0B1EACEC4BCFEB6A8B8E5382E3131A3A8BDCCD3FDD3EBB9ABB9B2B7FECEF1CFB5A3A9>

<4D6963726F736F667420576F7264202D2053444451323031362D3131365FC9BDB6ABBBAFB9A4BCBCCAA6D1A7D4BABDCCD1A7D7A8D3C3D2C7C6F75FD5D0B1EACEC4BCFEB6A8B8E5382E3131A3A8BDCCD3FDD3EBB9ABB9B2B7FECEF1CFB5A3A9> 山 东 省 政 府 采 购 项 目 编 号 :SDDQ2016-116 项 目 名 称 : 山 东 化 工 技 师 学 院 教 学 专 用 仪 器 购 置 项 目 采 购 内 容 : 机 械 系 工 业 机 器 人 等 实 训 设 备 幼 儿 教 育 实 训 室 设 备 山 东 德 勤 招 标 评 估 造 价 咨 询 有 限 公 司 二 一 六 年 八 月 0 目 录 第 一 章 招 标 公 告 第

More information

cosa Quick Start Manual

cosa Quick Start Manual 小 心 SmartStor Cloud 網 路 儲 存 體 機 箱 內 的 電 子 元 件 易 受 靜 電 放 電 (ESD) 的 影 響, 因 而 受 到 損 壞 處 理 SmartStor Cloud 裝 置 或 其 子 配 件 時, 應 隨 時 遵 守 預 防 措 施 警 告! 風 扇 含 有 危 險 的 活 動 零 件 確 保 手 指 及 其 他 身 體 部 位 遠 離 風 扇 小 心 如

More information

補 充 資 料

補  充  資  料 專 責 小 組 委 員 會 會 議 紀 錄 嘉 南 藥 理 科 技 大 學 獎 勵 補 助 經 費 專 責 規 畫 小 組 組 織 要 點 民 國 92 年 3 月 5 日 校 務 會 議 修 訂 通 過 民 國 97 年 6 月 18 日 校 務 會 議 修 訂 通 過 民 國 99 年 1 月 13 日 校 務 會 議 修 訂 通 過 民 國 100 年 8 月 19 日 行 政 會 議 修 訂

More information

G1-0406国务院教学设备

G1-0406国务院教学设备 广 西 科 联 招 标 中 心 公 开 招 标 采 购 文 件 项 目 名 称 : 教 学 设 备 采 购 项 目 编 号 :GXZC2016-G1-0406-KLZB 采 购 人 : 广 西 国 际 商 务 职 业 技 术 学 院 采 购 代 理 机 构 : 广 西 科 联 招 标 中 心 2016 年 5 月 目 录 第 一 章 公 开 招 标 公 告... 2 第 二 章 招 标 项 目 采

More information

微雪电子 Open407V-D 实验手册 Open407V-D 实验手册 目录 准备工作... 2 ADC+DMA... 2 CAN1 TO CAN2-Normal... 3 DCMI_OV DCMI_OV I2C... 6 L

微雪电子   Open407V-D 实验手册 Open407V-D 实验手册 目录 准备工作... 2 ADC+DMA... 2 CAN1 TO CAN2-Normal... 3 DCMI_OV DCMI_OV I2C... 6 L Open407V-D 实验手册 目录 准备工作... 2 ADC+DMA... 2 CAN1 TO CAN2-Normal... 3 DCMI_OV7670... 4 DCMI_OV9655... 5 I2C... 6 LCD-HY32D_FSMC... 7 Nand Flash_PCB0... 8 Nand Flash_SCB0... 9 SD_FatFS... 11 SDIO... 12 SPI...

More information

NAIS-500 AIS B 简体中文 www.bandg.com www.simrad-yachting.com www.lowrance.com Navico NAIS-500 AIS B NAVICO HOLDING Navico Holding AS 2017 Navico Holding AS ¼ / / NAIS-500 1 1 4 4 4 7 AIS B 7 AIS 8 8 9 12

More information

案由:審議各單位提報「101年度教育部對私立技專校院整體發展獎補助經費支用計畫書」中經常門、資本門經費支用項目及金額

案由:審議各單位提報「101年度教育部對私立技專校院整體發展獎補助經費支用計畫書」中經常門、資本門經費支用項目及金額 樹 德 科 技 大 學 103 年 度 第 1 次 整 體 發 展 獎 勵 補 助 經 費 規 劃 專 責 小 組 會 議 記 錄 已 於 103 年 02 月 25 日 奉 副 校 長 代 理 校 長 核 准 備 查 在 案 時 間 :103 年 2 月 20 日 ( 星 期 四 ) 中 午 12 時 10 分 地 點 : 行 政 大 樓 3 樓 A308 主 持 人 : 朱 元 祥 校 長 出

More information

Agenda PXI PXI

Agenda PXI PXI PXI 2005 3 Agenda PXI PXI PXI 1997 VXI 1980 & 1990 GPIB 1970 GPIB 70 IEEE 488.1/488.2 1.5Mb/s GPIB 15 (488.2 SCPI) GPIB GPIB GPIB / 80 VXI VME extensions for Instruments 40MB/s (GPIB 40 ) / VXI 80 VXI

More information

FPGA GJVZsIPb3 IPb3pg(lwE & by2eh;[d)y IP ROM

FPGA GJVZsIPb3 IPb3pg(lwE & by2eh;[d)y IP ROM FPGA IPb3pg(lwE & by2eh;[d)y IP ROM NVMe SSD FPGA!! NVMe-IP 32G bps Gen3 x 4Lane IP CPUNVMe PCIe SSD 4GB/sec, PCIe Gen3 2ch RAID CPU FAT32 SMART, Shutdown, FLUSH!! Linux Gen3 PCIe SSD 2ch RAID 2ch RAID

More information

让科技更简单, 让生活更智能 天启科技 天启科技 ROC-RK3399-PC 产品规格书 V1.0 版本更新日期更新内容 V 原始版本 地址 : 广东省中山市东区中山四路 57 号宏宇大厦 1 座 全国服务热线

让科技更简单, 让生活更智能 天启科技 天启科技 ROC-RK3399-PC 产品规格书 V1.0 版本更新日期更新内容 V 原始版本 地址 : 广东省中山市东区中山四路 57 号宏宇大厦 1 座 全国服务热线 ROC-RK3399-PC 产品规格书 V1.0 版本更新日期更新内容 V1.0 2018-08-29 原始版本 - 1 - 目录 一 产品简介... 4 1 产品概述... 4 2 接口描述... 6 二 硬件规格... 8 三 主板尺寸... 10 四 主板配套外壳... 11 五 接口定义... 12 1. 双排 30PIN 2.0 间距扩展接口 (GPIO)... 12 2 双排 30PIN

More information

<5C5C444144492D2D3230313331303237565CB1BEB5D8B4C5C5CC202864295CBACDCAA25CBBD45CBDF8D0D0D6D05CD5FEB2C95CCAFDD7D65CC2DBD6A4BBE15C28323031342E31322E3234C2DBD6A4B8E529C3B7D6DDCAD0CAFDD7D6BBAFB3C7CAD0D7DBBACF2E2E2E2E646F63>

<5C5C444144492D2D3230313331303237565CB1BEB5D8B4C5C5CC202864295CBACDCAA25CBBD45CBDF8D0D0D6D05CD5FEB2C95CCAFDD7D65CC2DBD6A4BBE15C28323031342E31322E3234C2DBD6A4B8E529C3B7D6DDCAD0CAFDD7D6BBAFB3C7CAD0D7DBBACF2E2E2E2E646F63> 项 目 编 号 : 招 标 文 件 ( 论 证 稿 ) 广 东 和 盛 招 标 代 理 有 限 公 司 Guangdong Hesheng Tendering Agency Co.,Ltd. 目 录 第 一 部 分 : 投 标 邀 请 函 1 第 二 部 分 : 采 购 项 目 内 容 4 第 三 部 分 : 投 标 人 须 知 88 第 四 部 分 : 合 同 书 格 式 104 第 五 部 分

More information

ARTIX-7 FPGA开发平台 用户手册

ARTIX-7 FPGA开发平台     用户手册 Spartan7 FPGA 核心板用户手册 AC7050 REV 1.0 版 芯驿电子科技 ( 上海 ) 有限公司 黑金动力社区 目录 ( 一 ) 简介... 3 ( 二 ) FPGA... 4 ( 三 ) 有源晶振... 6 ( 四 ) DDR3... 7 ( 五 ) QSPI Flash... 11 ( 六 ) LED 灯... 13 ( 七 ) JTAG 接口... 14 ( 八 ) 电源接口...

More information

Revision History Draft Date Revision No. Description 2018/08/31 V 勘误 电气特性, 机械尺寸图 部分内容 2018/02/26 V 排版更新, 内容修改 2. 添加 AM437x 资源对比图, 更新型号参数解释

Revision History Draft Date Revision No. Description 2018/08/31 V 勘误 电气特性, 机械尺寸图 部分内容 2018/02/26 V 排版更新, 内容修改 2. 添加 AM437x 资源对比图, 更新型号参数解释 Revision History Draft Date Revision No. Description 2018/08/31 V1.4 1. 勘误 电气特性, 机械尺寸图 部分内容 2018/02/26 V1.3 1. 排版更新, 内容修改 2. 添加 AM437x 资源对比图, 更新型号参数解释图 3. 增加产品订购型号 2017/07/26 V1.2 1. 排版修改 2016/2/18 V1.1

More information

GPS

GPS GVT800 用 户 手 册 版 本 管 理 表 版 本 修 改 人 更 改 内 容 日 期 备 注 V1.0 StevenLi 创 建 2014.06.23 初 始 版 本 GPS 多 功 能 车 载 定 位 跟 踪 器 用 户 手 册 型 号 :GVT800 1 目 录 1 产 品 概 述...2 2 安 全 须 知...3 3 规 格 参 数...3 4 开 始 使 用...4 4.1 标 准

More information

SOM-TL18核心板规格书

SOM-TL18核心板规格书 Revision History Draft Date Revision No. Description 2017/10/13 V1.0 1. 初始版本 公司官网 :www.tronlong.com 销售邮箱 :sales@tronlong.com 公司总机 :020-8998-6280 2/18 目 录 1 开发板简介... 4 2 典型运用领域... 7 3 软硬件参数... 7 4 开发资料...

More information

供充放一体电源及充电电源手册_0_.doc

供充放一体电源及充电电源手册_0_.doc 2010. 05 供充放一体电源及充电电源 适用于铅酸电池 锂电池 镍氢电池 实现不间断供电 采用自动均 / 浮充 恒流 / 恒压 零电流 -ΔV 检测 / 涓充 / 滴充技术 提供各种保护 显示各种工作状态 目录 SCD 供充放一体电源 ( 锂电池系列 )-----------1 SCD 供充放一体电源 ( 铅酸电池系列 )----------3 C 充电电源 ( 镍氢电池系列 )-------------------------5

More information

0523内页正稿-改风格

0523内页正稿-改风格 1100MP 8,999 Dell Axim X50/X50v 300-500 4 Dell 2300MP Dell X50 416MHz 3,599 10-11 Dell E153FP 2 3 4-5 6-7 100 8-9 8-9 10-11 12 Oracle on Dell 13 5 14-15 1400 21001 15 800 x 600 2.2 1.677M 32 E232 1:1.2

More information

微雪电子 Open103Z 实验手册 Open103Z 实验手册 目录 准备工作 BitIO-Pushbutton... 3 ADC+DMA... 4 CAN-LoopBack... 5 DAC... 6 DS18B GPIO_Key_L

微雪电子   Open103Z 实验手册 Open103Z 实验手册 目录 准备工作 BitIO-Pushbutton... 3 ADC+DMA... 4 CAN-LoopBack... 5 DAC... 6 DS18B GPIO_Key_L 目录 准备工作... 3 8BitIO-Pushbutton... 3 ADC+DMA... 4 CAN-LoopBack... 5 DAC... 6 DS18B20... 7 GPIO_Key_LED... 8 I2C... 9 LCD-HY32D_FSMC... 10 Nand Flash...11 NorFlash... 12 PS2... 13 RTC... 14 SD_FatFS... 15

More information

标题

标题 . 4 2013 年 湖 南 省 财 政 厅 电 子 政 务 发 展 形 势 分 析 及 2014 年 发 展 展 望 湖 南 省 财 政 厅 2013 年, 省 财 政 厅 高 度 重 视 电 子 政 务 工 作, 将 电 子 政 务 作 为 优 化 工 作 流 程 提 高 工 作 效 率 提 升 服 务 水 平 建 设 透 明 廉 洁 财 政 的 重 要 途 径, 信 息 化 水 平 不 断 提

More information

一、

一、 网 上 交 易 客 户 端 操 作 文 档 证 券 2014 年 免 责 申 明 因 客 户 端 软 件 升 级, 对 应 帮 助 文 件 中 的 图 片 及 文 字 可 能 存 在 未 同 步 更 新 的 情 况, 由 此 产 生 的 损 失 我 们 将 不 负 任 何 责 任, 请 大 家 以 最 新 版 本 的 客 户 端 软 件 为 准 索 引 一 委 托 功 能 区 说 明...1 二 委

More information

Revision History Draft Date Revision No. Description 2018/09/07 V 修改电气特性参数 2018/02/26 V /01/12 V 排版更新, 内容修改 2. 添加 AM437x 资源对比图, 更新开

Revision History Draft Date Revision No. Description 2018/09/07 V 修改电气特性参数 2018/02/26 V /01/12 V 排版更新, 内容修改 2. 添加 AM437x 资源对比图, 更新开 Revision History Draft Date Revision No. Description 2018/09/07 V1.5 1. 修改电气特性参数 2018/02/26 V1.4 2018/01/12 V1.3 1. 排版更新, 内容修改 2. 添加 AM437x 资源对比图, 更新开发板机械尺寸图 3. 增加产品订购型号 1. 开发板更新为 A2 版本 2. 更新 LOGO 开发板封面图

More information

2015 度 第 2 期 批 量 集 中 询 价 采 购 项 目 包 组 A1 台 式 计 算 机 ( 配 置 一 ) 采 购 人 信 息 及 采 购 数 量 序 号 单 位 编 码 采 购 单 位 配 送 地 址 368 1104000 14 171009 广 东 省 交 通 运 输 高 级 技

2015 度 第 2 期 批 量 集 中 询 价 采 购 项 目 包 组 A1 台 式 计 算 机 ( 配 置 一 ) 采 购 人 信 息 及 采 购 数 量 序 号 单 位 编 码 采 购 单 位 配 送 地 址 368 1104000 14 171009 广 东 省 交 通 运 输 高 级 技 2015 度 第 2 期 批 量 集 中 询 价 采 购 项 目 包 组 A1 台 式 计 算 机 ( 配 置 一 ) 采 购 人 信 息 及 采 购 数 量 序 号 单 位 编 码 采 购 单 位 配 送 地 址 368 1104000 1 140002 广 东 女 子 职 业 技 术 学 院 2 149001 广 东 警 官 学 院 1 3000 3 156002 南 方 医 科 大 学 2 6000

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 米尔科技 : 加速您的嵌入式系统设计 米尔科技简介 米尔科技作为 ARM 生态圈里的一员, 致力于为基于 ARM 的嵌入式用户提供开发工具和加速服务! 米尔科技简介 MYIR:,Then My Idea Realizing! 米尔的理念 : 努力帮助客户成功, 我们才能够成功! 米尔科技 2011 年成立, 专注于 ARM 嵌入式市场 ARM Experienced 开发团队有 10 年以上嵌入式市场经验

More information

CONFIGURATION

CONFIGURATION QD4-069 Rer.A2 ACP-IMX6POS Reliability Prediction Report (MTBF) MTBF Number: EMTBF- ACPIMX6POS001 Release Date: 2014/09/30 Initiated by Eagle Chen Job Title Engineer Originate Date 2014/09/30 Approved

More information

TR-10_UserManual_Cht.indd

TR-10_UserManual_Cht.indd IPEVO Skype www.ipevo.com.tw 1. TR-10 1-1 TR-10 1-2 TR-10 1-3 2. 2-1 2-2 3. 4. TR-10 4-1 Skype 4-2 4-3 4-4 4-5 4-6 TR-10 4-7 5. IPEVO Control Center 5-1 IPEVO Control Center 5-2 5-3 6. freerec 6-1 freerec

More information

重 要 安 全 说 明 1. 2. 3. 4. 5. 6. 7. 8. ii 使 用 产 品 前 请 仔 细 阅 读 这 些 说 明, 并 保 存 起 来 以 备 今 后 参 考 遵 循 本 产 品 上 表 示 的 所 有 警 告 和 指 示 清 洁 之 前 先 从 墙 上 插 座 拔 下 本 产

重 要 安 全 说 明 1. 2. 3. 4. 5. 6. 7. 8. ii 使 用 产 品 前 请 仔 细 阅 读 这 些 说 明, 并 保 存 起 来 以 备 今 后 参 考 遵 循 本 产 品 上 表 示 的 所 有 警 告 和 指 示 清 洁 之 前 先 从 墙 上 插 座 拔 下 本 产 ,, LifeBook Microsoft Windows MS-DOS Windows NT Windows XP Windows Vista Windows 7 Windows 8 Windows 8.1 Microsoft Corporation Phoenix Phoenix Technologies Corporation Fujitsu Limited 2015,,, (1) (2),

More information

Microsoft Word - VC2K_20141030_cht.doc

Microsoft Word - VC2K_20141030_cht.doc 影 像 伺 服 器 VC-1500/1800/2000 操 作 說 明 書 影 像 伺 服 器 操 作 說 明 書 20141030 0 目 錄 硬 體 介 紹...4 正 面...4 背 面 說 明...4 配 件...5 接 線 架 構 說 明 圖...5 搭 配 有 線 電 視 數 位 盒 接 法...6 PC-09N 無 線 轉 發 器 指 定 插 槽...6 軟 體 安 裝 說 明...7

More information

证券期货市场之主要诚信规范

证券期货市场之主要诚信规范 证 券 期 货 市 场 严 重 违 法 失 信 行 为 之 典 型 案 例 汇 编 二 〇 一 一 年 十 二 月 目 录 背 景 介 绍... 3 一 内 幕 交 易 泄 露 内 幕 信 息... 4 ( 一 ) 定 义... 4 ( 二 ) 法 律 责 任... 4 ( 三 ) 典 型 案 例... 4 1. 李 际 滨 黄 文 峰 内 幕 交 易 案... 4 2. 况 勇 张 蜀 渝 徐 琴

More information