SOM-TL18核心板规格书

Size: px
Start display at page:

Download "SOM-TL18核心板规格书"

Transcription

1

2 Revision History Draft Date Revision No. Description 2017/12/25 V 规格书文档内容勘误 2. 修改电气特性参数 2017/03/24 V 添加产品认证和开发例程 2016/12/16 V 修改简介和应用领域 2016/08/16 V 初始版本 公司官网 : 销售邮箱 公司总机 : /20

3 目 录 1 开发板简介 典型运用领域 软硬件参数 开发资料 电气特性 产品认证 机械尺寸图 产品订购型号 开发板套件清单 技术支持 增值服务 更多帮助 附录 A 开发例程 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /20

4 1 开发板简介 基于 TI AM5728 浮点双 DSP C66x + 双 ARM Cortex-A15 工业控制及高性能音视频处理器 ; 多核异构 CPU, 集成双核 Cortex-A15 双核 C66x 浮点 DSP 双核 PRU-ICSS 双核 Cortex-M4 IPU 双核 GPU 等处理单元, 支持 OpenCL OpenMP SysLink IPC 多核开发 ; 强劲的视频编解码能力, 支持 1 路 1080P60 或 2 路 720P60 或 4 路 720P30 视频硬件编解码, 支持 H.265 视频软解码 ; 支持高达 1 路 1080P60 全高清视频输入和 1 路 LCD + 1 路 HDMI 1.4a 输出 ; 双核 PRU-ICSS 工业实时控制子系统, 支持 EtherCAT EtherNet/IP PROFIBUS 等工业协议 ; 高性能 GPU, 双核 SGX544 3D 加速器和 GC320 2D 图形加速引擎, 支持 OpenGL ES2.0; 外设接口丰富, 集成双千兆网 PCIe GPMC USB 2.0 UART SPI QSPI SATA 2.0 I2C DCAN 等工业控制总线和接口, 支持极速接口 USB 3.0; 开发板引出 V-PORT 视频接口, 可以灵活接入视频输入模块 ; 体积极小, 大小仅 86.5mm*60.5mm; 工业级精密 B2B 连接器,0.5mm 间距, 稳定, 易插拔, 防反插, 关键大数据接口使用高速连接器, 保证信号完整性 图 1 开发板正面图 1 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /20

5 图 2 开发板正面图 2 图 3 开发板斜视图 图 4 开发板侧视图 1 图 5 开发板侧视图 2 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /20

6 图 6 开发板侧视图 3 图 7 开发板侧视图 4 TL5728-EasyEVM 是一款广州创龙基于 TI AM5728( 浮点双 DSP C66x + 双 ARM Corte x-a15)som-tl5728 核心板设计的开发板, 它为用户提供了 SOM-TL5728 核心板的测试平台, 用于快速评估 SOM-TL5728 核心板的整体性能 TL5728-EasyEVM 底板采用沉金无铅工艺的 4 层板设计, 不仅为客户提供丰富的 AM5 728 入门教程, 还协助客户进行底板的开发, 提供长期 全面的技术支持, 帮助客户以最快的速度进行产品的二次开发, 实现产品的快速上市 不仅提供丰富的 Demo 程序, 还提供 DSP+ARM 多核通信开发教程, 全面的技术支持, 协助用户进行底板设计和调试以及 DSP+ARM 软件开发 2 典型运用领域 工业 PC&HMI 工业机器人 机器视觉 医疗影像 电力自动化 EtherCAT 主 / 从控制器 工业多协议智能网关 高端数控系统 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /20

7 3 软硬件参数 硬件框图 图 8 开发板硬件框图 图 9 开发板硬件资源图解 1 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /20

8 图 10 开发板硬件资源图解 2 硬件参数 表 1 CPU L2 Cache On-Chip Memory ROM RAM SPI Flash Encryption Chip Temperature Sensor LED B2B Connector TI AM5728, 浮点双 DSP C66x + 双 ARM Cortex-A15 主频 :750MHz(DSP) + 1.5GHz(ARM) ARM Cortex-A15:1MByte C66x:288KByte 2.5MByte 4/8GByte emmc 1/2GByte DDR3 32MByte QSPI Flash 1x ATAES132A-SHEQ 1x TMP102AIDRLT 2x 供电指示灯 ( 底板 1 个, 核心板 1 个 ) 5x 可编程指示灯 ( 底板 3 个, 核心板 2 个 ) 2x 80pin 公座 B2B,2x 80pin 母座 B2B, 间距 0.5mm, 合高 5.0mm;1x 80pin 高 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /20

9 速连接器, 共 400pin IO 2x IDC3 简易牛角座 (2x 25pin 规格 ), 间距 2.54mm, 包含 GPMC QSPI SPI eqep ecap PWM MMC TIMER UART 等拓展信号 1x 长按睡眠按键 KEY 1x 冷复位按键 1x 热复位按键 3x 可编程输入按键 ( 含 1 个非屏蔽中断按键 ) JTAG DISPLAY BOOT SET SD RTC Ethernet USB CAN 1x 14pin TI Rev B JTAG 接口, 间距 2.54mm 1x 电阻屏,40pin FPC 母座, 间距 0.5mm 1x 5bit 拨码开关 1x Micro SD 接口 1x CR1220,3V 2x RGMII,RJ45 接口,10/100/1000M 自适应 1x Micro USB 2.0 接口 1x USB 3.0 接口 1x 3pin 3.81mm 绿色端子 1x UART0,Micro USB 接口, 全双工模式 UART 1x RS232 串口 (UART1), 全双工模式 1x RS485 串口 ( 复用 UART1), 半双工模式 PCIe SATA HDMI OUT Video Module SWITCH FAN POWER 1x PCIe Gen2, 单端口双通道, 每通道最高通信速率 5GBaud 1x 7pin SATA 硬盘接口 1x HDMI 1.4a 接口 1x V-PORT 接口 1x 电源拨码开关 1x 3pin 12V 风扇插座 1x 12V 2A 直流输入 DC417 电源接口, 外径 4.0mm, 内径 1.7mm 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /20

10 软件参数 表 2 ARM 端软件支持 DSP 端软件支持 CCS 版本号图形界面开发工具双核通信组件支持软件开发套件提供 Linux ,RT-Linux ,TI-RTOS TI-RTOS CCS7.0 Qt IPC Processor-SDK Linux Processor-SDK RT-Linux Processor-SDK TI-RTOS QSPI Flash PCIe DDR3 emmc MMC/SD USB 3.0 PCIe 2.0 USB 2.0 Linux 驱动支持 LED RS232 HDMI OUT SATA 4.3in Touch Screen LCD(Res) SPI UART EMCRYDTIC KBD NMI ecap BUTTON RS485 DCAN RTC 7in Touch Screen LCD(Res) QSPI JTAG TEMPERATURE SENSOR HDQ SYS INT I2C 4 开发资料 (1) 提供核心板引脚定义 可编辑底板原理图 可编辑底板 PCB 芯片 Datasheet, 缩短 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /20

11 硬件设计周期 ; (2) 提供系统烧写镜像 内核驱动源码 文件系统源码, 以及丰富的 Demo 程序 ; (3) 提供完整的平台开发包 入门教程, 节省软件整理时间, 上手容易 ; (4) 提供详细的 DSP+ARM 多核通信教程, 完美解决多核开发瓶颈 ; (5) 提供基于 Qt 的图形界面开发教程 开发例程主要包括 : 基于 ARM 端的 Linux RT-Linux 开发例程 基于 TI-RTOS 的 ARM DSP PRU IPU 的开发例程 基于 OpenCL OpenMP Syslink IPC 的多核开发例程 基于 OpenCV 的图像开发例程 基于 Qt 的入门开发例程 基于 TI-RTOS 和 RT-Linux 的 EtherCAT 开发例程 视频采集和编解码例程 5 电气特性 核心板工作环境 表 3 环境参数 最小值 典型值 最大值 工业级温度 -40 C / 85 C 工作电压 / 5V / 功耗测试 表 4 类别典型值电压典型值电流典型值功耗 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /20

12 核心板 4.82V 548mA 3.64W 整板 11.85V 755mA 6.49W 备注 : 功耗测试基于广州创龙 TL5728-EasyEVM 开发板进行 6 产品认证 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /20

13 图 11 高低温测试认证 7 机械尺寸图 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /20

14 表 5 开发板 核心板 PCB 尺寸 236mm*125.5mm 86.5mm*60.5mm 安装孔数量 14 个 6 个 图 12 核心板机械尺寸图 图 13 开发板机械尺寸图 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /20

15 8 产品订购型号 表 6 核心板型号 型号 CPU 主频 emmc DDR3 温度级别 SOM-TL GE8GD-I SOM-TL GE16GD-I ARM:1500MHz DSP:750MHz ARM:1500MHz DSP:750MHz 4GByte 1GByte 工业级 8GByte 2GByte 工业级 备注 : 标配 SOM-TL GE8GD-I, 其他型号请与相关销售人员联系 型号参数解释 图 14 9 开发板套件清单 表 7 名称 TL5728-EasyEVM 发板 ( 含核心板 ) 12V2A 电源适配器 数量 1 块 1 个 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /20

16 资料光盘 1 套 4.3 寸 LCD 触摸屏 1 个 Micro SD 系统卡 SD 卡读卡器 Micro USB 数据线网线 HDMI 线 1 个 1 个 1 条 1 根 1 条 10 技术支持 (1) 协助底板设计和测试, 减少硬件设计失误 ; (2) 协助解决按照用户手册操作出现的异常问题 ; (3) 协助产品故障判定 ; (4) 协助正确编译与运行所提供的源代码 ; (5) 协助进行产品二次开发 ; (6) 提供长期的售后服务 11 增值服务 主板定制设计 核心板定制设计 嵌入式软件开发 项目合作开发 技术培训 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /20

17 更多帮助 销售邮箱 技术邮箱 创龙总机 : 技术热线 : 创龙官网 : 技术论坛 : 线上商城 : AM57x 学习群 : TI 中文论坛 : TI 英文论坛 : TI 官网 : TI WIKI: 公司官网 : 销售邮箱 公司总机 : /20

18 附录 A 开发例程 表 8 视频采集与编码例程 例程 RTSP_Server_Launch TVP5158 GV7601 RTSP Client jpegenc ADV7611 TVP7002 TW2867 rtsp_dec_a15gray_enc_save 多路 RTSP 功能 H.264 编码视频流 RTSP 服务器 D1 视频采集 HD-SDI 视频采集网络摄像头采集显示和保存为 MP4 JPEG 编码 ADV7611 HDMI 采集 VGA 视频采集 D1 视频采集网络摄像头采集显示和保存为 H264 多路 RTSP 解码显示 基于 CCS 的 RTOS 开发例程 例程 功能 LED EMAC I2C MMCSD SPI UART USB PRU ARM/DSP/M4 对 LED 控制 ARM/DSP 对两个网口数据收发测试 ARM/DSP/M4 读取温度值 ARM/DSP 对 SD 卡进行文件管理 ARM/DSP/M4 对 SP 总线读写操作 ARM/DSP/M4 对 UART 读写操作 ARM 对 U 盘文件进行管理 DSP 和 PRU 直接产生中断事件 PRU 开发例程 例程 功能 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /20

19 TL_PRU_Led_Blink TL_PRU_Button PRU 点亮流水灯 PRU 实现按键控制 Qt 开发例程 例程 功能 HelloWorld Qt 入门例程 OpenCL 开发例程 例程 功能 vecadd vecadd_openmp float_compute dsplib_fft monte_carlo 向量相加使用 OpenMP 并行进行向量相加分别在 ARM 和 DSP 端进行浮点计算 FFT 运算蒙特卡洛法运算 OpenMP 开发例程 例程 功能 dspheap vecadd vecadd_complex 其他 在 DSP 上创建和使用堆向量的并行相加复数向量的并行相加包含在 SDK OpenCV 开发例程 例程 TI 官方综合例程 Sobel Canny VideoCapture 功能 OpenCV+OpenCL+OpenGL 运用边缘检测算法边缘检测算法图像采集 IPC 开发例程 例程 功能 ex02_messageq 核间传递数据的消息 公司官网 : 销售邮箱 公司总机 : /20

20 ex12_mmrpc ex41_forwardmsg ex68_power MessageQApp 共享内存其他 使用 MmRcp 模块调用远程函数核间传递消息接收消息关闭测试 MessageQApp 组件 DSP 与 ARM 共享内存包含在 SDK 基于 RTOS 的 EtherCAT 开发例程手册 基于 RT-Linux 的 EtherCAT 开发例程手册 EtherCAT 开发例程 公司官网 : 销售邮箱 公司总机 : /20

Revision History Draft Date Revision No. Description 2018/2/8 V 更换开发板底板图片为 A2 版 2018/1/31 V 勘误 2017/11/23 V 初始版本 公司官网 :

Revision History Draft Date Revision No. Description 2018/2/8 V 更换开发板底板图片为 A2 版 2018/1/31 V 勘误 2017/11/23 V 初始版本 公司官网 : Revision History Draft Date Revision No. Description 2018/2/8 V1.2 1. 更换开发板底板图片为 A2 版 2018/1/31 V1.1 1. 勘误 2017/11/23 V1.0 1. 初始版本 公司官网 :www.tronlong.com 销售邮箱 :sales@tronlong.com 公司总机 :020-8998-6280 2/15

More information

目 录 前言 处理器 FLASH RAM QSPI Flash 加密芯片 电源接口和拨码开关 JTAG 仿真器接口 LCD 触摸屏接口 LED 指示灯 按键.

目 录 前言 处理器 FLASH RAM QSPI Flash 加密芯片 电源接口和拨码开关 JTAG 仿真器接口 LCD 触摸屏接口 LED 指示灯 按键. TL5728-IDK 开发板硬件说明书 Revision History Draft Date Revision No. Description 2018/04/10 V1.1 1. 开发板版本更新为 A3 版 2017/06/20 V1.0 1. 初始版本 公司官网 :www.tronlong.com 销售邮箱 :sales@tronlong.com 公司总机 :020-8998-6280 1/33

More information

SOM-TL18核心板规格书

SOM-TL18核心板规格书 Revision History Draft Date Revision No. Description 2016/02/04 V1.1 1. 排版修改 2015/04/22 V1.0 1. 初始版本 公司官网 :www.tronlong.com 销售邮箱 :sales@tronlong.com 公司总机 :020-8998-6280 2/28 目 录 1 开发板简介... 4 2 典型运用领域...

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63> 嵌 入 式 系 统 设 计 师 考 试 大 纲 一 考 试 说 明 1 考 试 要 求 : (1) 掌 握 科 学 基 础 知 识 ; (2) 掌 握 嵌 入 式 系 统 的 硬 件 软 件 知 识 ; (3) 掌 握 嵌 入 式 系 统 分 析 的 方 法 ; (4) 掌 握 嵌 入 式 系 统 设 计 与 开 发 的 方 法 及 步 骤 ; (5) 掌 握 嵌 入 式 系 统 实 施 的 方 法

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCAB5D1E9CAD2B7BDB0B82E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCAB5D1E9CAD2B7BDB0B82E646F63> 目 录 一 嵌 入 式 系 统 的 应 用 及 前 景... - 1 - 二 目 前 嵌 入 式 系 统 教 学 现 状 和 实 验 体 系 的 建 设... - 1 - 三 嵌 入 式 教 学 在 本 科 生 中 的 教 学 难 点... - 1 - 四 教 学 难 点 解 决 方 法... - 2-1 选 择 S+core7 核 学 习... - 2-2 选 择 ecos 操 作 系 统 进 行

More information

SOM-TL18核心板规格书

SOM-TL18核心板规格书 Revision History Draft Date Revision No. Description 2016/1/30 V1.1 1. 排版修改 2014/12/24 V1.0 1. 初始版本 公司官网 :www.tronlong.com 销售邮箱 :sales@tronlong.com 公司总机 :020-8998-6280 2/26 公司官网 :www.tronlong.com 销售邮箱

More information

SOM-TL18核心板规格书

SOM-TL18核心板规格书 Revision History Draft Date Revision No. Description 2016/11/18 V1.0 1. 初始版本 公司官网 :www.tronlong.com 销售邮箱 :sales@tronlong.com 公司总机 :020-8998-6280 2/28 目 录 1 实验箱简介... 4 2 软硬件参数... 8 3 开发资料... 13 4 电气特性...

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

TMS320C665x 开发板恢复出厂设置 Revision History DraftDate Revision No. Description 2018/03/30 V 勘误及排版修改 2017/05/24 V 将 HUA 固化到 NOR FLASH, 功能测试程序固化到

TMS320C665x 开发板恢复出厂设置 Revision History DraftDate Revision No. Description 2018/03/30 V 勘误及排版修改 2017/05/24 V 将 HUA 固化到 NOR FLASH, 功能测试程序固化到 TMS320C665x 开发板恢复出厂设置 Revision History DraftDate Revision No. Description 2018/03/30 V1.4 1. 勘误及排版修改 2017/05/24 V1.3 1. 将 HUA 固化到 NOR FLASH, 功能测试程序固化到 NAND F LASH 里面 2016/10/13 V1.2 1. 添加通过仿真器烧写程序恢复出厂默认状态

More information

HMI COM1 RS SIEMENSE S7-200 RS485

HMI COM1 RS SIEMENSE S7-200 RS485 目录 第一部分维控人机界面串口引脚定义...2 1 LEVI777T COM1 引脚定义原理图...2 2 LEVI777T COM2 引脚定义原理图...2 3 LEVI908T COM1 引脚定义原理图...2 4 LEVI908T COM2/COM3 引脚定义原理图...3 第二部分通信针头...4 1 通信针头...4 第三部分各 PLC 与 LEVI 通信线接法...5 1 西门子 S7-200

More information

( ) - 2 -

( ) - 2 - ( ) 1 = 300VA 1.5 2 = 2A 1.5 3 3mA - 1 - ( ) - 2 - 1 2 3 4 5-3 - 1) ( ) 2) 3) 4) 5) 1) F F (1) F (2) F - 4 - 192 2) 9 9 9 193 3) 6 7 8 6 A 000F 4) 4 5 E 4 5 E 1) (LED ) 2) (LED ) 3) 4) 8 1) 2) - 5 - 3)

More information

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input 06 6 6 HR7PPSC HR7PPSD SOP SOP6 +input 06 6 +input 06 6 6 6 HR7PERB SSOP0 7+input 06 6 6 HR7PESC

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

1. 精 通 运 用 结 构 相 关 设 计 软 件, 如 Pro-E AutoCAD 等 ; 1. 参 不 机 器 人 项 目 评 估, 提 出 吅 理 的 产 品 结 构 设 计 方 案 ; 结 构 3 不 限 不 限 2. 3 年 以 上 工 业 产 品 结 构 设 计 工 作 经 验, 具

1. 精 通 运 用 结 构 相 关 设 计 软 件, 如 Pro-E AutoCAD 等 ; 1. 参 不 机 器 人 项 目 评 估, 提 出 吅 理 的 产 品 结 构 设 计 方 案 ; 结 构 3 不 限 不 限 2. 3 年 以 上 工 业 产 品 结 构 设 计 工 作 经 验, 具 子 公 司 名 称 新 兴 智 能 深 圳 万 腾 ( 深 圳 ) 职 位 人 数 机 械 运 控 调 试 员 哈 工 大 机 器 人 集 团 有 限 公 司 2016 届 校 园 招 聘 简 章 学 历 专 业 要 求 岗 位 职 责 自 动 化 机 械 制 造 专 业 机 械 及 自 动 化 专 业 1 精 通 CAD Pro/E 等 软 件, 熟 悉 从 组 立 图 到 零 件 图 的 设 计

More information

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010)

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010) ,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN 7-5635-1099-0...............TP36 CIP (2005)076733 : ( 10 ) :100876 : (010 )62282185 : (010)62283578 : publish@bupt.edu.cn : : : 787 mm960 mm 1/

More information

A80 H8 english

A80 H8 english H8 development kit brief introduction H8 is based on Allwinner A80 SoC is released by Merrii, we can provide users with Android4.4.2 and inux3.4.39 to develop. OptimusBoard is a mini board based on A80,

More information

<4D6963726F736F667420576F7264202D2052532D543830352D4130CFB5C1D0B2FAC6B7B9E6B8F1CAE9>

<4D6963726F736F667420576F7264202D2052532D543830352D4130CFB5C1D0B2FAC6B7B9E6B8F1CAE9> 苹 果 IOS APP 安 卓 Android APP 第 1 页 共 14 页 Revision:20160615 IPC 系 列 网 络 高 清 枪 击 模 组 产 品 规 格 书 1 产 品 名 称 :IPC 网 络 38*38 模 组 2 产 品 型 号 : RS-T805-A0 3 产 品 特 色 及 功 能 : 3.0 板 载 MT7601 wifi 模 块, 无 需 外 加 wifi

More information

说明书库

说明书库 简 介 非 常 感 谢 您 选 择 使 用 智 能 手 机! 本 手 机 是 一 部 单 C 网 并 具 有 商 务 协 同 功 能 的 智 能 手 机! 该 话 机 除 支 持 基 本 的 语 音 通 话 短 信 等 功 能 之 外, 还 有 如 下 特 色 功 能 : 无 线 上 网, 酣 畅 高 速 通 过 CDMA 1X, 网 上 冲 浪, 无 所 不 通 商 务 协 同, 功 能 强 大

More information

如 果 此 設 備 對 無 線 電 或 電 視 接 收 造 成 有 害 干 擾 ( 此 干 擾 可 由 開 關 設 備 來 做 確 認 ), 用 戶 可 嘗 試 用 以 下 一 種 或 多 種 方 法 來 消 除 這 個 干 擾 : 重 新 調 整 與 確 定 接 收 天 線 方 向 增 大 此 設

如 果 此 設 備 對 無 線 電 或 電 視 接 收 造 成 有 害 干 擾 ( 此 干 擾 可 由 開 關 設 備 來 做 確 認 ), 用 戶 可 嘗 試 用 以 下 一 種 或 多 種 方 法 來 消 除 這 個 干 擾 : 重 新 調 整 與 確 定 接 收 天 線 方 向 增 大 此 設 版 權 前 言 本 出 版 物, 包 括 所 有 照 片 插 圖 與 軟 體 均 受 國 際 版 權 法 之 保 護, 所 有 權 利 均 被 保 留 此 說 明 書 和 其 中 所 包 含 的 任 何 材 料 都 不 可 以 在 沒 有 作 者 的 書 面 許 可 下 被 複 製 版 本 1.0 免 責 聲 明 製 造 商 不 對 說 明 書 內 容 作 任 何 陳 述 或 擔 保, 基 於 此

More information

基于 AM57x 的 GigE 工业相机图像采集案例 目 录 1 开发环境 GigE 相机 IP 配置 安装工程源码 GigE 相机 IP 地址的配置 IpConfigurator 查看不到相机设备解决方案 Pylon

基于 AM57x 的 GigE 工业相机图像采集案例 目 录 1 开发环境 GigE 相机 IP 配置 安装工程源码 GigE 相机 IP 地址的配置 IpConfigurator 查看不到相机设备解决方案 Pylon 基于 AM57x 的 GigE 工业相机图像采集案例 目 录 1 开发环境... 3 2 GigE 相机 IP 配置... 4 2.1 安装工程源码... 4 2.2 GigE 相机 IP 地址的配置... 5 2.3 IpConfigurator 查看不到相机设备解决方案... 7 3 PylonViewerApp 例程测试... 9 3.1 运行 PylonViewerApp 工具采集图像...

More information

石油大学(北京)

石油大学(北京) 大 學 生 安 全 教 育 參 考 資 料 1 對 大 學 生 進 行 安 全 教 育 的 必 要 性 第 一 篇 遵 紀 守 法 篇 第 1 章 安 全 教 育 大 學 生 活 對 於 每 一 位 莘 莘 學 子 來 說 都 是 一 段 美 好 而 又 難 忘 的 時 光 而 這 一 切 從 頭 開 始 的 時 候, 不 大 容 易 被 同 學 們 重 視 的 往 往 是 安 全 問 題 安 全

More information

1 MTK 2 MTK 3 MTK

1 MTK 2 MTK 3 MTK 1 MTK 2 MTK 3 MTK MTK MTK MTK MTK 1997, ( 10 DVD : 2000, 3G GSM/GPRS/WCDMA/ EDGE Multimedia Phone 2.5G MT6218/ MT6217 GSM/GPRS Multimedia Platform MT6205 GSM Low-End Platform MT6219 GSM/GPRS Video Platform

More information

104 年 度 第 一 次 會 議 ( )

104 年 度 第 一 次 會 議 ( ) 專 責 小 組 會 議 紀 錄 104 年 03 月 24 日 會 議 紀 錄 104 年 09 月 10 日 會 議 紀 錄 104 年 11 月 24 日 會 議 紀 錄 104 年 12 月 15 日 會 議 紀 錄 104 年 度 第 一 次 會 議 (104.03.24) 遠 東 科 技 大 學 104 年 度 教 育 部 獎 勵 補 助 款 專 責 小 組 第 1 次 會 議 紀 錄 時

More information

《计算机应用基础》学习材料(讲义)

《计算机应用基础》学习材料(讲义) 计 算 机 应 用 基 础 学 习 材 料 ( 讲 义 ) Fundamentals of Computer Application 2014-3-22 JIANGSU OPEN UNIVERSITY 第 二 学 习 周 计 算 机 基 础 知 识 ( 一 ) 导 学 在 本 学 习 周, 我 们 主 要 的 任 务 是 认 识 计 算 机 你 将 知 道 计 算 机 是 什 么 时 候 产 生 的,

More information

bingdian001.com

bingdian001.com (AVA PROPRE 6HD) ... 5 1.1 1.2 1.3 1.4 1.5 1.6... 5... 6... 6... 9... 9... 10... 12 2.1 2.2 2.3 2.4 2.5 2.6 2.7 2.8 2.9... 12... 12... 13... 13... 14... 15... 16... 17... 18 2.10... 18 2.11... 19 2.12...

More information

深圳市国际招标有限公司

深圳市国际招标有限公司 采 购 文 件 采 购 项 目 编 号 :SSZ-2015G008 采 购 项 目 名 称 : 松 岗 中 心 小 学 扩 建 教 学 设 备 设 施 采 购 及 安 装 项 目 采 购 方 式 : 公 开 招 标 采 购 人 ( 章 ): 佛 山 市 南 海 区 狮 山 镇 教 育 局 采 购 代 理 机 构 ( 章 ): 深 圳 市 国 际 招 标 有 限 公 司 日 期 : 年 月 日 目 录

More information

PROTEUS VSM

PROTEUS  VSM Proteus VSM-- 1/1 PROTEUS VSM Proteus VSM ISIS Prospice VSM Proteus PROSPICE ARM7 PIC AVR HC11 8051 CPU LCD RS232 LED IAR Keil Hitech C make 6000 SPICE SPICE DLL SPICE3F5 14 FM PROTEUS PCB LED/LCD / 300

More information

(按 Ctrl + F2 可編輯頁首資料)

(按 Ctrl + F2 可編輯頁首資料) SAWANG 大 華 科 技 大 學 秘 書 室 會 議 議 程 SC0-4-533-01-03, A00 名 稱 :103 學 年 度 第 4 次 專 責 小 組 會 議 時 間 :104 年 3 月 24 日 ( 星 期 二 ) 中 午 12 時 10 分 地 點 : 大 華 樓 5 樓 會 議 室 主 席 : 代 理 校 長 李 右 婷 紀 錄 : 秘 書 室 林 佩 姍 壹 主 席 報 告

More information

Leica IC90 E Leica IC90 E: USB 20 HDMI 22 SD () CLR ( ) 30 CLR ( ) 31 EXPSURE () 32 RESLUTIN ( ) 33 SETUP CAMER

Leica IC90 E Leica IC90 E: USB 20 HDMI 22 SD () CLR ( ) 30 CLR ( ) 31 EXPSURE () 32 RESLUTIN ( ) 33 SETUP CAMER Leica IC90 E 4 5 6 10 Leica IC90 E 12 13 Leica IC90 E: 14 16 18 USB 20 HDMI 22 SD 24 25 () 27 28 29 CLR ( ) 30 CLR ( ) 31 EXPSURE () 32 RESLUTIN ( ) 33 SETUP CAMERA ( ) 34 SETUP USER 35 SETUP ETHERNET

More information

JLX

JLX PRODUCT:LCD MODULE. Model No.: JLX177-006 Product Type: 1.77 inch QVGA TFT Modoule. 产品规格书 晶联讯研发研发部 : Written By Checked By Approved By 客户名称 : 结构电子核准 地址 : 深圳市宝安区西乡宝安大道东华工业区 A3 栋 6 楼电话 :0755-29784961 Http://www.jlxlcd.cn

More information

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi SPHE8202R Design Guide V2.0 JUN, 2007 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com SPHE8202R Design Guide Important Notice

More information

stm32_mini_v2

stm32_mini_v2 US Mirco S SIO US Power:V Power:.V STMF0VET GPIO TFT SPI URT RJ ENJ0SS SPI Flash lock iagram Size ocument Number Rev STM-Lite-V.0 Ver.0 ate: Friday, June 0, 0 Sheet of 0.0uF R M V - + S J MP-0 V_PWR R

More information

1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.4... 6 2.5... 7 2.6... 7 3 2005... 8 3.1... 8 3.2... 9 3.3... 9 3.4 ( RMB100,000)... 9 3.5... 9 4...11 4.1.

1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.4... 6 2.5... 7 2.6... 7 3 2005... 8 3.1... 8 3.2... 9 3.3... 9 3.4 ( RMB100,000)... 9 3.5... 9 4...11 4.1. http://www.unsp.com.cn 1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.4... 6 2.5... 7 2.6... 7 3 2005... 8 3.1... 8 3.2... 9 3.3... 9 3.4 ( RMB100,000)... 9 3.5... 9 4...11 4.1... 11 4.2... 12 5... 13 5.1...

More information

2005.book

2005.book ...4... 4... 7...10... 10... 10... 10... 10... 11... 11 PCC... 11 TB170... 12 /... 12...13... 13 BP150 / BP151 / BP152 / BP155... 14...15... 15... 15... 15... 15... 15... 15... 16 PS465 / PS477... 17 PS692

More information

Support All Industrial Ethernet Standards on Your Next "Drive" Design White Paper

Support All Industrial Ethernet Standards on Your Next Drive Design White Paper FPGA WP-01191-1.0 Coal 18.33 Fossil Fuels 26.10 Conversion Losses 24.61 Petroleum 0.40 Natural Gas 7.29 Other Gases 0.09 Nuclear Electric Power 8.35 Renewable Energy 4.28 Other 0.16 Energy Consumed to

More information

<4D6963726F736F667420576F7264202D20B8EAB054B35DB3C6B1C4C1CAABD8C4B3AAED2D313031A67E3131A4EB31352EB8B9A7F3B7732E646F63>

<4D6963726F736F667420576F7264202D20B8EAB054B35DB3C6B1C4C1CAABD8C4B3AAED2D313031A67E3131A4EB31352EB8B9A7F3B7732E646F63> 一 個 人 電 腦 ( 中 信 局 ) LP5-100066 ( 契 約 起 始 日 期 :101/06/04, 終 止 日 期 :102/05/31) ( 3 年 之 到 府 人 工 及 零 件 保 固 服 務 ) 等 級 規 格 預 算 CPU RAM HDD 獨 立 顯 卡 其 他 建 議 型 號 備 註 行 政 入 門 個 人 電 腦 ( 含 19 吋 以 螢 幕 ) IntelCore i3-3.3ghz

More information

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0 SH79F6431 1. SH79F6431 1T 8051 FLASH SH79F JET51 Keil µ vision JTAG 1.1. SH79F6431 LQFP64 1.2. (Target Board) SH79F6431 1 V 1.0 1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F6431 1 2 1 B. 1(VCC/GND)

More information

Chapter 2 GIGA-BYTE TECHNOLOGY CO., LTD. ("GBT") GBT GBT GBT

Chapter 2 GIGA-BYTE TECHNOLOGY CO., LTD. (GBT) GBT GBT GBT Chapter 2 GIGA-BYTE TECHNOLOGY CO., LTD. ("GBT") GBT GBT GBT 2003 6 5-1 - 1....3 1.1....3 1.2. GV-R9200...3 2....4 2.1....4 2.2....5 2.3....7 3....9 3.1. Windows 98/98SE Windows ME Windows XP 9 3.1.1....

More information

【工程类】

【工程类】 茂 名 市 地 方 税 务 局 会 议 室 功 能 升 级 项 目 采 购 项 目 编 号 :GZGD-2015-008 公 开 招 标 文 件 广 州 广 大 工 程 项 目 管 理 有 限 公 司 编 制 发 布 日 期 : 二 0 一 六 年 一 月 捌 日 目 录 第 一 部 分 投 标 邀 请 函 -----------------------------------------------------------------------------------------------------------

More information

P3V4X JumperFree TM

P3V4X JumperFree TM P3V4X JumperFree TM 1999 2 3 4 5 6 7 8 9 10 11 12 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 1 2 3 4 5 6 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 13 19.3cm (7.6in) COM1 COM2 PS2KBMS JTPWR

More information

Quidway® S8500系列万兆核心路由交换机

Quidway®  S8500系列万兆核心路由交换机 Quidway NetEngine 20/20E 系列路由器订购信息 1 NetEngine 20-8/4/2 订购信息表 主机系统 RT-NE20-8-CHASSIS 5U 高度路由器机箱 必配 RT-NE20-4-CHASSIS 3U 高度路由器机箱 (NE20-4) 必配 RT-NE20-2-CHASSIS 3U 高度路由器机箱 (NE20-2) 必配 RT-NE20-RPU-512M 路由处理单元

More information

untitled

untitled CMMP-AS CMMP-AS USB CANopen EMC CE EN ( 6) (EnDat/HIPERFACE) S 255 / I/O 16 / I/O / I/O CAMC-D-8E8A 20 (STO) 1 (SS1) (SBC) (SOS) 2(SS2) (SLS) (SSR) (SSM) CMMP-AS CANopen EtherCAT PROFIbus,PROFINET, DeviceNet,CANopen,EtherCAT,

More information

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键 官 方 淘 宝 地 址 :http://metech.taobao.com/ MeTech verilog 典 型 例 程 讲 解 V1.0 笔 者 :MeTech 小 芯 技 术 支 持 QQ : 417765928 1026690567 技 术 支 持 QQ 群 :207186911 China AET 讨 论 组 http://group.chinaaet.com/293 笔 者 博 客 :http://blog.csdn.net/ywhfdl

More information

目录 一 产品简介 产品概述 应用领域...4 二 接口描述...5 三 硬件规格...6 四 主板尺寸...7 五 引脚定义...8 六 系统软件 七 电气性能 八 附录 源码获取 联系我们

目录 一 产品简介 产品概述 应用领域...4 二 接口描述...5 三 硬件规格...6 四 主板尺寸...7 五 引脚定义...8 六 系统软件 七 电气性能 八 附录 源码获取 联系我们 ROC-RK3328-CC 产品规格书 作者 天启智能科技有限公司 版本 V1.0 日期 2018-04-02 版本更新日期更新内容 V1.0 2018-04-02 原始版本 - 1 - 目录 一 产品简介...4 1 产品概述...4 2 应用领域...4 二 接口描述...5 三 硬件规格...6 四 主板尺寸...7 五 引脚定义...8 六 系统软件... 10 七 电气性能... 10 八

More information

网上对外发布资料适用版本

网上对外发布资料适用版本 HDLC-LCM 嵌 入 式 低 功 耗 通 信 模 块 Rev.2016.0602 用 户 手 册 电 话 :400-025-5057 网 址 :www.yacer.cn 目 录 1 概 述... 1 1.1 简 介... 1 1.2 特 点... 1 1.3 应 用... 1 1.4 订 购 信 息... 1 1.5 技 术 规 格... 2 1.6 机 械 尺 寸 图... 3 2 硬 件 结

More information

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica CP Chip Power ARM Cortex-M3 (STM32F) ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplication and hardware

More information

目 录

目 录 1 Quick51...1 1.1 SmartSOPC Quick51...1 1.2 Quick51...1 1.3 Quick51...2 2 Keil C51 Quick51...4 2.1 Keil C51...4 2.2 Keil C51...4 2.3 1 Keil C51...4 2.4 Flash Magic...9 2.5 ISP...9 2.6...10 2.7 Keil C51...12

More information

DSP+ARM+FPGA 三核主板方案领导者 OMAPL138 双核通信之 DSP 程序独立仿真教程 Revision History Revision Description Draft Remark No. Date V 初始版本 2014/4/7 销售邮箱

DSP+ARM+FPGA 三核主板方案领导者 OMAPL138 双核通信之 DSP 程序独立仿真教程 Revision History Revision Description Draft Remark No. Date V 初始版本 2014/4/7 销售邮箱 OMAPL138 双核通信之 DSP 程序独立仿真教程 Revision History Revision Description Draft Remark No. Date V1.0 1. 初始版本 2014/4/7 公司总机 :020-8998-6280 公司官网 :www.tronlong.com 1/19 阅前须知 版权声明广州创龙电子科技有限公司保留随时对其产品进行修改和完善的权利, 同时也保留在不作任何通告的情况下,

More information

<4D6963726F736F667420576F7264202D2053444451323031362D3131365FC9BDB6ABBBAFB9A4BCBCCAA6D1A7D4BABDCCD1A7D7A8D3C3D2C7C6F75FD5D0B1EACEC4BCFEB6A8B8E5382E3131A3A8BDCCD3FDD3EBB9ABB9B2B7FECEF1CFB5A3A9>

<4D6963726F736F667420576F7264202D2053444451323031362D3131365FC9BDB6ABBBAFB9A4BCBCCAA6D1A7D4BABDCCD1A7D7A8D3C3D2C7C6F75FD5D0B1EACEC4BCFEB6A8B8E5382E3131A3A8BDCCD3FDD3EBB9ABB9B2B7FECEF1CFB5A3A9> 山 东 省 政 府 采 购 项 目 编 号 :SDDQ2016-116 项 目 名 称 : 山 东 化 工 技 师 学 院 教 学 专 用 仪 器 购 置 项 目 采 购 内 容 : 机 械 系 工 业 机 器 人 等 实 训 设 备 幼 儿 教 育 实 训 室 设 备 山 东 德 勤 招 标 评 估 造 价 咨 询 有 限 公 司 二 一 六 年 八 月 0 目 录 第 一 章 招 标 公 告 第

More information

untitled

untitled DOP www.deltaww.com DOP (RS232/RS485/ RS422) DOP USB SD 30 100 PLC Best Performance Huma Beautiful Display Beneficial Feature 1 DOP LED 65536 2D n Machine Interface s 2 DOP-B DOP-B 4.3 inches Wide B03S211

More information

目录 一 产品简介 产品概述 应用领域...4 二 接口描述...5 三 硬件规格...6 四 PCB 尺寸...7 五 接口定义 CON1 SIP-42PIN-2D CON2 SIP-42PIN-2D 六 附录 源

目录 一 产品简介 产品概述 应用领域...4 二 接口描述...5 三 硬件规格...6 四 PCB 尺寸...7 五 接口定义 CON1 SIP-42PIN-2D CON2 SIP-42PIN-2D 六 附录 源 Firefly-RK3128 产品规格书 作者 天启智能科技有限公司 版本 V1.0 日期 2018-05-15 版本更新日期更新内容 V1.0 2018-05-15 原始版本 - 1 - 目录 一 产品简介...4 1 产品概述...4 1.2 应用领域...4 二 接口描述...5 三 硬件规格...6 四 PCB 尺寸...7 五 接口定义...9 1 CON1 SIP-42PIN-2D54...9

More information

展 望 与 述 评 2 广 电 设 备 与 技 术 2013.2

展 望 与 述 评 2 广 电 设 备 与 技 术 2013.2 展 望 与 述 评 2013.2 广 电 设 备 与 技 术 1 展 望 与 述 评 2 广 电 设 备 与 技 术 2013.2 展 望 与 述 评 2013.2 广 电 设 备 与 技 术 3 展 望 与 述 评 4 广 电 设 备 与 技 术 2013.2 展 望 与 述 评 2013.2 广 电 设 备 与 技 术 5 展 望 与 述 评 骆 萧 萧 卜 筱 皛 本 文 讨 论 了 在 非

More information

untitled

untitled CMMP-AS q/w : : : Festo 80% Festo q24 Festo 13 2200 w 5 4 6x10 12 CMMP-AS USB, Ethernet CANopen CE EN ( 6) (EnDat/HIPERFACE) S 255 I/O 16 I/O CAMC-D-8E8A 18 I/O (STO) 1 (SS1) (SBC) (SOS) 2 (SS2) (SLS)

More information

untitled

untitled XILINX Platform Cbale USB www.hseda.com ...... Platform Cable USB Compatible.................. impact.........1 platform Cable USB Compatible.........1...1...1...1...1...1 Platform Cable USB Compatible

More information

微雪电子 Open103Z 实验手册 Open103Z 实验手册 目录 准备工作 BitIO-Pushbutton... 3 ADC+DMA... 4 CAN-LoopBack... 5 DAC... 6 DS18B GPIO_Key_L

微雪电子   Open103Z 实验手册 Open103Z 实验手册 目录 准备工作 BitIO-Pushbutton... 3 ADC+DMA... 4 CAN-LoopBack... 5 DAC... 6 DS18B GPIO_Key_L 目录 准备工作... 3 8BitIO-Pushbutton... 3 ADC+DMA... 4 CAN-LoopBack... 5 DAC... 6 DS18B20... 7 GPIO_Key_LED... 8 I2C... 9 LCD-HY32D_FSMC... 10 Nand Flash...11 NorFlash... 12 PS2... 13 RTC... 14 SD_FatFS... 15

More information

专业主干课程与主要专业课程教学大纲(2009年、2011年).doc

专业主干课程与主要专业课程教学大纲(2009年、2011年).doc ... 1... 4... 9... 12... 16... 20... 23... 26... 30... 33... 36 Electric Circuits 00440021 64 0 0 4 1 2 Y- 3 4 ZYT H 5 Analog Electronic Technique 00440041 54 14 0 3.5 1. 2. 1. 2. 3. RC 4. 5. 1. 20 2.

More information

Contents Viewpoint Application Story 05 News & Events 06 Technology Forum Customer Partnership Cover Story Advisory Board Inside Advantech Beautiful L

Contents Viewpoint Application Story 05 News & Events 06 Technology Forum Customer Partnership Cover Story Advisory Board Inside Advantech Beautiful L Summer 2016 No.35 IoT Your Gateway to lot Contents Viewpoint Application Story 05 News & Events 06 Technology Forum Customer Partnership Cover Story Advisory Board Inside Advantech Beautiful Life Joyful

More information

GV-R7500L Win 98/ 98SE, WinME Win XP Direct X Windows NT WINDO

GV-R7500L Win 98/ 98SE, WinME Win XP Direct X Windows NT WINDO Chapter 2 GIGA-BYTE TECHNOLOGY CO, LTD (GBT ) GBT GBT, GBT 2002 10 31-1 - 1 11 3 12 GV-R7500L 3 2 21 4 22 5 23 6 3 31 Win 98/ 98SE, WinME Win XP 8 311 8 312 Direct X 9 313 11 314 15 315 15 316 22 32 Windows

More information

相 关 知 识 1 计 算 机 工 作 原 理 1946 年 2 月, 世 界 上 第 一 台 电 子 计 算 机 ENIAC (Electronic Numerical Integrator And Computer, 电 子 数 字 积 分 计 算 机 ) 诞 生 于 美 国 宾 夕 法 尼 亚

相 关 知 识 1 计 算 机 工 作 原 理 1946 年 2 月, 世 界 上 第 一 台 电 子 计 算 机 ENIAC (Electronic Numerical Integrator And Computer, 电 子 数 字 积 分 计 算 机 ) 诞 生 于 美 国 宾 夕 法 尼 亚 第 二 章 认 识 我 的 计 算 机 从 办 公 打 字 到 电 脑 游 戏 从 信 息 检 索 到 手 机 娱 乐 从 社 交 网 络 到 电 子 商 务 从 电 影 大 片 到 航 天 飞 行, 到 处 都 有 计 算, 到 处 都 离 不 开 计 算 机 它 作 为 信 息 处 理 的 关 键 设 备, 已 成 为 现 代 社 会 人 们 生 产 与 生 活 的 基 本 工 具, 在 国 民

More information

重 要 安 全 说 明 1. 2. 3. 4. 5. 6. 7. 8. ii 使 用 产 品 前 请 仔 细 阅 读 这 些 说 明, 并 保 存 起 来 以 备 今 后 参 考 遵 循 本 产 品 上 表 示 的 所 有 警 告 和 指 示 清 洁 之 前 先 从 墙 上 插 座 拔 下 本 产

重 要 安 全 说 明 1. 2. 3. 4. 5. 6. 7. 8. ii 使 用 产 品 前 请 仔 细 阅 读 这 些 说 明, 并 保 存 起 来 以 备 今 后 参 考 遵 循 本 产 品 上 表 示 的 所 有 警 告 和 指 示 清 洁 之 前 先 从 墙 上 插 座 拔 下 本 产 ,, LifeBook Microsoft Windows MS-DOS Windows NT Windows XP Windows Vista Windows 7 Windows 8 Windows 8.1 Microsoft Corporation Phoenix Phoenix Technologies Corporation Fujitsu Limited 2015,,, (1) (2),

More information

案由:審議各單位提報「101年度教育部對私立技專校院整體發展獎補助經費支用計畫書」中經常門、資本門經費支用項目及金額

案由:審議各單位提報「101年度教育部對私立技專校院整體發展獎補助經費支用計畫書」中經常門、資本門經費支用項目及金額 樹 德 科 技 大 學 103 年 度 第 1 次 整 體 發 展 獎 勵 補 助 經 費 規 劃 專 責 小 組 會 議 記 錄 已 於 103 年 02 月 25 日 奉 副 校 長 代 理 校 長 核 准 備 查 在 案 時 間 :103 年 2 月 20 日 ( 星 期 四 ) 中 午 12 時 10 分 地 點 : 行 政 大 樓 3 樓 A308 主 持 人 : 朱 元 祥 校 長 出

More information

<5C5C444144492D2D3230313331303237565CB1BEB5D8B4C5C5CC202864295CBACDCAA25CBBD45CBDF8D0D0D6D05CD5FEB2C95CCAFDD7D65CC2DBD6A4BBE15C28323031342E31322E3234C2DBD6A4B8E529C3B7D6DDCAD0CAFDD7D6BBAFB3C7CAD0D7DBBACF2E2E2E2E646F63>

<5C5C444144492D2D3230313331303237565CB1BEB5D8B4C5C5CC202864295CBACDCAA25CBBD45CBDF8D0D0D6D05CD5FEB2C95CCAFDD7D65CC2DBD6A4BBE15C28323031342E31322E3234C2DBD6A4B8E529C3B7D6DDCAD0CAFDD7D6BBAFB3C7CAD0D7DBBACF2E2E2E2E646F63> 项 目 编 号 : 招 标 文 件 ( 论 证 稿 ) 广 东 和 盛 招 标 代 理 有 限 公 司 Guangdong Hesheng Tendering Agency Co.,Ltd. 目 录 第 一 部 分 : 投 标 邀 请 函 1 第 二 部 分 : 采 购 项 目 内 容 4 第 三 部 分 : 投 标 人 须 知 88 第 四 部 分 : 合 同 书 格 式 104 第 五 部 分

More information

主机端

主机端 目 录 第 一 章 产 品 说 明...2 1.1 产 品 概 述...2 1.2 技 术 参 数...2 第 二 章 产 品 外 观 结 构 介 绍...3 2.1 面 板 说 明...3 2.2 产 品 接 口 说 明...4 2.3 遥 控 器 说 明...5 第 三 章 操 作 系 统 说 明...5 3.1 开 / 关 机...5 3.2 预 览...5 3.3 菜 单 基 本 操 作 说

More information

上海东软载波微电子有限公司 SMART

上海东软载波微电子有限公司 SMART 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P/ES7P OTPMCU A/D I/O OTP ROM RAM ES7P7x ES7P7PSD SOP +input 0 ES7P7PSF SOP0 7+input 0 ES7P7PRF SSOP0 7+input 0 EEPROM MHz 0 ~.V ~.V MHz, KHz MHz 0 ~.V

More information

Hi3536 H.265解码处理器简介

Hi3536 H.265解码处理器简介 雅仪科技 嵌入式智能互联设备 软硬件方案供应商 专注 远程网络视频监控系统 研发设计 更多资讯浏览 : http://www.travellinux.com 获取 Hi3536 H.265 解码处理器 产品简介 文档版本 03 发布日期 2015-04-19 版权所有 深圳市海思半导体有限公司 2015 保留一切权利 非经本公司书面许可, 任何单位和个人不得擅自摘抄 复制本文档内容的部分或全部, 并不得以任何形式传播

More information

Chapter 2 GIGA-BYTE TECHNOLOGY CO., LTD. ("GBT") GBT GBT GBT

Chapter 2 GIGA-BYTE TECHNOLOGY CO., LTD. (GBT) GBT GBT GBT Chapter 2 GIGA-BYTE TECHNOLOGY CO., LTD. ("GBT") GBT GBT GBT 2003 6 20-1 - 1.... 3 1.1....3 1.2. GV-R96P128D...3 2.... 4 2.1....4 2.2....5 2.3....6 3.... 8 3.1. Windows 98/98SEWindows MEWindows XP...8

More information

0523内页正稿-改风格

0523内页正稿-改风格 1100MP 8,999 Dell Axim X50/X50v 300-500 4 Dell 2300MP Dell X50 416MHz 3,599 10-11 Dell E153FP 2 3 4-5 6-7 100 8-9 8-9 10-11 12 Oracle on Dell 13 5 14-15 1400 21001 15 800 x 600 2.2 1.677M 32 E232 1:1.2

More information

DPJJX1.DOC

DPJJX1.DOC 8051 111 2K 1 2 3 ' ' 1 CPU RAM ROM / A/D D/A PC CPU 40 68 10 20 8 51 PIII 8051 2 MCS51 8051 8031 89C51 8051 8031 89C51? MCS51 INTEL INTEL 8031 8051 8751 8032 8052 8752 8051 8051 8051 MCS51 8031 8031

More information

!!

!! !! Noise Suppression by EMIFILr Application Guide Application Manual Cat.No.C35C !! 1 2 3 4 5 6 7 8 9 10 1 2 3 4 5 6 7 8 9 10 YYYYYYYYYYYYYYYYYYYYYY........................ YYYYYYYYYYYYYYYYYYYY........................

More information

<4D6963726F736F667420576F7264202D2031303130315FB971BEF7BB50B971A46CB8735FB773A55FA5ABA5DFB7E7AADAB0AAAFC5A475B77EC2BEB77EBEC7AED55FA97EAE61C0F4B9D2B4BCBC7AB1B1A8EEA874B2CE2E646F63>

<4D6963726F736F667420576F7264202D2031303130315FB971BEF7BB50B971A46CB8735FB773A55FA5ABA5DFB7E7AADAB0AAAFC5A475B77EC2BEB77EBEC7AED55FA97EAE61C0F4B9D2B4BCBC7AB1B1A8EEA874B2CE2E646F63> 全 國 高 職 學 生 102 年 度 專 題 製 作 競 賽 報 告 書 居 家 環 境 智 慧 控 制 系 統 群 別 : 電 機 與 電 子 群 參 賽 作 品 名 稱 : 居 家 環 境 智 慧 控 制 系 統 關 鍵 詞 : 環 境 監 控 ZigBee 感 測 元 件 目 錄 壹 摘 要... 2 貳 研 究 動 機...2 參 研 究 方 法...3 一 研 究 器 材...3 二 研

More information

(Quad-Core Intel Xeon 2.0GHz) ()(SAS) (Quad-Core Intel Xeon 2.0GHz) (Windows )(Serial ATA) (Quad-Core Intel Xeon 2.0GHz) (Linux)(Serial ATA)

(Quad-Core Intel Xeon 2.0GHz) ()(SAS) (Quad-Core Intel Xeon 2.0GHz) (Windows )(Serial ATA) (Quad-Core Intel Xeon 2.0GHz) (Linux)(Serial ATA) LP5-970060 HP (EDA) HP (GIS) HP OEM HP z400 z600/xw6000 z800 xw9000 CPU 8 intel base 6SATA channel SAS Hard Disk PCI-X 192GB Memory CAE D H D SDHD CPU APRAM CPU, AMD Dual core Model 3D 64GB Memory SCI

More information

TL138-EVM开发板规格书

TL138-EVM开发板规格书 OMAPL138 开 发 板 Linux SD 系 统 启 动 卡 制 作 方 法 Revision History Revision Description Draft Remark No. Date V1.0 1. 初 始 版 本 2013/12/25 销 售 邮 箱 :sales@tronlong.com 公 司 总 机 :020-8998-6280 技 术 邮 箱 :support@tronlong.com

More information

Chapter 2 GIGA-BYTE TECHNOLOGY CO., LTD. ("GBT") GBT GBT GBT

Chapter 2 GIGA-BYTE TECHNOLOGY CO., LTD. (GBT) GBT GBT GBT Chapter 2 GIGA-BYTE TECHNOLOGY CO., LTD. ("GBT") GBT GBT GBT 2003 6 13-1 - 1.... 3 1.1....3 1.2. GV-R98P256D...3 2.... 4 2.1....4 2.2....5 2.3....6 3.... 8 3.1. Windows 98/98SEWindows MEWindows XP...8

More information

USB解决方案.ppt

USB解决方案.ppt USB USB? RS232 USB USB HID U modem ADSL cable modem IrDA Silabs USB CP210x USB UART USB RS-232 USB MCU 15 USB 12 FLASH MCU 3 USB MCU USB MCU C8051F32x 10 ADC 1.5%, Vref CPU 25MIPS 8051 16KB Flash -AMUX

More information

Microsoft Word - Micetek公司PowerPC产品介绍(2008__update_.doc

Microsoft Word - Micetek公司PowerPC产品介绍(2008__update_.doc MICETEK 公司 PowerPC 产品介绍 (2008) 飞思卡尔半导体的 PowerPC 处理器是 RISC 嵌入式应用的理想基础平台 PowerPC 芯片凭借其出色的性能和高度整合和技术先进特性在网络通信应用, 工业控制应用, 家用数字化, 网络存储领域, 军工领域和电力系统控制等都具有非常广泛的应用 上海祥佑数码科技有限公司 (MICETEK) 推出 PowerPC 全系列开发板以及仿真器,

More information

Microsoft Word - Yang Yong report supl

Microsoft Word - Yang Yong report supl 江 河 之 痛 横 断 山 水 电 建 设 与 南 水 北 调 西 线 调 水 的 隐 忧 杨 勇 / 文 图 2006 年 7 月 初, 西 北 六 省 区 召 开 南 水 北 调 西 线 工 程 座 谈 会, 会 上 通 报 了 西 线 调 水 一 期 工 程 进 展 情 况, 并 着 重 讨 论 工 程 受 水 区 规 划 与 会 省 区 反 映, 随 着 该 区 社 会 经 济 的 迅 速

More information

LP / / / / / /

LP / / / / / / LP5-990091 / / / / / / 個人電腦之主機 LP5-990091 13 14 15 16 OptiPlex 790 OptiPlex 790 OptiPlex 790 OptiPlex 790 16,757 16,557 13,725 13,525 ( / ) 17,865 17,651 14,632 14,419 Intel Core i3-2100 Intel Core i3-2100

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

ICD ICD ICD ICD ICD

ICD ICD ICD ICD ICD MPLAB ICD2 MPLAB ICD2 PIC MPLAB-IDE V6.0 ICD2 usb PC RS232 MPLAB IDE PC PC 2.0 5.5V LED EEDATA MPLAB ICD2 Microchip MPLAB-IDE v6.0 Windows 95/98 Windows NT Windows 2000 www.elc-mcu.com 1 ICD2...4 1.1 ICD2...4

More information

中文手册

中文手册 PCC-3428 PC/104 1. PCC-3428 1.1 PCC-3428 90mm 96mm ST CPU STPC Atlas Atlas CPU 486 DX/DX2 CPU DX2 133MHz Atlas 2D LCD/CRT 100MHz SDRAM 64MBytes PCC-3428 10/100Mbps DOC EIDE USB PC/104 ST STPC Atlas STPC

More information

例 如, 一 个 含 有 2000 个 记 录 的 文 件, 每 个 磁 盘 块 可 容 纳 250 个 记 录, 则 该 文 件 包 含 8 个 磁 盘 块 然 后 对 该 文 件 作 二 路 归 并 的 外 排 序, 每 次 往 内 存 读 入 两 个 磁 盘 块, 排 序 后 再 写 回 磁

例 如, 一 个 含 有 2000 个 记 录 的 文 件, 每 个 磁 盘 块 可 容 纳 250 个 记 录, 则 该 文 件 包 含 8 个 磁 盘 块 然 后 对 该 文 件 作 二 路 归 并 的 外 排 序, 每 次 往 内 存 读 入 两 个 磁 盘 块, 排 序 后 再 写 回 磁 说 明 改 动 的 内 容 很 少, 且 都 是 不 怎 么 重 要 的, 因 此 无 需 过 多 纠 结, 大 家 看 完 后 一 目 了 然 第 6 章 排 序 1 增 加 了 :( 十 ) 外 部 排 序 第 一 部 分 : 数 据 结 构 2 后 面 的 修 改 :( 十 一 ) 各 种 内 部 排 序 算 法 的 比 较 ;( 十 二 ) 内 部 排 序 算 法 的 应 用 外 部 排 序

More information

<353939B2FAC6B7B8F7B7D6D5BE76335F302E786C73>

<353939B2FAC6B7B8F7B7D6D5BE76335F302E786C73> 空 间 位 置 产 品 品 牌 蘑 菇 装 修 ( 西 安 ) 品 牌 : 型 号 : 芬 美 内 墙 超 白, 规 格 : 桶 /18L/25kg, 单 空 间 除 顶 面 外, 墙 玄 关 品 牌 : 东 鹏 瓷 砖 或 马 可 磁 砖, 材 质 : 抛 光 砖 或 玻 化 砖, 规 格 :800*800; 共 计 六 款 花 色 可 选 水 泥 砂 浆 找 平 厚 度 40mm, 超 出 部

More information

【每日更新03-07】转发赚奖金,2016年春季最新猎头岗:档案管理 位

【每日更新03-07】转发赚奖金,2016年春季最新猎头岗:档案管理 位 每 日 更 新 03-07 转 发 赚 奖 金,2016 年 春 季 最 新 猎 头 岗 : 档 案 位 郑 州 档 案 数 字 化 扫 描, 濮 阳 档 案 整 理, 洛 阳 档 案 加 工 扫 描, 档 案 软 件 http://www.20099.cn 每 日 更 新 03-07 转 发 赚 奖 金,2016 年 春 季 最 新 猎 头 岗 : 档 案 管 理 位 工 作 地 点 : 慈 溪

More information

附件四:

附件四: 新 办 企 业 纳 税 服 务 手 册 上 海 市 崇 明 县 国 家 税 务 局 上 海 市 地 方 税 务 局 崇 明 分 局 二 一 三 年 一 月 1 使 用 说 明 1 本 手 册 于 纳 税 人 申 请 新 办 税 务 登 记 时 由 税 务 机 关 发 放, 也 可 在 上 海 税 务 网 崇 明 税 务 局 子 网 站 进 行 下 载 和 参 阅 2 如 需 进 一 步 了 解 相

More information

SIMOCODE pro 3UF PCS SIMOCODE ES SIMOCODE pro 3UF UL22 38 PROFIBUS MCC Siemens LV

SIMOCODE pro 3UF PCS SIMOCODE ES SIMOCODE pro 3UF UL22 38 PROFIBUS MCC Siemens LV SIMOCODE pro 03.2009 SIRIUS Answers for industry. SIMOCODE pro 3UF7 2-2 - 4-4 - 7-9 - PCS 7 10 - SIMOCODE ES SIMOCODE pro 3UF7 11-18 - 21-22 - 30-35 - 36-37 3UL22 38 PROFIBUS MCC Siemens LV 1 2009 SIMOCODE

More information

Microsoft PowerPoint - 金融局勢解析.ppt

Microsoft PowerPoint - 金融局勢解析.ppt 主 講 : 許 瑞 立 金 融 局 勢 解 析 現 任 : 安 泰 證 券 公 司 董 事 總 經 理 中 華 民 國 期 貨 公 會 監 事 中 華 民 國 證 券 公 會 監 事 中 華 民 國 期 貨 公 會 券 商 公 會 證 券 暨 期 貨 基 金 會 講 師 網 頁 : http://jurry591.myweb.hinet.net/ 1 世 界 經 濟 預 測 與 展 望 全 球 增

More information

X713_CS_Book.book

X713_CS_Book.book / / /USB ) ; ; C D ; ; B B 1 >> 2 3 B 1 ( > > ) 了解显示屏上显示的图标 Wap 信箱收到一条 Wap push 信息 GSM GPS ( ) 手机已连接到 GSM 网络 指示条越多, 接收质量越好 GPS 2 ...........................4.............................. 4 Micro SD (

More information

K 寸猫眼中文说明书

K 寸猫眼中文说明书 使 用 说 明 书 适 用 于 K-301 系 列 产 品 一 简 介 1 二 标 准 套 装 配 件 1 三 产 品 外 观 构 成 2 四 安 装 说 明 4 五 操 作 说 明 6 六 规 格 参 数 13 七 注 意 事 项 14 感 谢 您 购 买 智 能 防 盗 可 视 猫 眼 门 铃 系 列 产 品, 该 系 列 是 本 公 司 最 新 设 计 的 多 功 能 超 薄 悬 挂 式 智

More information

逢 甲 大 學

逢  甲  大  學 益 老 年 不 易更 例 不 異 列 - I - 錄 錄 流 錄 六 來 錄 - II - 錄 錄 錄 錄 錄 錄 參 料 錄 - III - 料 讀 讀 錄 讀 數 錄 錄 錄 錄 錄 - IV - 錄 錄 行 錄 錄 錄 錄 讀 錄 錄 錄 讀 錄 錄 - V - 了 說 力 兩 了 - 1 - 列 邏 路 列 不 不 FLEX 10K Devices at a Glance Feature

More information

2008 IT 亞東證券投資顧問蕭雅慧於 2007/11/19 上午 09:38:03 下載. 拓墣產研版權所有, 未 2007/11/15

2008 IT 亞東證券投資顧問蕭雅慧於 2007/11/19 上午 09:38:03 下載. 拓墣產研版權所有, 未 2007/11/15 2008IT /15 Agenda 2008 & 2008 2008 & US$B PC/系統潮 網路潮/節能潮 3C潮 企業市場消費者 成熟市場消費者 成熟+新興市場消費者 代 時 代 C 時 P C n P NNoon 1,000 PPCC時 時代 代 G-phone 後PC時代 後PC時代 HDTV IPTV Web NB $500B 100 $100B 10 2003 Source 拓墣產業研究所

More information

微雪电子 Open407V-D 实验手册 Open407V-D 实验手册 目录 准备工作... 2 ADC+DMA... 2 CAN1 TO CAN2-Normal... 3 DCMI_OV DCMI_OV I2C... 6 L

微雪电子   Open407V-D 实验手册 Open407V-D 实验手册 目录 准备工作... 2 ADC+DMA... 2 CAN1 TO CAN2-Normal... 3 DCMI_OV DCMI_OV I2C... 6 L Open407V-D 实验手册 目录 准备工作... 2 ADC+DMA... 2 CAN1 TO CAN2-Normal... 3 DCMI_OV7670... 4 DCMI_OV9655... 5 I2C... 6 LCD-HY32D_FSMC... 7 Nand Flash_PCB0... 8 Nand Flash_SCB0... 9 SD_FatFS... 11 SDIO... 12 SPI...

More information

A31

A31 简 介 是 美 睿 视 讯 推 出 的 一 款 基 于 全 志 科 技 公 司 A31 芯 片 的 开 发 系 统, 向 用 户 提 供 Android4.4 和 Linux3.3 开 发 包 蜂 鸟 开 发 系 统 是 一 款 基 于 A31 的 微 型 板, 主 板 周 围 有 两 个 USB HOST 一 个 OTG, 一 个 千 兆 网 口, 同 时 拥 有 Line-in 和 HDMI 高

More information

j_xilinx-training-courses_2012.pdf

j_xilinx-training-courses_2012.pdf Xilinx Training Catalog ... 2... 3-7 FPGA ISE... 8 FPGA... 9 FPGA... 10 FPGA... 11 Spartan-6... 12 Virtex-6... 13 7 FPGA... 14 PlanAhead... 15 PlanAhead... 16 ChipScope Pro... 17... 18... 19... 20 LogiCORE

More information

S5系列用户手册

S5系列用户手册 萤 石 运 动 相 机 * 使 用 产 品 前 请 仔 细 阅 读 用 户 手 册, 并 妥 善 保 管 用 户 手 册 服 务 热 线 :400-878- 7878 目 录 2 4 6 7 10 11 12 13 25 28 31 35 36 41 44 装 箱 清 单 外 观 介 绍 显 示 屏 介 绍 操 作 必 读 开 机 和 关 机 概 览 浏 览 设 置 菜 单 视 频 模 式 拍 照

More information

Microsoft Word - 134招标文件.doc

Microsoft Word - 134招标文件.doc 威 海 市 政 府 采 购 招 标 文 件 采 购 编 号 :WHGP2016-134 采 购 项 目 : 网 站 建 设 采 购 方 式 : 公 开 招 标 山 东 省 鲁 成 招 标 有 限 公 司 2016 年 5 月 20 日 目 录 第 一 部 分 招 标 公 告 2 第 二 部 分 投 标 人 须 知 4 第 三 部 分 开 标 评 标 定 标 13 第 四 部 分 采 购 项 目 说

More information

GIGA-BYTE TECHNOLOGY CO., LTD. ("GBT") GBT GBT GBT

GIGA-BYTE TECHNOLOGY CO., LTD. (GBT) GBT GBT GBT GIGA-BYTE TECHNOLOGY CO., LTD. ("GBT") GBT GBT GBT 2003 11 6-1 - 1....3 1.1.... 3 1.2.... 3 2....4 2.1.... 4 2.2.... 5 2.3.... 6 3....8 3.1. Win XP... 8 3.1.1....8 3.1.2. DirectX...9 3.1.3.... 11 3.1.4....15

More information

FINEPIX SL1000 Series

FINEPIX SL1000 Series BL02911-100 ZHT DIGITAL CAMERA FINEPIX SL1000 FUJIFILM P ii http://www.fujifilm.com/products/digital_cameras/index.html AC FUJIFILM AC FUJIFILM FUJIFILM ii AC Ni-MH FUJIFILM HR-AA Ni-MH AC AC AC AC AC

More information

AP128DG-H AP128DG-H 3 13 ATiRADEON TM Win 98/98SE, WinME Win XP Direct X

AP128DG-H AP128DG-H 3 13 ATiRADEON TM Win 98/98SE, WinME Win XP Direct X Chapter 2 GIGA-BYTE TECHNOLOGY CO, LTD ( GBT ) GBT GBT, GBT 2002 4 12 1 AP128DG-H 1 11 3 12 AP128DG-H 3 13 ATiRADEON TM 8500 4 2 21 5 22 6 23 7 3 31 Win 98/98SE, WinME Win XP 9 311 9 312 Direct X 10 313

More information

温 馨 提 示!!! ( 本 提 示 内 容 非 采 购 文 件 的 组 成 部 分, 仅 为 善 意 提 醒 如 有 不 一 致 的 地 方, 以 采 购 文 件 为 准 ) 一. 网 络 公 示 的 采 购 文 件 仅 供 浏 览 用, 以 投 标 人 报 名 并 购 买 后 版 本 为 准 二

温 馨 提 示!!! ( 本 提 示 内 容 非 采 购 文 件 的 组 成 部 分, 仅 为 善 意 提 醒 如 有 不 一 致 的 地 方, 以 采 购 文 件 为 准 ) 一. 网 络 公 示 的 采 购 文 件 仅 供 浏 览 用, 以 投 标 人 报 名 并 购 买 后 版 本 为 准 二 廉 江 市 特 殊 教 育 学 校 第 二 批 设 施 设 备 采 购 项 目 采 购 编 号 :GDFL1602A09N034 公 开 招 标 文 件 广 东 丰 联 招 标 代 理 有 限 公 司 编 制 发 布 日 期 :2016 年 8 月 19 日 温 馨 提 示!!! ( 本 提 示 内 容 非 采 购 文 件 的 组 成 部 分, 仅 为 善 意 提 醒 如 有 不 一 致 的 地 方,

More information