FM1935X智能非接触读写器芯片

Size: px
Start display at page:

Download "FM1935X智能非接触读写器芯片"

Transcription

1 FM33A0xx MCU

2 (

3 LQFP LQFP LQFP LQFP

4 1 1.1 FM33A0xx MCU Cortex-M0+ 512KB FLASH 64KB SRAM LCD RTC ADC AES UART I2C SPI ~ ~+ 85 Active 1 50uA/MHz Standby 15uA@32KHz Sleep LCD < 10uA DeepSleep RTC+16KB RAM 1 ua Sleep 20us DeepSleep 50us Cortex-M0+ 40 MHz MPU (Memory Protection Unit) / NIC SWD Debug FLASH 512K bytes > 20,000 > K bytes SRAM CPU AES 128/192/256-bit 8bit 4 16bit bit 24bit Systick UART SPI

5 I2C 1 7 DMA 1 DMA CRC LCD 4COM 44 SEG / 6COM 42 SEG / 8COM 40 SEG LCD 16 +/-0.119ppm 11-bit ADC +/ RC 8/16/24MHz +/ -1% +/-2% KHz RC 32KHz PLL 91 LQFP100/80/ Symbol description value unit DD Power supply -0.3 ~ 5.5 PIN Pin voltage SS -0.3 ~ DD +0.3 T A Operating temperature -40 ~ 85 T STG Storage temperature -55 ~ 150 HBM ESD HBM +/-2000 CDM ESD CDM +/ FM33A0XX limited parameters Symbol description condition Parameter min typ max DD Power supply PDR disabled, code Min operating voltage 1.8 excuting from flash 1-2 FM33A0XX supply parameters OP min Default condition: DD=3.0 T=2 Symbol decription condition I sleep Current consumption PDR/LD/LCD enabled, LCD using internal buffer, RTC Parameter min typ max unit 4 µa Unit 2.0 5

6 Symbol decription condition Parameter min typ max Unit under SLEEP mode operating on 32K crystal, CPU & RAM state retained I dpsleep Current consumption under DEEPSLEEP mode PDR/ LD, LCD disabled, RTC operating on 32K crystal, CPU & RAM(16KB) state retained 1 µa Current PDR/LD/LCD enabled, I stdb consumption RTC operating on 32K crystal, under LPRUN code excuting from Flash 15 µa Current I DD3 consumption µa/mh code excuting from Flash 150 under ACTIE z mode 1-3 FM33A0XX current consumption Symbol decription condition Parameter min typ max Unit POR Power-on reset voltage 1.8 BOR Power-down reset voltage LD[3:0]=0000 Fall Rise LD[3:0]=0001 Fall Rise LD[3:0]=0010 Fall Rise LD[3:0]=0011 Fall Rise LD[3:0]=0100 Fall Rise LD Supply monitor threshold LD[3:0]=0101 Fall Rise LD[3:0]=0110 Fall Rise LD[3:0]=0111 Fall Rise LD[3:0]=1000 Fall Rise LD[3:0]=1001 Fall Rise LD[3:0]=1010 Fall Rise

7 Symbol decription condition Parameter min typ max LD[3:0]=1011 Fall Rise LD[3:0]=1100 Fall Rise LD[3:0]=1101 Fall Rise LD[3:0]=1110 Fall Rise FM33A0XX Reset parameters Unit RC symbo l ADC description condition Parameter min typ max f RCHF RCHF frequency 8 24 MHz f RCLP RCLP frequency 32 KHz 1-5 FM33A0XX internal RC oscillation symbo l description condition Parameter min typ max Reso Resolution 11 bits DNL Differential nonlinearity -0.3 ± LSB INL Integral nonlinearity -2 ±4 +5 Offset -12 ±2-8 IN Input voltage range ADC operating clock 512 KHz Throughput Rate 250 Sps 1-6 FM33A0XX ADC parameter Symbol description condition parameter min typ max Reso For high resolution application, multi-point temperature testing is needed ±0.2 C Slope LSB/ C 1-7 FM33A0XX TS parameter Unit Unit unit 2.0 7

8 LQFP FM33A0x10 LQFP PE8/CIN2/LPTO PE7/CIN1/ET2_IN1 PE6/SDA PE5/SCL PE4/ACMP2_INP1/SEG19/UART1_TX PE3/ACMP2_INN1/SEG18/UART1_RX PE2(NWKUP5)/ET1_IN1 SS DD PB15/SEG17/SPI1_MOSI PB14/SEG16/SPI1_MISO PB13/SEG15/SPI1_SCK PB12/SEG14/SPI1_SSN PB11/SEG13/ET1_OUT PB10/SEG12/ET4_IN0 PB9/SEG11/ET3_IN0 PB8/SEG10/ET2_IN0 PB7/SEG9/ET1_IN0 PB6/SEG8/BT1_OUT PB5(STAMP1)/SEG7/BT2_IN0 PB4(STAMP0)/SEG6/BT1_IN0 PB3/UART2_TX/ADC_IN8 PB2/UART2_RX/ADC_IN7 PB1/UART1_TX/LB_O PB0(WKUP1)/UART1_RX UART0_RX/PF3(BOOT0) UART0_TX/PF4 ACMP1_INN0/(NWKUP0)PF5 ACMP1_INP0/PF6 COM0/PA0 COM1/PA1 COM2/PA2 COM3/PA3 COM4/SEG40/PA4 COM5/SEG41/PA5 COM6/SEG42/PA6 COM7/SEG43/PA7 BT1_IN2/SEG0/PA8 BT2_IN2/SEG1/PA9 BT2_OUT/SEG2/PA10 LPTI/SEG3/PA11 LPTO/SEG4/PA12 LPTRG/SEG5/(NWKUP4)PA13 SCL/PA14 SDA/PA15 XTALOUT LCD PF2/ACMP1_INP1 PF1/ACMP1_INN1/ET2_OUT PC15/SEG39/ACMP2_INP0 PC14/SEG38/ACMP2_INN0 PC13(NWKUP2)/SEG37/ADC_IN2 PC12/SEG36/ADC_IN1 PC11/SEG35/UART3_TX PC10/SEG34/UART3_RX PC9/SEG33/SPI1_MOSI PC8/SEG32/SPI1_MISO PC7/SEG31/SPI1_SCK PC6/SEG30/SPI1_SSN PC5/SEG29/UART5_TX PC4/SEG28/UART5_RX PC3/SEG27/U7816IO1 PC2/SEG26/U7816CLK1 PC1/SEG25/U7816IO0 PC0/SEG24/U7816CLK0 PE9(NWKUP6) PF15/DISP3/SPI0_SSN PF14/DISP2/SPI0_SCK PF13/DISP1/SPI0_MISO PF12/DISP0/SPI0_MOSI XTALIN SS DD DD15 CPLL FOUT/PG6 ADC_IN3/UART4_RX/PD0 ADC_IN4/UART4_TX/PD1 SPI2_SSN/SEG20/PD2 SPI2_SCK/SEG21/PD3 SPI2_MISO/SEG22/PD4 SPI2_MOSI/SEG23/PD5 ET3_IN1/(NWKUP7)PD6 ANATST/ET4_IN1/PD7 ET3_OUT/PD8 UART4_RX/PD9 UART4_TX/PD10 U7816CLK0/ACMP1_INP2/PG2 U7816IO0/ACMP1_INP3/PG3 ET4_OUT/PG7(NWKUP3)/(STROBE) SWCLK/PG8/(TCLK) SWIO/PG9/(TDIO) NRST ET1_IN2/PG4 ET2_IN2/PG5 ADC_IN5/PF7 ADC_IN6/PF8 BT1_IN1/PF9 BT2_IN1/PF10 SS/PF FM33A0xx LQFP

9 1.4.2 LQFP FM33A0x8 LQFP PE4/ACMP2_INP1/SEG19/UART1_TX PE3/ACMP2_INN1/SEG18/UART1_RX PE2(NWKUP5)/ET1_IN2 PB15/SEG17/SPI1_MOSI PB14/SEG16/SPI1_MISO PB13/SEG15/SPI1_SCK PB12/SEG14/SPI1_SSN PB11/SEG13/ET4_IN0 PB10/SEG12/ET2_IN0 PB9/SEG11/ET3_IN1 PB8/SEG10/ET1_IN1 PB7/SEG9/ET3_IN0 PB6/SEG8/BT1_OUT PB5(STAMP1)/SEG7/BT2_IN0 PB4(STAMP0)/SEG6/BT1_IN0 PB3/UART2_TX/ADC_IN8 PB2/UART2_RX/ADC_IN7 PB1/UART1_TX/LB_O PB0(WKUP1)/UART1_RX SDA/PA15 UART0_RX/PF3(BOOT0) UART0_TX/PF4 ACMP1_INN0/(NWKUP0)PF5 ACMP1_INP0/PF6 SS/PF11 COM0/PA0 COM1/PA1 COM2/PA2 COM3/PA3 COM4(6)/SEG42/PA4 COM5(7)/SEG43/PA5 COM4/SEG40/PA6 COM5/SEG41/PA7 BT1_IN2/SEG0/PA8 BT2_IN2/SEG1/PA9 BT2_OUT/SEG2/PA10 LPTI/SEG3/PA11 LPTO/SEG4/PA12 LPTRG/SEG5/(NWKUP4)PA13 SCL/PA14 XTALOUT LCD PC15/SEG39/ACMP2_INP0 PC14/SEG38/ACMP2_INN0 PC13(NWKUP2)/SEG37/ADC_IN2 PC12/SEG36/ADC_IN1 PC11/SEG35/UART3_TX PC10/SEG34/UART3_RX PC9/SEG33/SPI1_MOSI PC8/SEG32/SPI1_MISO PC7/SEG31/SPI1_SCK PC6/SEG30/SPI1_SSN PC5/SEG29/UART5_TX PC4/SEG28/UART5_RX PC3/SEG27/U7816IO1 PC2/SEG26/U7816CLK PF15/DISP3/SPI0_SSN PF14/DISP2/SPI0_SCK PF13/DISP1/SPI0_MISO PF12/DISP0/SPI0_MOSI XTALIN SS DD DD15 CPLL FOUT/PG6 ADC_IN3/UART4_RX/PD0 ADC_IN4/UART4_TX/PD1 SPI2_SSN/SEG20/PD2 SPI2_SCK/SEG21/PD3 SPI2_MISO/SEG22/PD4 SPI2_MOSI/SEG23/PD5 ET2_IN1/(NWKUP7)PD6 ANATST/ET4_IN1/PD7 U7816CLK/ACMP1_INP2/PG2 U7816IO1/ACMP1_INP3/PG3 ET4_OUT/PG7(NWKUP3)/(STROBE) SWCLK/PG8/(TCLK) SWIO/PG9/(TDIO) NRST/TESTN 1-2 FM33A0xx LQFP Pin Number LQFP100 LQFP Pin Function PF3 UART0_RX PF4 UART0_TX PF5(NWKUP0) ACMP0_INN0 PF6 ACMP0_INP0 PF7 ADC_IN7 PF8 ADC_IN8 Descriptions UART0 UART0 0 0 ADC ADC 2.0 9

10 Pin Number LQFP100 LQFP Pin Function PF9 BT1_IN1 PF10 BT2_IN1 PF11 SS PA0 COM0 PA1 COM1 PA2 COM2 PA3 COM3 PA4 COM4(6)/SEG42 PA5 COM5(7)/SEG43 PA6 COM4/SEG40 PA7 COM5/SEG41 PA8 SEG0 BT1_IN2 PA9 SEG1 BT2_IN2 PA10 SEG2 BT2_OUT PA11 SEG3 LPTI PA12 SEG4 LPTO PA13(NWKUP4) SEG5 Descriptions 1 2 SD LCD COM LCD COM LCD COM LCD COM LCD COM/SEG 6COM COM4 8COM COM6 LCD COM/SEG 6COM COM5 8COM COM7 LCD COM/SEG 6COM SEG40 8COM COM4 LCD COM/SEG 6COM SEG41 8COM COM

11 Pin Number LQFP100 LQFP Pin Function LPTRG PA14 SCL PA15 SDA PB0(NWKUP1) UART1_RX PB1 UART1_TX LB_O PB2 UART2_RX ADC_IN7 PB3 UART2_TX ADC_IN8 PB4(STAMP0) SEG6 BT1_IN0 PB5(STAMP1) SEG7 BT2_IN0 PB6 SEG8 BT1_OUT PB7 SEG9 ET1_IN0 PB8 SEG10 ET2_IN0 PB9 SEG11 ET3_IN0 PB10 SEG12 ET4_IN0 PB11 SEG13 ET1_OUT PB12 SEG14 SPI1_SSN PB13 SEG15 SPI1_SCK Descriptions I2C I2C UART1 UART1 UART2 ADC UART2 ADC RTC 1 RTC SPI1 SPI

12 Pin Number LQFP100 LQFP80 Pin Function Descriptions PB14 40 SEG16 36 SPI1_MISO SPI1 PB SEG17 SPI1_MOSI SPI DD 43 - SS PE2(NWKUP5) ET1_IN1 1 PE ACMP1_INN1/SEG18 1 / UART1_RX UART1 PE ACMP1_INP1/SEG19 1 / UART1_TX UART PE5 SCL I2C 48 - PE6 SDA I2C PE CIN1 LCD CIN1 CIN2 0.1uF ET2_IN2 2 PE CIN2 LCD CIN1 CIN2 0.1uF LPTO PF DISP0 LCD 0.1uF SPI0_MOSI SPI0 PF DISP1 LCD 0.1uF SPI0_MISO SPI0 PF DISP2 LCD 0.1uF SPI0_SCK SPI0 PF DISP3 LCD 0.1uF SPI0_SSN SPI PE9(NWKUP6) 56 - PC

13 Pin Number LQFP100 LQFP Pin Function SEG24 U7816CLK0 PC1 SEG25 U7816IO0 PC2 SEG26 U7816CLK1 PC3 SEG27 U7816IO1 PC4 SEG28 UART5_RX PC5 SEG29 UART5_TX PC6 SEG30 SPI1_SSN PC7 SEG31 SPI1_SCK PC8 SEG32 SPI1_MISO PC9 SEG33 SPI1_MOSI PC10 SEG34 UART3_RX PC11 SEG35 UART3_TX PC12 SEG36 ADC_IN5 PC13(NWKUP2) SEG37 ADC_IN6 PC14 SEG38 ACMP1_INN0 PC15 SEG39 Descriptions UART5 UART5 SPI1 SPI1 SPI1 SPI1 UART3 UART3 ADC ADC

14 Pin Number LQFP100 LQFP LCD ACMP1_INP0 PF1 ACMP0_INN1 ET2_OUT PF2 ACMP0_INP1 Pin Function Descriptions LCD Booster 0.1uF XTALOUT 32768Hz XTALIN 32768Hz SS DD DD15 0.1~2.2uF CPLL PLL 4nF PG6 FOUT PD UART4_RX UART4 ADC_IN3 ADC PD UART4_TX UART4 ADC_IN4 ADC PD SEG20 SPI2_SSN SPI2 PD SEG21 SPI2_SCK SPI2 PD SEG22 SPI2_MISO SPI2 PD SEG23 SPI2_MOSI SPI PD6(NWKUP7) ET3_IN1 3 PD ANATST ET4_IN1 4 PD ADC_IN2 ADC ET3_OUT PD9 UART4_RX UART PD10 UART4_TX UART

15 Pin Number LQFP100 LQFP80 Pin Function Descriptions PG U7816CLK ACMP0_INP2 0 PG U7816IO ACMP0_INP PG7(NWKUP3) ET4_OUT PG8 SWCLK SWD PG9 SWIO SWD NRST/TESTN 99 - PG4 ET1_IN PG5 ET2_IN LQFP

16 2-1 LQFP100 Symbol MIN NOM MA A 1.60 A A A b b c c D D E E e 0.50BSC L L1 1.00REF L2 0.25BSC R R S 0.20 θ θ1 0 θ θ NOTE: ALL DIMENSIONS REFER TO JEDEC STANDARD MO-220 WMMD

17 2.2 LQFP LQFP80 Symbol MIN NOM MA A 1.60 A A A b b c c D

18 Symbol MIN NOM MA D E E e L L1 1.00REF L2 0.25BSC R R S 0.20 θ θ1 0 θ θ NOTE: ALL DIMENSIONS REFER TO JEDEC STANDARD MO-220 WMMD

19

20 (86-021) (86-021) (852) (852) B ( ) ( ) (886-2) (886-2) , Alexandra Road, #07-01, The Alexcier, Singapore (65) (65) W. Ray Road Suite#2 Chandler, AZ USA (480) ext

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM3316/3315 MCU 2017.06 FM3316/3315 MCU 2.21 (http://www.fmsh.com/) FM3316/3315 MCU 2.22 ... 3 1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.3.1... 6 2.3.2... 6 2.4... 9 2.5... 10 2.5.1 LQFP64... 10 2.5.2

More information

FM3318产品手册

FM3318产品手册 FM33A0xx 低功耗 MCU 芯片 简单 2017. 10 FM33A0xx 低功耗 MCU 芯片 版本 3.1 1 本资料是为了让用户根据用途选择合适的上海复旦微电子集团股份有限公司 ( 以下简称复旦微电子 ) 的产品而提供的参考资料, 不转让属于复旦微电子或者第三者所有的知识产权以及其他权利的许可 在使用本资料所记载的信息最终做出有关信息和产品是否适用的判断前, 请您务必将所有信息作为一个整体系统来进行评价

More information

FM33A0xxB简单技术手册

FM33A0xxB简单技术手册 FM33A0xxB 低功耗 MCU 芯片 简单 2018. 06 FM33A0xxB 低功耗 MCU 芯片 版本 1.0 1 本资料是为了让用户根据用途选择合适的上海复旦微电子集团股份有限公司 ( 以下简称复旦微电子 ) 的产品而提供的参考资料, 不转让属于复旦微电子或者第三者所有的知识产权以及其他权利的许可 在使用本资料所记载的信息最终做出有关信息和产品是否适用的判断前, 请您务必将所有信息作为一个整体系统来进行评价

More information

GH1220 Hall Switch

GH1220 Hall Switch Unipolar Hall Switch - Medium Sensitivity Product Description The DH220 is a unipolar h all switch designed in CMOS technology. The IC internally includes a voltage regulator, Hall sensor with dynamic

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica CP Chip Power ARM Cortex-M3 (STM32F) ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplication and hardware

More information

基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V 5.5V P

基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V 5.5V P 32 位 MCU ES32F0654 ES32F0653 产品简介 产品简介 数据手册 参考手册 上海东软载波微电子有限公司 2018-11-20 V1.0 1/22 基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM

More information

FM3318产品手册

FM3318产品手册 FM3316/3313/3312 低功耗 MCU 芯片 简单 2017. 09 FM3316/3313/3312 低功耗 MCU 芯片 1 本资料是为了让用户根据用途选择合适的上海复旦微电子集团股份有限公司 ( 以下简称复旦微电子 ) 的产品而提供的参考资料, 不转让属于复旦微电子或者第三者所有的知识产权以及其他权利的许可 在使用本资料所记载的信息最终做出有关信息和产品是否适用的判断前, 请您务必将所有信息作为一个整体系统来进行评价

More information

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi MICROCHIP EVM Board : APP001 1-1. APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pin 16 I/O Extension Interface 1-2. APP001 Block_A Block_B

More information

基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V VDD 5.

基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V VDD 5. 32 位 MCU ES32F0334 产品简介 产品简介 数据手册 参考手册 上海东软载波微电子有限公司 2018-11-20 V1.0 1/18 基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位

More information

Microsoft Word - LR1122B-B.doc

Microsoft Word - LR1122B-B.doc UNISONIC TECHNOLOGIES CO., LTD LOW NOISE ma LDO REGULATOR DESCRIPTION The UTC is a typical LDO (linear regulator) with the features of High output voltage accuracy, low supply current, low ON-resistance,

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

< B9E2BBFAD7DBBACFCDBCB2E1B6A8B8E52DC7E5CEFAB0E6312E706466>

< B9E2BBFAD7DBBACFCDBCB2E1B6A8B8E52DC7E5CEFAB0E6312E706466> XYR XYR XYR Tel: 00 567068 www.zolix.com.cn 99 Tel: 00 567068 www.zolix.com.cn XYR500 XYR500 XYR000 XYR0000-CH XYmm.5 0 5 z 5 mm 5050 0000 0000 mm 00 00 0000 mm 6.5 76 98 8 Kg 6 8 5 XY/μm 0/5 0XYμm 0.5

More information

Table of Contents A. Product Outline.. 3 B. Features.. 4 C. Block Diagram... 5 D. Pin Assignments. 6 E. Physical Specifications... 7 F. DC Characteris

Table of Contents A. Product Outline.. 3 B. Features.. 4 C. Block Diagram... 5 D. Pin Assignments. 6 E. Physical Specifications... 7 F. DC Characteris Micro SD Card TM Product Specification Version 1.0 Information in this document is provided in connection with TwinMOS products. No license, express or implied, by estoppels or otherwise, to any intellectual

More information

文件名

文件名 Microchip MCP3221 12 I 2 C TM 12 1LSB DNL 2LSB INL 250 A 5nA 1 A I 2 C TM I 2 C 100kHz I 2 C 400kHz 2 8 I 2 C 22.3ksps 2.7V 5.5V 40 +85 40 +125 SOT-23 Microchip MCP3221 12 A/D SOT-23 CMOS MCP3221 250 A

More information

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0 SH79F6431 1. SH79F6431 1T 8051 FLASH SH79F JET51 Keil µ vision JTAG 1.1. SH79F6431 LQFP64 1.2. (Target Board) SH79F6431 1 V 1.0 1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F6431 1 2 1 B. 1(VCC/GND)

More information

ICD ICD ICD ICD ICD

ICD ICD ICD ICD ICD MPLAB ICD2 MPLAB ICD2 PIC MPLAB-IDE V6.0 ICD2 usb PC RS232 MPLAB IDE PC PC 2.0 5.5V LED EEDATA MPLAB ICD2 Microchip MPLAB-IDE v6.0 Windows 95/98 Windows NT Windows 2000 www.elc-mcu.com 1 ICD2...4 1.1 ICD2...4

More information

, , STC11F01-35C-SOP16 RMB 1.99 STC10F04-35C-LQFP44 R MB 2. 99 , STC12C5A08AD-35C-LQFP44,RMB 7.5 , STC12C5201AD-SOP16,RMB 2.49 STC12C5202AD-LQFP32,RMB 3.99 0.1uF 0.1uF 0.1uF STC 89 E 2 PROM Data Flash

More information

untitled

untitled TD3200 V1.3 2005-02-25 BOM 31050155 518057 www.emersonnetworkpower.com.cn 800-820-6510 800021-230171410755-86010800 E-mailinfo@emersonnetwork.com.cn ... 1 1.1...1 1.2...2 1.3...4... 5 2.1...5 2.2...5

More information

, STC11F01-35C-SOP16 RMB 1.99 STC10F04-35C-LQFP44 R MB 2. 99

, STC11F01-35C-SOP16 RMB 1.99 STC10F04-35C-LQFP44 R MB 2. 99 , STC11F01-35C-SOP16 RMB 1.99 STC10F04-35C-LQFP44 R MB 2. 99 , STC12C5A08AD-35C-LQFP44,RMB 7.5 , STC12C5201AD-SOP16,RMB 2.49 STC12C5202AD-LQFP32,RMB 3.99 , , 0.1uF STC 89LE58 RD+ 32K 1280 16K+ 0.1uF

More information

r_09hr_practical_guide_kor.pdf

r_09hr_practical_guide_kor.pdf PRACTICAL GUIDE TO THE EDIROL R-09HR 3 4 PRACTICAL GUIDE TO THE EDIROL R-09HR 5 Situation 1 6 1 2 3 PRACTICAL GUIDE TO THE EDIROL R-09HR WAV MP3 WAV 24 bit/96 khz WAV 16 bit/44.1 khz MP3 128 kbps/44.1

More information

General Description: Preliminary TTP916 Consumer IC VCD/DVD LCD Green mode Stand-by mode( 1W ) Features: 2.2V-5.5V LCD RAM read condition 2.6V-5.5V RC

General Description: Preliminary TTP916 Consumer IC VCD/DVD LCD Green mode Stand-by mode( 1W ) Features: 2.2V-5.5V LCD RAM read condition 2.6V-5.5V RC General Description: IC VCD/DVD LCD Green mode Stand-by mode( 1W ) Features: 2.2V-5.5V LCD RAM read condition 2.6V-5.5V RC 15K 300pF 256KHZ default on 32 function key Built-in TT6221/TT6222 decoder Provide

More information

Microsoft Word - AP1515V02

Microsoft Word - AP1515V02 Document No. Rev.: V0.20 Page: 1 of 9 Revision History Rev. DRN # History Initiator Effective Date V01 V02 Initial document 黃宗文 Add second package description 葉宗榮 2014/05/15 2015/09/08 Initiator: 雷晨妤 (DCC)

More information

USB解决方案.ppt

USB解决方案.ppt USB USB? RS232 USB USB HID U modem ADSL cable modem IrDA Silabs USB CP210x USB UART USB RS-232 USB MCU 15 USB 12 FLASH MCU 3 USB MCU USB MCU C8051F32x 10 ADC 1.5%, Vref CPU 25MIPS 8051 16KB Flash -AMUX

More information

STC90C51RC-cn.pdf

STC90C51RC-cn.pdf STC90.STC90C5RC/RD+ STC90C5RC/RD+ 805 5.5V - 3.3V 3.6V - 2.0V V 040MHz080MHz48MHz 4K / 8K / 3K / 6K / 32K / 40K/ 48K/ 56K/ 6K 28052RAM I/O35/39P/P2/P3/P4805I/OP0 I/O ISP/ IAP RxD/P3.0, TxD/P3. EEPROM MAX80

More information

上海东软载波微电子有限公司 SMART

上海东软载波微电子有限公司 SMART 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P/ES7P OTPMCU A/D I/O OTP ROM RAM ES7P7x ES7P7PSD SOP +input 0 ES7P7PSF SOP0 7+input 0 ES7P7PRF SSOP0 7+input 0 EEPROM MHz 0 ~.V ~.V MHz, KHz MHz 0 ~.V

More information

DATASHEET SEARCH SITE ==

DATASHEET SEARCH SITE == , STC 89 1280 8/16/32/64k IAP SRAM ISP P0 8 P1 8051 E 2 PROM + P2 IAP STC Data Flash P4.0P4.3 P3 MAX810 I/O P4 A/D UART A / D 3 STC 89 P I I E 2 P 4 S A ROM EMI P P STC 89C51 RC 4K 512 2K STC 89C52 RC

More information

2 PIC PIC 1 / CPU PIC MCU PIC RC

2 PIC PIC 1 / CPU PIC MCU PIC RC 2 PIC PIC 1 /... 2-2 2... 2-3 3... 2-4 4... 2-4 5... 2-4 6 CPU... 2-5 7 PIC MCU... 2-6 8 PIC16... 2-6 9... 2-7 10... 2-7 11 RC... 2-7 12... 2-8 13... 2-8 14 NOP... 2-9 15 PMD... 2-9 16... 2-10 17 WDTWDT...

More information

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input 06 6 6 HR7PPSC HR7PPSD SOP SOP6 +input 06 6 +input 06 6 6 6 HR7PERB SSOP0 7+input 06 6 6 HR7PESC

More information

untitled

untitled 0755-82134672 Macroblock MBI6655 1 LED Small Outline Transistor 1A 3 LED 350mA 12V97% 6~36 Hysteretic PFM 0.3Ω GSB: SOT-89-5L (Start-Up) (OCP) (TP) LED Small Outline Package 5 MBI6655 LED / 5 LED MBI6655

More information

untitled

untitled Portable Electrode B91901070 B91901133 量 ECG 路 更 量 路 performance RF 量 路 Portable Electrode 便利 量 portable electrode 路 濾 濾 行 electrode 類 FM modulation scheme ECG 類 數 RF RF demodulate 利 Elvis Labview ECG

More information

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi SPHE8202R Design Guide V2.0 JUN, 2007 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com SPHE8202R Design Guide Important Notice

More information

STM32 STM3232ARM Cortex-M3 Cortex-M3 STM32Thumb-2 STM32MCU Cortex-M3ARM MCU STM32ARM 32 ARMCortex-M3 32 STM32 Cortex-M3 ARM Cortex-M3 ARM ARM

STM32 STM3232ARM Cortex-M3 Cortex-M3 STM32Thumb-2 STM32MCU Cortex-M3ARM MCU STM32ARM 32 ARMCortex-M3 32 STM32 Cortex-M3 ARM Cortex-M3 ARM ARM STM32 ARM Cortex -M3 32 www.st.com/mcu www.stmicroelectronics.com.cn/mcu STM32 STM3232ARM Cortex-M3 Cortex-M3 STM32Thumb-2 STM32MCU 32 1632 Cortex-M3ARM MCU STM32ARM 32 ARMCortex-M3 32 STM32 Cortex-M3

More information

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family small, speedy, safe Eextremely modular Up to 64 modules per bus de Quick reaction time: up to 20 µs A new Member of the Cube Family Murrelektronik s modular I/O system expands the field-tested Cube family

More information

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x 115 AVR W.V. Awdrey ATMEL AVR PIC AVR PIC AVR RISC AVR PIC AVR AVR AVR AVR AVR ATtiny15 AVR AVR AVR RAM ROM 121 116 122 ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r31 3 16 X Y Z 6-1 118 7 0

More information

a) Rating and Characteristics Disk Type 05D *Rated Rated Peak Varistor Clamping Typ. cap. Series Part No. Rated Voltage Energy Rated Power Current(8 2

a) Rating and Characteristics Disk Type 05D *Rated Rated Peak Varistor Clamping Typ. cap. Series Part No. Rated Voltage Energy Rated Power Current(8 2 ZR NR Series (Radial type) Disk type general use ZR type (Low voltage) and NR type (Medium/High Voltage) are for protection of electronics and control eqiupments from surge and noise. 1. Features Superior

More information

Pin Configurations Figure2. Pin Configuration of FS2012 (Top View) Table 1 Pin Description Pin Number Pin Name Description 1 GND 2 FB 3 SW Ground Pin.

Pin Configurations Figure2. Pin Configuration of FS2012 (Top View) Table 1 Pin Description Pin Number Pin Name Description 1 GND 2 FB 3 SW Ground Pin. Features Wide 3.6V to 32V Input Voltage Range Output Adjustable from 0.8V to 30V Maximum Duty Cycle 100% Minimum Drop Out 0.6V Fixed 300KHz Switching Frequency 12A Constant Output Current Capability Internal

More information

untitled

untitled XZL024 http://item.taobao.com/item.htm?id=6321822194 1 1 1.1 1.2 1.3 1.4 2 2.1 2.2 2.3 3 USBee Suite 3.1 3.2 3.3 3.4 4 RS232 RS485 RS422 CAN http://item.taobao.com/item.htm?id=6321822194 2 1 XZL024 PC

More information

ESP32-WROOM URL Wi-Fi Wi-Fi Bluetooth SIG 2018

ESP32-WROOM URL Wi-Fi Wi-Fi Bluetooth SIG 2018 ESP32-WROOM-32 2.6 2018 www.espressif.com ESP32-WROOM-32 www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 2018 1 1 2 3 2.1 3 2.2 3 2.3 Strapping

More information

2 14 PORTC.1 PORTB.3 PORTA.2/T0 GND PORTB.2 PORTA.0 PORTC.3 PORB.0/OSCO PORTB.1/OSCI PORTC.0 PORTC.2 SH69P21 /SOP PORTA

2 14 PORTC.1 PORTB.3 PORTA.2/T0 GND PORTB.2 PORTA.0 PORTC.3 PORB.0/OSCO PORTB.1/OSCI PORTC.0 PORTC.2 SH69P21 /SOP PORTA 1K 4 SH6610C 4 OTP ROM 1K X 16 RAM 88 X 4-24 - 64 2.4V-5.5V - fosc = 30kHz - 4MHz, = 2.4V - 5.5V - fosc = 4MHz - 8MHz, = 4.5V - 5.5V 11 CMOS I/O 4 ( ) 8 / - 0 PORTA.0 ( / / ) - 0 - PORTB ( ) ( ) - 32.768kHz,

More information

Microsoft Word - V1_2010513_王翔会计习题课二.docx

Microsoft Word - V1_2010513_王翔会计习题课二.docx 2015 注 册 会 计 师 会 计 习 题 班 二 王 翔 肆 大 会 计 高 级 培 训 师 第 二 章 金 融 资 产 1.A 公 司 于 2013 年 1 月 2 日 从 证 券 市 场 上 购 入 B 公 司 于 2013 年 1 月 1 日 发 行 的 债 券, 该 债 券 3 年 期, 票 面 年 利 率 为 4.5%, 到 期 日 为 2016 年 1 月 1 日, 到 期 日 一

More information

Microsoft Word - ML63S_8.doc

Microsoft Word - ML63S_8.doc Series DC-DC Converter Application ower Source of ortable roducts almtops ortable Cameras and Video Recorders Wireless mouse and keyboard Ordering Information Features Small number of external components:

More information

The BIST Scheme for Digital-to Analog converters 1

The BIST Scheme for Digital-to Analog converters 1 The BIST Scheme for Digital-to Analog converters . :... 03.DAC :... 05. :... 08 ( ) :... 08 ( ) :... 08. :... ( ) OP AMP... ( ) Charge Pump Circuit... 3 ( ) Analog Summer Circuit... 4 ( ) CMOS Schmitt

More information

A (QFP-48-12x ) RANGE IF70K AL-OUT AL-SIG VSS CLKFREQ-SEL HR-SET MIN-SET AM/FM-SEL AL-DISP A AL-

A (QFP-48-12x ) RANGE IF70K AL-OUT AL-SIG VSS CLKFREQ-SEL HR-SET MIN-SET AM/FM-SEL AL-DISP A AL- AM/FM SC361 0 AM/FM 12 CMOS QFP-48-12x12-0.8 FM 150M Hz AM 30 MHz LCD 3 13 1/3 4 32.768kHz FM 10.7 MHz 70 khz AM 455kHz 12 1.8V ~ 3.3V QFP44-10 x10-0.8 A B C D QFP-48-12x12-0.8 QFP-44-10x10-0.8 COB (QFP

More information

Arduino 1-1 Arduino 1-2 Arduino 1-3 Arduino IDE

Arduino 1-1 Arduino 1-2 Arduino 1-3 Arduino IDE Arduino 1-1 Arduino 1-2 Arduino 1-3 Arduino IDE Arduino 01 02 03 04 05 06 07 08 1-1 Arduino 2005 Massimo Banzi David Cuartielles David Mellis Arduino Arduino Arduino CC Arduino Arduino Arduino Arduino

More information

...2 SK 500 G SK 500 G / /

...2 SK 500 G SK 500 G / / SK 500 ...2 SK 500 G3...3... 3... 4...5...6 SK 500 G3... 6... 7...8... 8... 8 /... 8... 9... 11... 12 /... 12... 13... 14... 16... 17... 17... 18... 19... 21 Menu... 21 Advanced Menu... 24... 28... 28...

More information

35 007 373 9 092 44.472 1 175 248 731 773 1 907 021 10 162 706 19 1808 1847 3 1830 325 X (1) (2) (3) 406 453 8. Y X 2. 3. 4 5 6 7 8 9 10....... 11.

More information

Microsoft Word - SWRH-B series of Shielded SMD Power Inductor.doc

Microsoft Word - SWRH-B series of Shielded SMD Power Inductor.doc Wire Wound SMD Power Inductors SWRH-B Series Operating Temperature: -25 ~+105 (Including self-heating) FEATURES Various high power inductors are superior to be high saturation Suitable for surface mounting

More information

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC MODEL 61509/61508/61507/ 61609/61608/61607 PROGRAMMABLE AC POWER SOURCE MODEL 61509/61508/61507/ 61609/61608/61607 61509/61609: 6kVA 61508/61608: 4.5kVA 61507/61607: 3kVA : 0-175V/0-350V/Auto : DC, 15Hz-2kHz

More information

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual Subject LD5515 Demo Board Model Name (5V/1.5A) Key Features Built-In Pump Express TM Operation Flyback topology with PSR Control Constant Voltage Constant Current High Efficiency with QR Operation (Meet

More information

ESP32-WROOM-32D ESP32-WROOM-32U URL Wi-Fi Wi-Fi Bluetooth SIG 208

ESP32-WROOM-32D ESP32-WROOM-32U     URL Wi-Fi Wi-Fi Bluetooth SIG 208 ESP32-WROOM-32D & ESP32-WROOM-32U.7 208 www.espressif.com ESP32-WROOM-32D ESP32-WROOM-32U www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 208 2

More information

untitled

untitled 0755 85286856 0755 82484849 路 4.5V ~5.5V 流 @VDD=5.0V,

More information

Intersil精密模拟器件

Intersil精密模拟器件 Intersil 1nV/vHz & 0.00017% 1nV/ Hz Hz IC +125 DCPs VOUT I 2 C Intersil 450nA ( ) 2nA na / IntersilIC 2.8mm 1.6mm / V I SS µa (Max.) V OS (mv) (Max.) I B (pa) @1kHz (nv/ Hz ) khz (Tye.)(dB) (Tye.)(dB)

More information

untitled

untitled Macroblock 6~36 1A - (PWM) - (PWM) 1,024 3 LED 350mA12V 97% Hysteretic PFM 0.3Ω (UVLO)(Start-Up)(OCP) (TP) LED 6 PCB Mini Small Outline Package GMS : MSOP-8L-118mil Small Outline Package GD: SOP8L-150-1.27

More information

Microsoft Word - AUCOL_2007JUN19_BOE_BAB_SAF_INF_POT_TA_999.doc

Microsoft Word - AUCOL_2007JUN19_BOE_BAB_SAF_INF_POT_TA_999.doc EMI / EMC 设 计 秘 籍 电 子 产 品 设 计 工 程 师 必 备 手 册 目 录 一 EMC 工 程 师 必 须 具 备 的 八 大 技 能 二 EMC 常 用 元 件 三 EMI/EMC 设 计 经 典 85 问 四 EMC 专 用 名 词 大 全 五 产 品 内 部 的 EMC 设 计 技 巧 六 电 磁 干 扰 的 屏 蔽 方 法 七 电 磁 兼 容 (EMC) 设 计 如 何

More information

2005.book

2005.book ...4... 4... 7...10... 10... 10... 10... 10... 11... 11 PCC... 11 TB170... 12 /... 12...13... 13 BP150 / BP151 / BP152 / BP155... 14...15... 15... 15... 15... 15... 15... 15... 16 PS465 / PS477... 17 PS692

More information

OVLFx3C7_Series_A3_bgry-KB.pub

OVLFx3C7_Series_A3_bgry-KB.pub (5 mm) x High brightness with well-defined spatial radiation patterns x U-resistant epoxy lens x Blue, green, red, yellow Product Photo Here Each device in the OLFx3C7 series is a high-intensity LED mounted

More information

邏輯分析儀的概念與原理-展示版

邏輯分析儀的概念與原理-展示版 PC Base Standalone LA-100 Q&A - - - - - - - SCOPE - - LA - - ( Embedded ) ( Skew ) - Data In External CLK Internal CLK Display Buffer ASIC CPU Memory Trigger Level - - Clock BUS Timing State - ( Timing

More information

操作指导手册

操作指导手册 GLI P53 C P53 ph/orp 1 Adobe Acrobat GLI gliint.com GLI GLI Adobe Adobe adobe.com 2 FMRC 3600 3611 3810 CSA C22.2 142 C22.2 213 EN 61010-1 TB2 TB3 1 2 3 70 3 GLI P53 GLI GLI GLI GLI GLI GLI 4 GLI ph ph

More information

SO E (COG) VERSION1.0 COG COG SO12864FPD-14ETNE SO12864SYD-14ETNE SO12864SBD-14ETNE FSTN ~+70 FPC STN ~+70 FPC STN ~+70 FP

SO E (COG) VERSION1.0 COG COG SO12864FPD-14ETNE SO12864SYD-14ETNE SO12864SBD-14ETNE FSTN ~+70 FPC STN ~+70 FPC STN ~+70 FP SO12864-14E (COG) VERSION10 COG COG SO12864FPD-14ETNE SO12864SYD-14ETNE SO12864SBD-14ETNE FSTN 6 00-20~+70 FPC STN 6 00-20~+70 FPC STN 6 00-20~+70 FPC COG LED SO12864-14ESW 2S 30V 30~36mA SO12864-14ESB

More information

αlpha-do1000 / αlpha-do1000 / EUTECH Eutech Eutech Eutech Instruments Pte Ltd Eutech Eutech Instruments (S) Pte Ltd Blk 55 Ayer Rajah Cresce

αlpha-do1000 / αlpha-do1000 / EUTECH Eutech Eutech Eutech Instruments Pte Ltd Eutech Eutech Instruments (S) Pte Ltd Blk 55 Ayer Rajah Cresce EUTECH INSTRUMENTS αlpha-do1000 / Dissolved Oxygen Controller αlpha DO1000 MEAS 8.08 mg/l 25.0 o C ATC ALARM CAL REL A REL B REL A ENTER ESC AUTO MANU REL B 68X242101 09/98 1 αlpha-do1000 / αlpha-do1000

More information

...2 SK 100 G SK 100 G / /

...2 SK 100 G SK 100 G / / SK 100 ...2 SK 100 G3...3... 3... 4...5...6 SK 100 G3... 6... 7...8... 8... 8 /... 8... 9... 10... 11 /... 11... 12... 13... 15... 16... 16... 17... 18... 20 Menu... 20 Advanced Menu... 23... 26... 26...

More information

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor 1 4.1.1.1 (Load) 14 1.1 1 4.1.1.2 (Save) 14 1.1.1 1 4.1.2 (Buffer) 16 1.1.2 1 4.1.3 (Device) 16 1.1.3 1 4.1.3.1 (Select Device) 16 2 4.1.3.2 (Device Info) 16 2.1 2 4.1.3.3 (Adapter) 17 2.1.1 CD-ROM 2 4.1.4

More information

Microsoft Word - BL5372_UMAN_V1.1.doc

Microsoft Word - BL5372_UMAN_V1.1.doc 低 功 耗 实 时 时 钟 芯 (RTC)BL5372 用 户 手 册 V1.2 ( 2012.11.12) 上 海 贝 岭 股 份 有 限 公 司 Shanghai Belling Co., Ltd. 1. 概 述 低 功 耗 实 时 时 钟 芯 片 (RTC)BL5372 BL5372 是 一 款 低 功 耗 实 时 时 钟 电 路, 通 过 I 2 C 两 线 接 口 电 路 可 以 与 CPU

More information

Model P53 pH-ORP Analyzer CH.doc

Model P53 pH-ORP Analyzer CH.doc 1 Adobe Acrobat GLI gliint.com GLI GLI Adobe Adobe adobe.com 安恒公司 http://www.watertest.com.cn Tel:010-88018877( 北京 ) 021-63176770( 上海 ) 2 FMRC 3600 3611 3810 CSA C22.2 142 C22.2 213 EN 61010-1 TB2 TB3

More information

行业

行业 PCL-1800 PCL-1800 1.1...2 1.1.1 1K FIFO...2 1.1.2...2 1.1.3 16...3 1.1.4...3 1.1.5...3 1.1.6...3 1.2...3 1.3...4 1.4...5 2.1...5 2.2...6 2.2.1...6 2.2.2...6 2.2.3 D/A...7 2.2.4...7 2.2.5 TRIG0 GATE0...8

More information

(Microsoft Word W Technical document for \300\366\304\313.doc)

(Microsoft Word W Technical document for \300\366\304\313.doc) 1/15 V ALTIS-3535-3W-W-V Technical Document Features...2 Application...2 Environmental Compliance...2 Absolute Maximum Ratings...3 Flux Characteristics (Tj=50, IF=700mA)...4 Mechanical Dimension...5 Pad

More information

Microsoft Word - SDL600-zh-CN_V1.5.doc

Microsoft Word - SDL600-zh-CN_V1.5.doc 用 户 指 南 声 级 计 SD 卡 实 时 数 据 记 录 仪 型 号 SDL600 A 简 介 恭 喜 您 选 购 Extech SDL600 型 声 级 计 该 仪 表 可 显 示 并 存 储 声 压 级 范 围 在 30 至 130 db 之 间 的 读 数 SDL600 型 声 级 计 符 合 ANSI 和 IEC 61672 2 级 标 准, 具 有 A 和 C 频 率 加 权 以 及

More information

(02)2809-4742 (02)2809-4742 27 28 (02)2809-4742 85 3 (02)3343-3300 156 12 (02

(02)2809-4742 (02)2809-4742 27 28 (02)2809-4742 85 3 (02)3343-3300  156 12 (02 3475 http://mops.tse.com.tw http://www.ic-fortune.com (02)2809-4742 denis.lee@ic-fortune.com (02)2809-4742 nanhui.lee@ic-fortune.com 27 28 (02)2809-4742 85 3 (02)3343-3300 http://www.fhs.com.tw 156 12

More information

Microsoft Word - PZ series.doc

Microsoft Word - PZ series.doc 叠 层 片 式 铁 氧 体 磁 珠 P 系 列 Multilayer Chip Ferrite Bead P Series Operating Temp. : -4 ~ +8 特 征 FEATUES 内 部 印 有 银 电 极 的 叠 层 结 构, 铁 氧 体 屏 蔽 无 串 扰 Internal silver printed layers and magnetic shielded structures

More information

Tel: Fax: TTP-344M/246M /

Tel: Fax: TTP-344M/246M / TTP-344M/246M / True Type font David Turner, Robert Wilhelm Werner Lemberg The Free Type Project 235 16 8 2 i- TTP-344M/246M...1 1.1...1 1.2...1 1.2.1...1 1.2.2 /...2 1.2.3...2 1.2.4...2 1.3...3 1.4...3

More information

Tel:010-62981668-2930 1

Tel:010-62981668-2930  1 Access 93C46 with SPI function V1.0.0 Jan. 31, 2005 http://www.sunplusmcu.com Tel:010-62981668-2930 http://www.sunplusmcu.com E-mail:mcu@sunplus.com.cn 1 0 0...2 1...3 2...4 2.1...4 2.2...5 3...6 3.1 AT93C46...6

More information

. Land Patterns for Reflow Soldering.Recommended Reflow Soldering Conditions (For Lead Free) TYPE PID0703 PID0704 PID1204 PID1205 PID1207 PID1209 L(mm

. Land Patterns for Reflow Soldering.Recommended Reflow Soldering Conditions (For Lead Free) TYPE PID0703 PID0704 PID1204 PID1205 PID1207 PID1209 L(mm .Features: 1.Magnetic Shielded surface mount inductor with high current rating. 2.Low resistance to keep power loss minimum..applications: Excellent for power line DC-DC conversion applications used in

More information

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe   Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L 1 SH88F516 8051 64K FLASH 1024 EEPROM SH88F516 JET51 S-Lab Keil µ vision ISP51 Keil µ vision ISP51 ISP51 PC RS232 MCU SH88F516 SH88F516 1.1 SH88F516 1.1 SH88F516 SH88Fxx: QFP44, DIP40 RAM Flash E2 ADC

More information

5991-1117CHCN.indd

5991-1117CHCN.indd 开 关 电 源 测 量 应 用 指 南 使 用 Agilent InfiniiVision 3000/4000 X 系 列 示 波 器 并 结 合 开 关 电 源 测 量 选 件 简 介 配 有 开 关 电 源 测 量 选 件 的 Agilent 3000 和 4000 X 系 列 示 波 器 能 够 提 供 一 个 快 速 且 方 便 的 方 法, 帮 助 您 分 析 开 关 电 源 的 可 靠

More information

MODEL 62000H SERIES 5KW / 10KW / 15KW 0 ~ 375A 0 ~ 1000V/2000V( ) : 200/220Vac, 380/400Vac, 440/480Vac 3U/15KW / & 150KW / ( 10 ms ~ 99 hours)

MODEL 62000H SERIES 5KW / 10KW / 15KW 0 ~ 375A 0 ~ 1000V/2000V( ) : 200/220Vac, 380/400Vac, 440/480Vac 3U/15KW / & 150KW / ( 10 ms ~ 99 hours) MODEL 62000H SERIES 5KW / 10KW / 15KW 0 ~ 375A 0 ~ 1000V/2000V( ) : 200/220Vac, 380/400Vac, 440/480Vac 3U/15KW / &150KW / ( 10 ms ~ 99 hours) 10 100 / PROGRAMMABLE DC POWER SUPPLY MODEL 62000H SERIES USB

More information

Current Sensing Chip Resistor

Current Sensing Chip Resistor 承認書 APPROVAL SHEET 廠商 : 客戶 : 麗智電子 ( 昆山 ) 有限公司 核準審核制作核準審核簽收 公 司 章 公 司 章 Liz Electronics (Kunshan) Co., LTD No. 989, Hanpu Road Kunshan City Jiangsu Province China Tel:0086-0512-57780531 Fax:0086-0512-57789581

More information

SPMC75F2413A_EVM_使用说明_V1.2.doc

SPMC75F2413A_EVM_使用说明_V1.2.doc SPMCFA EVM V. - Jan 0, 00 http://www.sunplusmcu.com ................ SPMCFA........... EEPROM.... I/O............ LED.... LED.... RS-........0............ EVM................ 0.....0..... SPMCFA EVM SPMCFA

More information

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD 1/16 T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma (@T = 25 C, @Vdd = 3V) VDD 1.8 3.0 3.6 V (1) 0.08 0.3 µa Idd 300 450 500 µa 0.25

More information

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010)

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010) ,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN 7-5635-1099-0...............TP36 CIP (2005)076733 : ( 10 ) :100876 : (010 )62282185 : (010)62283578 : publish@bupt.edu.cn : : : 787 mm960 mm 1/

More information

行业

行业 PCI-1710 1.1...2 1.1.1...2 1.1.2...2 1.1.3 FIFO( )...2 1.1.4...2 1.1.5...2 1.1.6 16 16...3 1.1.7...3 1.2...3 1.3...3 2.1...3 2.2...4 2.2.1...4 2.2.2...5 2.3...9 2.3.1...10 2.3.2... 11 2.3.3...12 2.3.4...12

More information

PROTEUS VSM

PROTEUS  VSM Proteus VSM-- 1/1 PROTEUS VSM Proteus VSM ISIS Prospice VSM Proteus PROSPICE ARM7 PIC AVR HC11 8051 CPU LCD RS232 LED IAR Keil Hitech C make 6000 SPICE SPICE DLL SPICE3F5 14 FM PROTEUS PCB LED/LCD / 300

More information

+01-10_M5A_C1955.p65

+01-10_M5A_C1955.p65 Notebook PC User s Manual C1955 1.01 2005 4 2 50 70 3 (0 30 ) (50 122 ) 4 pre-load Fn+F7 5 ...2...3...6 1-1...12...12...13...14...15...16...17 1-2...18 1-3...20...20...21...21...21...21...22...22...22...22...23...23

More information

行业

行业 PCI-1711/1711L 1.1...2 1.1.1...2 1.1.2...2 1.1.3 FIFO...2 1.1.4...2 1.1.5 16 16...3 1.2...3 2.1...3 2.2...3 2.2.1... 2.2.2...8 2.3...10 2.3.1...10 2.3.2... 11 2.3.3...12 2.3.4...13 2.4.5...14 3.1...16

More information

DataNet_Solution2.ai

DataNet_Solution2.ai ourtec FOURIERTECHNOLOGIES DataNet 24 / 7 创新的 监控解决方案 DataNet ZigBee DataNet ZigBee 2.4 GHz 65,000 4 20 ma, 0 1 V,, 2, NTC, J 2.4 GHz API www.fourtec.com DataNet 解决方案智能的无线数据记录网络 DataNet 6 DataNet / DataNet

More information

Comp-AC ACS to 2.2 kw

Comp-AC ACS to 2.2 kw Comp-AC ACS100 0.12 to 2.2 kw ACS 100 3BFE 64307622 R0125 3ABD00008839 C 2002 11 20 2000 ABB Industry Oy ACS 100 5 (U c+, U c- ) G! (U1, V1, W1 U2, V2, W2 U c+, U c- )! ACS400 ( RO1, RO2, RO3)! ACS100!

More information

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg 1 1 a. 0.5V 5V 1Hz 1MHz b. 0.1% 2 : a. 0.5V 5V 1Hz 1MHz b. 0.1% (3) a. 0.5V 5V 100 s b. 1% 4 1 10 5 1MHz 6 1 2 1 0.1Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15

More information

www. chromaate. com Chroma H I-V (MPPT) / 6630/ /61500/ / Chroma

www. chromaate. com Chroma H I-V (MPPT) / 6630/ /61500/ / Chroma Power Electronics Testings www.chromaate.com Turn-key Solutions Provider www. chromaate. com Chroma 1. 62000H I-V (MPPT) 4 5 9 3 2. / 6630/66200 3. 6500/61500/61600 4. / 63800 Chroma Model 62000H 62000H

More information

绯荤粺A4鐗堟湰鍗曢〉

绯荤粺A4鐗堟湰鍗曢〉 2011 +86-10-60290088 600 690 470 970 670 1000 194 130 294 65 85 150 82 90 +86-10-60290088 +86-10-60290088 +86-10-60290088 +86-10-60290088 +86-10-60290088 +86-10-60290088

More information

untitled

untitled 0000137925 REV 1.0 ... 4... 5... 6... 7... 8... 9... 11... 12... 13... 14... 15... 17... 18... 20... 22 ( 1)... 25... 26 ( 2)... 28 \ 1 ( 2A)... 29 \ 2 ( 2B)... 30 SSR ( 2C)... 31 \ ( 2D)... 32 \ ( 3A)...

More information

MT5V4Borchure.cdr

MT5V4Borchure.cdr EasyView 觸控科技 引領未來 MT 500 Professional Human Machine Interface Touch Screen for Industrial Applications ISO 9001:000 Offline Simulator EasyBuilder Online Simulator MT500 Online Simulator Direct Online

More information

Datasheet SHT1x (SHT10, SHT11, SHT15) SMD SHT1x ( SHT10, SHT11 SHT15) Sensirion SHT1x CMOSens SHT1X LCC 14 A/D SHT7X PCB SHTA ± ±

Datasheet SHT1x (SHT10, SHT11, SHT15) SMD SHT1x ( SHT10, SHT11 SHT15) Sensirion SHT1x CMOSens SHT1X LCC 14 A/D SHT7X PCB SHTA ± ± Datasheet SHTx (SHT0, SHT, SHT5) SMD SHTx ( SHT0, SHT SHT5) Sensirion SHTx CMOSens SHTX LCC 4 A/D SHT7X PCB SHTA 7.47 ±0.05 4. ±0. 0.95 ±0..83 ±0.05.7 ±0.05 3.5 ±0..0 ±0..5 ±0. sensor opening.5 ±0. A5Z

More information

Microsoft Word - AN-978 _part1_.doc

Microsoft Word - AN-978 _part1_.doc AN978 MOS ( ) MOS MGD MOS MGD MGD BUCK SD P MOSFET 1. MOSFET IGBT 1 1 1 10~15V 2 3 1 MOS MGDs MOSFET IGBT 2 IR2110 1 ( MOSFET ) 2 , MOSFET "",,., 3 2 HEX-2 25ns 17ns HEXFET (V CC =15V, 9) HEX-3 HEX-4 HEX-5

More information

DS1870 rev1 DS.C

DS1870 rev1 DS.C Rev 1; 5/4 AB LDMOS ( ) (LUT)256 A/D(ADC) LDMOS RF 13 ADCV CC V D I D1 I D2 ADC Hi/Lo 32 I 2 C TM 8 5V 16 TSSOP -4 C +95 C I 2 C Philips Corp. Maxim Integrated Products, Inc. I 2 C Philips I 2 C Philips

More information

untitled

untitled DOP www.deltaww.com DOP (RS232/RS485/ RS422) DOP USB SD 30 100 PLC Best Performance Huma Beautiful Display Beneficial Feature 1 DOP LED 65536 2D n Machine Interface s 2 DOP-B DOP-B 4.3 inches Wide B03S211

More information

《手机维修原理及维修实例详解》目录

《手机维修原理及维修实例详解》目录 1 IC MP3 MP4 2 CPU MP3 MP4 13M 1 LCD 2 13MHz 3 CONTACT SERVICE T SIM MP3 MP4 2 4 FLASH ROM EEPROM RAM SIM CPU CPU RST CPU FLASH Flash CE CS Flash DE WE RST Flash Flash FLASH EEPROM Phone Locked CON-TACTSERVICE

More information

SIGNUM 3SB3

SIGNUM 3SB3 SGNUM * 6, 8 6, 8 6, 8 8 : : : : ( ) Ø22mm 6, 8 6, 8 6, 8 8 : : : : ( ) 7, 10 7, 9 7, 8 : (2 /3 ) RNS ( SB) : : CES / BKS : ( / ) 10 7, 8 : (2 /3 ) RNS ( 360012K1) : : MR : 7 Ø22mm 16 16 16 16 : : : :

More information