國立台灣科技大學電子工程系

Size: px
Start display at page:

Download "國立台灣科技大學電子工程系"

Transcription

1 國立台灣科技大學電子工程系 101 學年度第一學期專題研究 實務專題總報告 FPGA-based Ring Oscillator 之設計與實現 -I 組別 : 100C25 學生 : 胡閎閔 B 指導老師 : 吳乾彌教授 中華民國 102 年 01 月 16 日

2 國立台灣科技大學電子工程系 101 學年度第一學期專題研究 實務專題總報告 FPGA-based Ring Oscillator 之設計與實現 -I 組別 : 100C25 學生 : 胡閎閔 B 指導老師簽名 : 中華民國 102 年 01 月 16 日 1

3 題目 : FPGA-based Ring Oscillator 之設計與實現 -I 學生姓名及學號 : 胡閎閔 B 組別 : 100C25 指導老師 : 吳乾彌教授 2

4 目錄 一 摘要二 動機三 研究方法 3. 1 電路架構 3. 2 示波器上顯示計數原理四 實驗 4. 1 除頻 4. 2 輸出五 實驗結果 5.1 一個 NOR 閘震盪器 5.2 兩個 NOR 閘震盪器 5.3 五個 NOR 閘震盪器六 討論七 參考資料 3

5 一 摘要近年 Ring Oscillator 早以被廣泛的使用在許多積體電路上 這次專題的目的是利用 FPGA 去實現 Ring Oscillator, 並進一步利用 Ring Oscillator 做更多的應用, 我們利用 Quratus II 這套軟體先撰寫 Code 程式碼且測試其輸出波型與頻率, 預計可以達到 990M Hz 左右的頻率 我們利用軟體的方式取得時脈頻率, 不用依靠實體振盪器來取得時脈頻率, 可以大幅的減少成本, 但頻率會比實體震盪器還來的不穩定 二 動機 Ring Oscillator 很早就已經廣泛的使用在各個積體電路中, 也已經有各種利用 Ring Oscillator 實現的方式, 因此我們選擇 FPGA 來完成 Ring Oscillator, 並利用 SWITCH 和示波器顯示其頻率數值 4

6 三 研究方法根據以前所學過的數位邏輯基本概念,Ring Oscillator 的架構並不困難, 最基本的設計方法就是利用奇數個 NOT 閘將他們串連在一起, 並將最後一個 NOT 閘的輸出再回授到第一個 NOT 閘的輸入即可, 這樣便是一個 Ring Oscillator 但因為 NOT 閘在 FPGA 裡會被化簡, 所以我們使用 NOR 閘來做 Ring Oscillator, 而我們利用之前所學過的 FPGA Verilog 語法來撰寫 Ring Oscillator 控制方法是利用邏輯閘級數來控制震盪頻率, 預計可以震盪出 1GHz 左右的頻率 我們利用 NOR 閘當作開關, 然後 NOR 閘輸出接到奇數的 NOR 閘的輸入, 然後在 NOR 閘的 En En1 En2 En3 腳輸入低電位時就開始會震盪並輸出至 out, 如下圖 En En1' En2' En3' out Table: En En1' En2' Em3' 0 out 1 0 5

7 3. 1 電路架構 EN NOR 閘震盪 除頻器除 128k Hz 多工器 16x1 選擇輸出 示波器顯示頻率 電路介紹 : 當 EN =0 時, 奇數個 NOR 閘串聯之震盪器將開始震盪 輸出的頻率經過除頻器, 除以 128K Hz 除後的頻率再由 16x1 多工器, 選擇輸出頻率, 經由示波器顯示, 讓肉眼得以看見, 並計算 當 EN =1 時, 則震盪器停止震盪 3. 2 示波器上顯示計數原理 方塊圖 : EN NOR 閘震盪 除頻器除 128k Hz 示波器顯示頻率 實驗頻率 = [1/( 示波器上的讀值 20u s)] 128k Hz 使用 20us/DIV 顯示 6

8 四 實驗 首先我們以 Gate Level Model 架構來描寫 NOR 閘 邏輯圖, 如下 : NOR 閘程式碼, 如下 : module nt(in1,in2,out); input in1,in2; output out; assign out=~(in1 in2); endmodule 在 RTL Viewer 裡的方塊圖 : 三個 NOR 閘串接加一個 OR 閘作致能開關 : En En1' En2' En3' out 7

9 程式碼 : module os3(en,en1,en2,en3,out); input en,en1,en2,en3; output out; wire [3:0]a; nt a1(a[1],en1,a[2]); nt a2(a[2],en2,a[3]); nt a3(a[3],en3,a[0]); assign a[1]=en a[0]; assign out=a[0]; endmodule 在 Quratus II 這套軟體裡三個 NOR 閘的 RTL Viewer 方塊圖, 如下 : 因使用 Hierarchy 的觀念呼叫, 所以在 RTLViewer 圖中,NOR 閘 是以長方圖呈現 8

10 4. 1 除頻但我們 NOR Gates 輸出頻率在示波器裡肉眼上, 是觀測不到的, 所以我們在 NOR Gates 之後加了降頻器 我們使用除二的除頻器, 再利用 Hierarchy 的觀念將除二的除頻器組出各式各樣的除頻器, 如下 : 2 Hz 除頻器 : module count2(clk,out,en); input clk,en; output out; reg out; always@(posedge clk ) begin if(en) out<=out; else out<=~out; end endmodule 在 Quratus II 這套軟體裡 2 Hz 除頻器的 RTL Viewer 方塊圖, 如下 : 9

11 1K Hz 除頻器 : module counter1k(clk,out,en); input clk,en; output out; wire [8:0]out1; count2 a1(clk,out1[0],en); count2 a2(out1[0],out1[1],en); count2 a3(out1[1],out1[2],en); count2 a4(out1[2],out1[3],en); count2 a5(out1[3],out1[4],en); count2 a6(out1[4],out1[5],en); count2 a7(out1[5],out1[6],en); count2 a8(out1[6],out1[7],en); count2 a9(out1[7],out1[8],en); count2 a10(out1[8],out,en); endmodule 1K Hz 除頻器是由十個 2K Hz 的除頻器組成 下 : 在 Quratus II 這套軟體裡 1K Hz 除頻器的 RTL Viewer 方塊圖, 如 利用 1K Hz 和 1 Hz 除頻器串接成 128K Hz 除頻器, 如下 : module (out,en); input en; output out; wire [8:0]ns; os3 a0(en,ns[0]); 10

12 counter1k a1(ns[0],ns[1],en); count2 a2(ns[1],ns[2],en); count2 a3(ns[2],ns[3],en); count2 a4(ns[3],ns[4],en); count2 a5(ns[4],ns[5],en); count2 a6(ns[5],ns[6],en); count2 a7(ns[6],ns[7],en); count2 a8(ns[7],out,en); endmodule 方塊圖 : EN 三個 NOR 閘震盪 除頻器除 128k Hz 待測頻率 圖 : 下圖是在 Quratus II 軟體裡 128K Hz 除頻器的 RTL Viewer 方塊 因除頻器是使用 Hierarchy 的觀念作呼叫, 所以在 RTL Viewer 裡 都是顯示方塊圖 11

13 4. 2 輸出最後一部分是輸出 我們輸出是將各個振盪器用 Wire 線拉出, 連接到 16 * 1 的多工器作選擇輸出, 16 * 1 多工器如下 : module mux16to1(select,a0,a1,a2,a3,a4,a5,a6,a7,a8,a9,a10,a11,a12,a13,a14,a15,y); input select,a0,a1,a2,a3,a4,a5,a6,a7,a8,a9,a10,a11,a12,a13,a14,a15; output y; wire [3:0]select; wire [7:0]a0,a1,a2,a3,a4,a5,a6,a7,a8,a9,a10,a11,a12,a13,a14,a15; reg [7:0]y; always@(select or a0 or a1 or a2 or a3 or a4 or a5 or a6 or a7 or a8 or a9 or a10 or a11 or a12 or a13 or a14 or a15 or y) begin case(select) 0: y<=a0; 1: y<=a1; 2: y<=a2; 3: y<=a3; 4: y<=a4; 5: y<=a5; 6: y<=a6; 7: y<=a7; 8: y<=a8; 9: y<=a9; 10: y<=a10; 11: y<=a11; 12: y<=a12; 13: y<=a13; 14: y<=a14; 12

14 方塊圖如下 : endmodule end endcase 15: y<=a15; default:y<=a0; 16 條輸入線 16x1 多工器 1 條輸出線 4 條選擇線 在 Quratus II 軟體裡 16*1 多工器的 RTL Viewer 方塊圖, 如下 : 13

15 輸出部分, 如下 : module quat(en,en1,en2,en3,sw,out1); input en,en1,en2,en3; input [3:0]sw; output out1; wire [15:0]out; a0(out[0],en,en1,en2,en3); a1(out[1],en,en1,en2,en3); a2(out[2],en,en1,en2,en3); a3(out[3],en,en1,en2,en3); a4(out[4],en,en1,en2,en3); a5(out[5],en,en1,en2,en3); a6(out[6],en,en1,en2,en3); a7(out[7],en,en1,en2,en3); a8(out[8],en,en1,en2,en3); a9(out[9],en,en1,en2,en3); a10(out[10],en,en1,en2,en3); a11(out[11],en,en1,en2,en3); a12(out[12],en,en1,en2,en3); a13(out[13],en,en1,en2,en3); a14(out[14],en,en1,en2,en3); a15(out[15],en,en1,en2,en3); mux16to1 q2(sw,out[0],out[1],out[2],out[3],out[4],out[5],out[6],out[7],out[8],out[9],out[10],out[11],out[12],out[13],out[14],out[15],out1); endmodule 14

16 經過實驗證明, 在這實驗板上輸出部分我們最多輸出 16 組 Ring Oscillator, 因此我們使用 16 * 1 的多工器做選擇輸出 在 Quratus II 軟體裡輸出的 RTL Viewer 方塊圖, 如下 : 15

17 五 實驗結果 5.1 一個 NOR 閘震盪器 使用二輸入 NOR 閘組成一個 NOT 閘如下 : En En1' out 在 RTL Viewer 裡的方塊圖 : 一個 NOR 閘程式碼如下 : module os3(en,en1,out); input en,en1; output out; wire [2:0]a; nt a1(a[1],en1,a[0]); assign a[1]=en a[0]; assign out=a[0]; endmodule 16

18 在 RTL Viewer 裡的方塊圖 : 進行 Quartus Gate Level simulation, 如下 : Testbench: `timescale 1ns / 1ns module tb; reg en,en1,en2,en3; reg [3:0]sw; wire out1; quat a1(en,en1,en2,en3,sw,out1); initial begin en=1; en1=1; en2=1; en3=1; sw=0; #1000 en=0; en1=0; en2=0; en3=0; end endmodule 17

19 模擬顯示結果 : 電腦模擬方塊圖 : EN 一個 NOR 閘震盪 除頻器除 128k Hz 電腦模擬測試波形 頻率 : M Hz 公式 : * 計算波形時間 ps ps = ps * 將時間換成頻率再乘上除頻器 128K=> 得結果 (1/ ps)x128K = M Hz 18

20 下圖是使用之實驗版 : 下圖是示波器觀察頻率 : Hz 下圖是示波器觀察頻率 : Hz 19

21 在示波器上觀察之數據 : 組別 示波器觀察之頻率 實際換算頻率 在示波器上觀察之數據圖 : 20

22 5.2 三個 NOR 閘震盪器 使用二輸入 NOR 閘組成三個 NOT 閘如下 : En En1' En2' En3' out 三個 NOR 程式碼如下 : module os3(en,en1,en2,en3,out); input en,en1,en2,en3; output out; wire [3:0]a; nt a1(a[1],en1,a[2]); nt a2(a[2],en2,a[3]); nt a3(a[3],en3,a[0]); assign a[1]=en a[0]; assign out=a[0]; endmodule 在 RTL Viewer 裡的方塊圖 : 21

23 進行 Quartus Gate Level simulation, 如下 : 模擬顯示結果 : 電腦模擬方塊圖 : EN 三個 NOR 閘震盪 除頻器除 128k Hz 電腦模擬測試波形 頻率 : M Hz 公式 : * 計算波形時間 ps ps = ps * 將時間換成頻率再乘上除頻器 128K=> 得結果 (1/ ps)x128K = M Hz 22

24 在示波器上觀察之數據 : 組別 示波器觀察之頻率 實際換算頻率 在示波器上觀察之數據圖 : 23

25 5.3 五個 NOR 閘震盪器 五個 NOR 閘組成五個 NOT 閘如下 : En En1' En2' En3' En4' En5' out 五個 NOR 程式碼如下 : module os3(en,en1,en2,en3,en4,en5,out); input en,en1,en2,en3,en4,en5; output out; wire [5:0]a; nt a1(a[1],en1,a[2]); nt a2(a[2],en2,a[3]); nt a3(en3,a[3],a[4]); nt a4(a[4],en4,a[5]); nt a5(a[5],en5,a[0]); assign a[1]=en a[0]; assign out=a[0]; endmodule 在 RTL Viewer 裡的方塊圖 : 24

26 進行 Quartus Gate Level simulation, 如下 : 模擬顯示結果 : 電腦模擬方塊圖 : EN 五個 NOR 閘震盪 除頻器除 128k Hz 電腦模擬測試波形 頻率 : M Hz 公式 : * 計算波形時間 => ps ps = ps * 將時間換成頻率再乘上除頻器 128K=> 得結果 =>(1/ ps)x128K = M Hz 25

27 在示波器上觀察之數據 : 組別 示波器觀察之頻率 實際換算頻率 在示波器上觀察之數據圖 : 26

28 六 討論本專題需要很多基礎的數位邏輯知識和 Verilog 語法撰寫, 如 : Verilog 語言的結構描述, 使用到 Quratus II 軟體測試和示波器的使用等基礎常識 在接下來我們第一個可以探討為何在 Cyclone II (EP2C20F484C8), 這塊 FPGA 裡只能裝下 16 組三個 NOR 閘串聯的 Ring Oscillator 經過實驗證明在使用 Quratus II 編輯時, 不管編寫串多長的 NOT 閘, 都會化簡成一個 NOT 閘 所以我們將其換成 NOR 閘在第 5 章節裡, 如果換成二輸入 NOR 閘, 其一隻腳由外部控制, 組合成的多數 NOT 閘將不會被化簡 我們可以在 5.1 章節裡的一個 NOR 閘組成一個 NOT 閘看到, 我們使用電腦模擬出的震盪頻率, 是比在 5.2 和 5.3 章裡的實驗三個 NOR 和五個 NOR 串聯頻率更高, 但一個 NOR 閘實際由實驗板量測到的頻率是和三個 NOR 閘差不多的, 是因為受限於 FPGA 電路關係, 所以最高的震盪頻率只有 1G Hz 左右 第二個探討的是如何讓頻率可以維持在一定的區間裡, 讓 Ring Oscillator 在 FPGA 裡震盪更穩定 27

29 七 參考資料 [1] G. Edward Suh and Srinivas Devadas. Physical Unclonable Functions for Device Authentication and Secret Key Generation Cornell University Massachusetts Institute of Technology Ithaca. [2] Siva Velusamy, Wei Huang, John Lach, Mircea Stan and Kevin Skadron. Monitoring Temperature in FPGA based SoCs Departments of Computer Science, and Electrical and Computer Engineering University of Virginia. [3] Jorge Guajardo, Sandeep S. Kumar, Geert-Jan Schrijen, and Pim Tuyls. FPGA Intrinsic PUFs and Their Use for IP Protection Information and System Security Group,Philips Research Laboratories, Eindhoven, The Netherlands [4] Jason H. Anderson. A PUF Design for Secure FPGA-Based Embedded Systems Department of Electrical and ComputerEngineeringUniversity of Toronto,Toronto, Ontario, Canada [5] Haile Yu, Philip H.W. Leong and Qiang Xu. An FPGA Chip Identification Generator Using Configurable Ring Oscillator Department of Computer Science and Engineering, The Chinese University of Hong Kong and School of Electrical and Information Engineering, University of Sydney 28

Huawei Technologies Co

Huawei Technologies Co Testbench Preliminary itator 1 TESTBENCH... 3 2 TESTBENCH... 3 2.1 Testbench... 3 2.2... 4 2.2.1 HDL... 4 2.2.2... 5 2.2.3 PLI... 5 2.3... 6 2.4... 6 2.4.1... 6 2.4.2... 7 3 TESTBENCH... 9 3.1 2-4... 9

More information

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键 官 方 淘 宝 地 址 :http://metech.taobao.com/ MeTech verilog 典 型 例 程 讲 解 V1.0 笔 者 :MeTech 小 芯 技 术 支 持 QQ : 417765928 1026690567 技 术 支 持 QQ 群 :207186911 China AET 讨 论 组 http://group.chinaaet.com/293 笔 者 博 客 :http://blog.csdn.net/ywhfdl

More information

z x / +/- < >< >< >< >< > 3 b10x b10x 0~9,a~f,A~F, 0~9,a~f,A~F, x,x,z,z,?,_ x,x,z,z,?,_ h H 0~9,_ 0~9,_ d D 0~7,x,X,z,Z

z x / +/- < >< >< >< >< > 3 b10x b10x 0~9,a~f,A~F, 0~9,a~f,A~F, x,x,z,z,?,_ x,x,z,z,?,_ h H 0~9,_ 0~9,_ d D 0~7,x,X,z,Z Verilog Verilog HDL HDL Verilog Verilog 1. 1. 1.1 1.1 TAB TAB VerilogHDL VerilogHDL C 1.2 1.2 C // // /* /* /* /* SYNOPSY SYNOPSY Design Compiler Design Compiler // //synopsys synopsys /* /*synopsys synopsys

More information

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如 FPGA 工 程 师 面 试 试 题 一 1 同 步 电 路 和 异 步 电 路 的 区 别 是 什 么?( 仕 兰 微 电 子 ) 2 什 么 是 同 步 逻 辑 和 异 步 逻 辑?( 汉 王 笔 试 ) 同 步 逻 辑 是 时 钟 之 间 有 固 定 的 因 果 关 系 异 步 逻 辑 是 各 时 钟 之 间 没 有 固 定 的 因 果 关 系 3 什 么 是 " 线 与 " 逻 辑, 要 实

More information

untitled

untitled Verilog HDL Verilog HDL 邏 令 列邏 路 例 練 數 度 (top-down design) 行 (concurrency) 2.1 Verilog HDL (module) 邏 HDL 理 HDL 邏 料 數 邏 邏 路 module module_name (port_list) // 列 //

More information

untitled

untitled 93 年度 路 Xilinx FPGA 類 CAM. 參 CIC FPGA Development Kit( 參 錄 A) 來 類 CAM 令 狀 來 行 料 參 錄 B 例 來 參 CIC 參 I/O Response 來 參 錄 C 了 利 FPGA 參 參 錄 D CIC 路 錄 行 IC 9: : IC CIC 行 了 便 參 參 錄 E 列.. CLK RST_ OP Test Bench

More information

untitled

untitled 93 年度 路 Altera FPGA 類 CAM. 參 CIC FPGA Development Kit( 參 錄 A) 來 類 CAM 令 狀 來 行 料 參 錄 B 例 來 參 CIC 參 I/O Response 來 參 錄 C 了 利 FPGA 參 參 錄 D CIC 路 錄 行 IC 9: : IC CIC 行 了 便 參 參 錄 E 列.. CLK RST_ OP Test Bench

More information

Microsoft Word - 专论综述1.doc

Microsoft Word - 专论综述1.doc 2016 年 第 25 卷 第 期 http://www.c-s-a.org.cn 计 算 机 系 统 应 用 1 基 于 节 点 融 合 分 层 法 的 电 网 并 行 拓 扑 分 析 王 惠 中 1,2, 赵 燕 魏 1,2, 詹 克 非 1, 朱 宏 毅 1 ( 兰 州 理 工 大 学 电 气 工 程 与 信 息 工 程 学 院, 兰 州 730050) 2 ( 甘 肃 省 工 业 过 程 先

More information

0 0 = 1 0 = 0 1 = = 1 1 = 0 0 = 1

0 0 = 1 0 = 0 1 = = 1 1 = 0 0 = 1 0 0 = 1 0 = 0 1 = 0 1 1 = 1 1 = 0 0 = 1 : = {0, 1} : 3 (,, ) = + (,, ) = + + (, ) = + (,,, ) = ( + )( + ) + ( + )( + ) + = + = = + + = + = ( + ) + = + ( + ) () = () ( + ) = + + = ( + )( + ) + = = + 0

More information

a b c d e f g C2 C1 2

a b c d e f g C2 C1 2 a b c d e f g C2 C1 2 IN1 IN2 0 2 to 1 Mux 1 IN1 IN2 0 2 to 1 Mux 1 Sel= 0 M0 High C2 C1 Sel= 1 M0 Low C2 C1 1 to 2 decoder M1 Low 1 to 2 decoder M1 High 3 BCD 1Hz clk 64Hz BCD 4 4 0 1 2 to 1 Mux sel 4

More information

标准名称

标准名称 ICS 35.040 L80 中 华 人 民 共 和 国 国 家 标 准 GB/T 信 息 安 全 技 术 信 息 系 统 安 全 等 级 保 护 实 施 指 南 Information Security Technology- Implementation guide for classified protection of information system - - 发 布 - - 实 施 中

More information

實驗八 數位邏輯 實驗大綱 : 一 邏輯訓練器的介紹簡介 二 LED 的電路操作 三 了解基本邏輯閘的應用邏輯閘的應用 (AND,OR,NOT,NAND,NOR,) 四 邏輯閘的組合應用 ( 數個連接起來做應用, 卡諾圖訓練 ) 實驗原理 : 一 邏輯訓練器的介紹 OFF ON

實驗八 數位邏輯 實驗大綱 : 一 邏輯訓練器的介紹簡介 二 LED 的電路操作 三 了解基本邏輯閘的應用邏輯閘的應用 (AND,OR,NOT,NAND,NOR,) 四 邏輯閘的組合應用 ( 數個連接起來做應用, 卡諾圖訓練 ) 實驗原理 : 一 邏輯訓練器的介紹 OFF ON 實驗八 數位邏輯 實驗大綱 : 一 邏輯訓練器的介紹簡介 二 LED 的電路操作 三 了解基本邏輯閘的應用邏輯閘的應用 (ND,OR,NOT,NND,NOR,) 四 邏輯閘的組合應用 ( 數個連接起來做應用, 卡諾圖訓練 ) 實驗原理 : 一 邏輯訓練器的介紹 OFF ON 7 6 5 4 3 2 POWER U-3 STRIGHT HEDER HI :RED LO:NO DISPLY +V -V

More information

untitled

untitled Verilog 1 錄 料 7. 邏 8. 料流 9. 行 10. 令 11. 邏 路 例 2 1. Verilog 路 (Flexibility) 易 更 更 易 連 林 數 (Portability) 不 不 易 C 3 2. Verilog Verilog (model) (switch level) (transistor) 邏 (gate level) 料流 (data flow) (register

More information

384 : FPGA O-QPSK O-QPSK Fig.1 ProcessofO-QPSK modulationanddemodulation 3 O-QPSK FPGA d Iout d Q Indarrange clk d arrange 20 nsclr

384 : FPGA O-QPSK O-QPSK Fig.1 ProcessofO-QPSK modulationanddemodulation 3 O-QPSK FPGA d Iout d Q Indarrange clk d arrange 20 nsclr 42 3 Vol.42No.3 20126 Microelectronics Jun.2012 FPGA O-QPSK ( 161006) : Quartus IModelSim EP2C35 FPGA Verilog- HDL O-QPSK IP : ; ; :TN91 :A :1004-3365(2012)03-0383-05 DesignofO-QPSK Modem BasedonFPGA TAOBairuiMIAOFengjuanZHANGJinglinZHANG

More information

IC芯片自主创新设计实验

IC芯片自主创新设计实验 IC 芯片自主创新设计实验 设计报告 设计题目 : 格雷码计数器芯片设计 设计学生 : 吴东生 ( 集成电路 ) 景国新 ( 固体电子 ) 林道明 ( 集成电路 ) 连维重 ( 集成电路 ) 施望 ( 集成电路 ) 刘锦秀 ( 集成电路 ) 刘中伟 ( 集成电路 ) 李梦宁 ( 集成电路 ) 指导教师 : 阮爱武 杜涛 指导单位 : 电子设计自动化技术 课程组 一 格雷码计数器芯片设计概述 功能描述

More information

程式人雜誌

程式人雜誌 程 式 人 雜 誌 2014 年 8 月 號 本 期 焦 點 :FPGA 可 程 式 化 電 路 程 式 人 雜 誌 前 言 編 輯 小 語 授 權 聲 明 本 期 焦 點 FPGA 簡 介 FPGA 的 設 計 流 程 與 開 發 工 具 -- 使 用 Icarus + Altera Quartus II + 北 瀚 FPGA 板 子 程 式 人 文 集 開 放 電 腦 計 畫 (13) -- 將

More information

交流活动

交流活动 信 息 科 学 技 术 学 院 简 报 School of Electronics Engineering and Computer Science 二 零 一 六 年 第 二 期 ( 总 第 102 期 ) 北 京 大 学 信 息 科 学 技 术 学 院 二 零 一 六 年 三 月 三 十 一 日 党 政 : 3 月 7 日, 北 京 大 学 校 长 助 理 教 育 基 金 会 秘 书 长 邓 娅

More information

ebook122-3

ebook122-3 3 Verilog Verilog HDL Ve r i l o g 3.1 Verilog HDL ( i d e n t i f i e r ) $ ( C o u n t COUNT _ R 1 _ D 2 R 56 _ 68 F I V E $ / / C o u n t (escaped identifier ) \ ( ) \ 7400 \.*.$ \{******} \ ~Q \O u

More information

Microsoft Word - 刘 慧 板.doc

Microsoft Word - 刘  慧 板.doc 中 国 环 境 科 学 2012,32(5):933~941 China Environmental Science 系 统 动 力 学 在 空 港 区 域 规 划 环 境 影 响 评 价 中 的 应 用 刘 慧 1,2, 郭 怀 成 1*, 盛 虎 1, 都 小 尚 1,3, 李 娜 1 1, 杨 永 辉 (1. 北 京 大 学 环 境 科 学 与 工 程 学 院, 北 京 100871; 2.

More information

Microsoft Word - A200911-441.doc

Microsoft Word - A200911-441.doc 动 态 计 算 机 核 心 PMC362 成 功 设 计 姜 咏 江 对 外 经 济 贸 易 大 学 信 息 学 院, 北 京 (100013) E-mail:accsys@126.com 摘 要 :PMC362 是 程 序 能 自 动 调 度 执 行 的 动 态 计 算 机 核 这 种 结 构 将 各 类 多 处 理 器 设 计 成 对 指 令 无 痕 的, 将 程 序 放 置 在 环 境 条 件

More information

ebook122-11

ebook122-11 11 (test bench) Verilog HDL 11.1 1) ( ) 2) 3) Verilog HDL module T e s t _ B e n c h; // L o c a l _ r e g _ a n d _ n e t _ d e c l a r a t i o n s G e n e r a t e _ w a v e f o r m s _ u s i n g & s

More information

2. initial always initial always 0 always initial always fork module initial always 2 module clk_gen_demo(clock1,clock2); output clock1,clock2; reg cl

2. initial always initial always 0 always initial always fork module initial always 2 module clk_gen_demo(clock1,clock2); output clock1,clock2; reg cl Verilog HDL Verilog VerilogHDL 1. Module 1 2 VerilogHDL @ ( 2. initial always initial always 0 always initial always fork module initial always 2 module clk_gen_demo(clock1,clock2); output clock1,clock2;

More information

untitled

untitled 01 1-1 Altera Installer 1-2 1-3 FBBCar 1-4 FPGA 1. 2. 3. 4. FBBCar Altera FPGA FBBCar Quartus II ModelSim-Altera 1-1 1-1 FBBCar 1 220 2 10k 2 1k 2 2k 2 470k 2 1 950nm 2 2 38kHz 2 2 3PIN 2 2 1 1 2 01 Altera

More information

中 国 系 统 工 程 学 会 理 事 会 民 主 管 理 办 法 中 国 系 统 工 程 学 会 行 政 人 员 人 事 管 理 制 度 中 国 系 统 工 程 学 会 财 务 管 理 办 法 教 育 系 统 工 程 专 业 委 员 会 2015 年 工 作 总 结 过 程 系 统 工 程 专 业

中 国 系 统 工 程 学 会 理 事 会 民 主 管 理 办 法 中 国 系 统 工 程 学 会 行 政 人 员 人 事 管 理 制 度 中 国 系 统 工 程 学 会 财 务 管 理 办 法 教 育 系 统 工 程 专 业 委 员 会 2015 年 工 作 总 结 过 程 系 统 工 程 专 业 中 国 系 统 工 程 学 会 情 况 简 报 中 国 系 统 工 程 学 会 编 ( 总 84 期 ) Tel : 010-82541242 Email: sesc@ iss.ac.cn http://www.sesc.org.cn/htm/index.htm 二 一 五 年 十 二 月 二 十 日 中 国 系 统 工 程 学 会 在 2014 年 度 全 国 学 会 财 务 决 算 工 作 中

More information

邏輯分析儀的概念與原理-展示版

邏輯分析儀的概念與原理-展示版 PC Base Standalone LA-100 Q&A - - - - - - - SCOPE - - LA - - ( Embedded ) ( Skew ) - Data In External CLK Internal CLK Display Buffer ASIC CPU Memory Trigger Level - - Clock BUS Timing State - ( Timing

More information

2015 年 第 24 卷 第 11 期 计 算 机 系 统 应 用 历 的 主 体 部 分 多 以 非 结 构 化 的 文 本 形 式 存 储, 很 多 研 究 只 能 基 于 有 限 的 结 构 化 数 据 进 行 [4,5], 无 法 满 足 临

2015 年 第 24 卷 第 11 期  计 算 机 系 统 应 用 历 的 主 体 部 分 多 以 非 结 构 化 的 文 本 形 式 存 储, 很 多 研 究 只 能 基 于 有 限 的 结 构 化 数 据 进 行 [4,5], 无 法 满 足 临 计 算 机 系 统 应 用 http://www.c-s-a.org.cn 2015 年 第 24 卷 第 11 期 1 面 向 电 子 病 历 中 文 医 学 信 息 的 可 视 组 织 方 法 徐 天 明 1,2, 樊 银 亭 3, 马 翠 霞 1, 滕 东 兴 1 ( 中 国 科 学 院 软 件 研 究 所 人 机 交 互 技 术 与 智 能 信 息 处 理 实 验 室, 北 京 100190)

More information

第二節 研究方法 本論文第一章 緒論 說明研究動機與目的 研究方法及研究的範圍及限制 並對 飲食散文的義界 作一觀念的釐清 第二章 文獻探討 就將本研究的理 論建構中的概念作釐清 分別為 現代文學 飲食文學的重要論著 等兩個部 分來描述目前文獻的研究成果 並探討其不足待補述的地方 本研究以 文化研 究 為主要研究基礎 統攝整個研究架構 在不同章節裡 佐以相關研究方法進 行論述 茲圖示如下 研究方法

More information

複本 2016 P1 P2 P5 得獎名單.xls

複本 2016 P1 P2 P5 得獎名單.xls 香 港 數 學 奧 林 匹 克 學 校 Hong Kong Mathematical Olympiad School 主 辦 第 二 十 三 屆 香 港 小 學 數 學 奧 林 匹 克 比 賽 2016 小 五 比 賽 得 獎 名 單 金 獎 (67 名 ) ( 答 對 18 題 或 以 上 ) 學 校 提 名 王 炳 權 聖 公 會 仁 立 小 學 布 懷 熙 順 德 聯 誼 總 會 李 金 小

More information

<A448A4E5AAC0B77CBEC7B3F8B2C43132A8F7B2C434B4C15F E706466>

<A448A4E5AAC0B77CBEC7B3F8B2C43132A8F7B2C434B4C15F E706466> 105 12 4 319-340 1 2 2 3 1 2 3 6 NVDA NVDA 2015 2014 320 5 37 22 5 2014 3000 2016 3 313 2016 12% inclusive education screen reader 321 2 3 2015 self-learning semi-structured interview 2002 2011 2008 322

More information

untitled

untitled 2006-4-25 2006-4-26 2 2006-4-26 3 20 50 6 2006-4-26 4 µ 2006-4-26 5 CERN LEP/LHC 2006-4-26 6 L3 Detector 2006-4-26 7 2006-4-26 8 ATLAS Detector (A Toroidal LHC ApparatuS) 2006-4-26 9 CMS Detector 2006-4-26

More information

上海市现代职业技术学校

上海市现代职业技术学校 上 海 市 现 代 职 业 技 术 学 校 2015 年 度 社 会 责 任 报 告 上 海 市 现 代 职 业 技 术 学 校 (2015 年 12 月 ) 1 一 公 开 陈 述...1 二 单 位 概 况...1 1. 部 门 构 架... 错 误! 未 定 义 书 签 2. 师 生 员 工 数... 错 误! 未 定 义 书 签 3. 单 位 性 质... 错 误! 未 定 义 书 签 三

More information

~ Capability Maturity Model Integration, CMMI CMMI

~ Capability Maturity Model Integration, CMMI CMMI 2008 11 331~350 1 2 3 1 2 3 Capability Maturity Model Integration, CMMI CMMI 360 037 381274 e-mail bcdefg@nuu.edu.tw 331 Interpreting the software-development framework stemming from the gradual hexagram

More information

第一章

第一章 1 2 3 4 5 6 7 8 9 10 11 12 13 14 1500 1450 1400 1350 1300 1250 1200 15 16 17 18 19 20 21 22 23 24 25 26 27 28 INPUT2006 29 30 31 32 33 34 35 9000 8500 8000 7500 7000 6500 6000 5500 5000 4500 4000 3500

More information

enews174_2

enews174_2 103 CMOS Seal-Ring 104 e-learning 104 104 / http://www.cic.org.tw/login/login.jsp CIC Introduction to Conversational French - Syllabus Summer 2004 1 4 21 CMOS MorSensor MorFPGA DUO 2 MorSensor 3 103 (

More information

B 6 A A N A S A +V B B B +V 2

B 6 A A N A S A +V B B B +V 2 B 6 A A N A S A +V B B B +V 2 V A A B B 3 C Vcc FT7 B B 1 C 1 V cc C 2 B 2 G G B 3 C 3V cc C B ND ND GND V A A B B C 1 C 3 C 2 C V cc V cc V 220Ωx B 1 B 2 B 3 B GND GND A B A B 1 1 0 0 0 2 0 1 0 0 3 0

More information

2 校 史 沿 革

2 校 史 沿 革 國 立 水 里 高 級 商 工 職 業 學 校 學 校 簡 介 目 錄 校 史 介 紹 學 校 特 色... 績 優 表 現... 招 生 科 別... 餐 飲 管 理 科... 觀 光 事 業 科... 資 料 處 理 科... 電 機 科... 資 訊 科... 普 通 班... 體 育 班... 實 用 技 能 學 程 旅 遊 事 務 科... 實 用 技 能 學 程 餐 飲 技 術 科...

More information

(Microsoft Word - \245\274\244\300\246\250\301Z\260\252\247C13.doc)

(Microsoft Word - \245\274\244\300\246\250\301Z\260\252\247C13.doc) VHDL 實 習 報 告 四 資 工 二 指 導 教 授 : 徐 演 政 學 生 : 廖 雅 竹 B9515010 陳 緯 琪 B9515044 敗 LED 史 上 無 敵 超 級 賭 骰 子 模 擬 機 以 廖 雅 竹 陳 緯 琪 Project Title: 骰 硬 件 啟 動 後, 可 以 明 顯 的 觀 察 到 實 驗 板 上 方 的 兩 個 骰 子 器 高 速 地 跳 動 Participants:

More information

Microsoft Word - 103-4 記錄附件

Microsoft Word - 103-4 記錄附件 國 立 虎 尾 技 大 103 年 度 第 4 次 教 務 會 議 記 錄 附 件 中 華 民 國 104 年 6 月 16 日 受 文 者 : 國 立 虎 尾 技 大 發 文 日 期 : 中 華 民 國 104 年 5 月 28 日 發 文 字 號 : 臺 教 技 ( 二 ) 字 第 1040058590 號 速 別 : 最 速 件 密 等 及 解 密 條 件 或 保 密 期 限 : 附 件 :

More information

中華民國建築學會第十二屆建築研究成果發表會

中華民國建築學會第十二屆建築研究成果發表會 (2006/7 3146 31 32 A Seismic Simulation of Architectural Model for Teaching Structural Engineering Kuei-Ming Hsu Department of Civil Engineering Kao Yuan University Ru-Jen. Chao Department of Information

More information

《信息系统安全等级保护测评准则》

《信息系统安全等级保护测评准则》 ICS 35.040 GB/T L80 XXX--200X 中 华 人 民 共 和 国 国 家 标 准 GB/T XXXX XXXX 信 息 安 全 技 术 信 息 系 统 安 全 等 级 保 护 测 评 要 求 Information security technology- Testing and evaluation requirement for classified protection

More information

第 1 期 常 壮 等 : 基 于 RS-485 总 线 的 舰 船 损 管 训 练 平 台 控 系 统 研 究 87 能 : 1) 损 管 基 本 理 论 的 学 习 帮 助 舰 员 熟 悉 舰 艇 舱 室 相 关 规 章 制 度 损 管 施 分 布 和 使 用 不 沉 性 文 件 等 ) 损 管

第 1 期 常 壮 等 : 基 于 RS-485 总 线 的 舰 船 损 管 训 练 平 台 控 系 统 研 究 87 能 : 1) 损 管 基 本 理 论 的 学 习 帮 助 舰 员 熟 悉 舰 艇 舱 室 相 关 规 章 制 度 损 管 施 分 布 和 使 用 不 沉 性 文 件 等 ) 损 管 第 6 卷 第 1 期 011 年 月 Chinese 中 国 Journal 舰 of船 Ship研 Research 究 Vol.6 No.1 Feb. 第 011 6 卷 doi: 10. 3969 / j. issn. 1673-3185. 011. 01. 017 基 于 RS-485 总 线 的 舰 船 损 管 训 练 平 台 控 系 统 研 究 常 壮 1 邱 金 水 刘 伯 运 1

More information

第一章.doc

第一章.doc ----------------------------------------------------------------------------------------------------------------------------------------- 1 -----------------------------------------------------------------------------------------------------------------------------------------

More information

XXX专业本科人才培养方案

XXX专业本科人才培养方案 计 算 机 科 学 与 技 术 专 业 本 科 人 才 培 养 方 案 (Computer Science and Technology 080901) 一 培 养 目 标 本 专 业 培 养 德 智 体 美 全 面 发 展, 具 有 良 好 的 科 学 与 人 文 素 养, 熟 悉 经 济 管 理 法 律 等 相 关 基 础 知 识, 系 统 地 掌 握 计 算 机 硬 件 软 件 方 面 的 基

More information

Microsoft PowerPoint - 物聯網_1012_ch14 [相容模式]

Microsoft PowerPoint - 物聯網_1012_ch14 [相容模式] Chapter 14: 物 聯 網 架 構 Prof. Yuh-Shyan Chen Department of Computer Science and Information Engineering National Taipei University 1 目 錄 14-1 物 聯 網 概 述 14-2 物 聯 網 架 構 14-3 感 知 層 關 鍵 技 術 14-4 網 路 層 通 訊 與

More information

new Taiwanese children etc., it is imperative to build a new system of Primary Teachers training program, which is the 4 +0.5 +0.5 system. It is the t

new Taiwanese children etc., it is imperative to build a new system of Primary Teachers training program, which is the 4 +0.5 +0.5 system. It is the t Hong Kong Teachers Centre Journal 香 港 教 師 中 心 學 報, Vol. 11 The Hong Kong Teachers Centre 2012 臺 灣 國 小 師 資 培 育 學 士 化 重 構 提 案 研 究 Reconstructing Taiwan s primary teacher education in academic university

More information

2015 2002 2 11 2002 2 11 346 2005 1 1 2015 4 10 2015 3 10 2015 4 10 2005 1 1 2015 4 10 2015 4 10 86 2000 7 25 2000 9 1 100,000 87 2012 6 18 50% 1995 3 18 2015 12 27 2016 6 1 2003 9 1 2013 6 29 2004 4 1

More information

Microsoft Word - 32

Microsoft Word - 32 * 基 于 Nios II 处 理 器 的 USB 接 口 设 计 * 项 目 基 金 : 获 中 韩 合 作 项 目 Development of Embedded Software and System for Automobile Electronics 的 资 助 ; 重 庆 市 科 技 攻 关 计 划 项 目 面 向 汽 车 ABS 嵌 入 式 系 统 的 专 用 开 发 平 台 及 其

More information

了 波 涛 和 号 声 袁 读 者 很 容 易 就 进 入 广 州 城 的 水 上 旅 途 袁 进 入 一 座 野 水 上 名 城 冶 的 传 说 中 去 遥 于 是 袁 一 座 名 城 往 事 充 满 了 漂 流 感 袁 旋 律 自 水 上 而 来 袁 我 们 就 这 样 来 到 了 往 事 的

了 波 涛 和 号 声 袁 读 者 很 容 易 就 进 入 广 州 城 的 水 上 旅 途 袁 进 入 一 座 野 水 上 名 城 冶 的 传 说 中 去 遥 于 是 袁 一 座 名 城 往 事 充 满 了 漂 流 感 袁 旋 律 自 水 上 而 来 袁 我 们 就 这 样 来 到 了 往 事 的 寻 访 名 城 前 诗 学 符 号 的 原 乡 要 要 叶 名 城 往 事 记 忆 之 旅 曳 总 序 海 男 呈 现 在 我 们 眼 前 的 这 套 叶 名 城 往 事 记 忆 之 旅 曳 丛 书 袁 从 一 开 始 就 打 开 了 时 间 地 图 和 历 史 相 遇 中 的 旅 行 线 路 遥 在 这 个 逐 渐 丧 失 记 忆 力 和 想 象 力 的 二 十 一 世 纪 袁 重 新 回 到 原

More information

壹、摘 要

壹、摘  要 彰 化 縣 102 年 度 國 民 中 小 學 學 生 獨 立 研 究 作 品 徵 選 作 品 說 明 書 作 品 編 號 : 組 別 : 國 小 高 年 級 組 ( 四 五 六 年 級 ) 國 中 組 數 學 類 自 然 與 生 活 科 技 類 人 文 社 會 類 作 品 名 稱 : 山 水 之 間 ~ 福 佬 客 ( 山 ) 在 閩 南 族 群 ( 水 ) 的 尋 根 第 一 階 段 研 究 訓

More information

济南信息工程学校章程

济南信息工程学校章程 济 南 市 教 育 局 济 南 市 教 育 局 直 属 学 校 章 程 核 准 书 第 2 号 济 南 信 息 工 程 学 校 : 根 据 中 华 人 民 共 和 国 教 育 法 济 南 市 中 小 学 校 章 程 制 定 暂 行 办 法, 你 校 上 报 我 局 的 济 南 信 息 工 程 学 校 章 程, 经 济 南 市 直 属 学 校 章 程 审 核 委 员 会 评 议, 现 予 核 准 核

More information

新設大学に対する留意事項(改善すべき点)の内容 神戸常盤大学 認可された大学の情報 基本計画書・教育課程等の概要

新設大学に対する留意事項(改善すべき点)の内容 神戸常盤大学 認可された大学の情報 基本計画書・教育課程等の概要 Kobe Tokiwa University 2 6 2 faculty of health 2 6 2 science 4 80 320 20 department of 1 medical technology 3 4 75 5 310 20 department of 1 nursing 22 3 3 155 5 630 19 5 20 140 80 70 20 80 90 20 79 20

More information

successful and it testified the validity of the designing and construction of the excavation engineering in soft soil. Key words subway tunnel

successful and it testified the validity of the designing and construction of the excavation engineering in soft soil. Key words subway tunnel 2011 11 11 158 JOURNAL OF RAILWAY ENGINEERING SOCIETY Nov 2011 NO. 11 Ser. 158 1006-2106 2011 11-0104 - 08 1 2 1. 200048 2. 200002 < 20 mm 2 1 788 TU470 A Design and Construction of Deep Excavation Engineering

More information

中華民國 第49屆中小學科學展覽會

中華民國 第49屆中小學科學展覽會 中華民國第 49 屆中小學科學展覽會 作品說明書 高中組生活與應用科學科 040814 太陽能光控節能窗簾 學校名稱 : 基隆市私立二信高級中學 作者 : 指導老師 : 高二許栢豪 王永富 高二林宸漢 高二謝誌倫 高二許硯鈞 關鍵詞 : 太陽能 光控電路 窗簾 CO2 1 6 1900 1 3 84 580 CO2 1-1 2003 CO2 4.57 CO2 1.43 1-2 1-1 CO2 1-2

More information

勞動條件檢查執行重點(雲林)_1050323 [相容模式]

勞動條件檢查執行重點(雲林)_1050323 [相容模式] 勞 動 條 件 檢 查 執 行 重 點 主 講 : 雲 林 縣 政 府 勞 工 處 大 鋼 105 年 新 工 時 規 定 修 正 重 點 現 行 工 時 制 度 工 資 促 進 就 業 平 等 措 施 2 105 年 新 工 時 規 定 修 正 重 點 1. 原 雇 主 應 置 備 勞 工 簽 到 簿 或 出 勤 卡 之 規 定 修 正 為 出 勤 紀 錄 修 正 第 一 項 法 定 工 時 2.

More information

醋 水 法 在 水 盆 內 放 入 約 七 分 滿 的 水 與 1/2 到 1 小 杯 的 醋 量, 將 髒 襪 子 浸 泡 一 晚, 隔 天 再 丟 入 洗 衣 機, 就 能 洗 得 相 當 乾 淨 醋 有 殺 菌 除 臭 和 漂 白 功 效, 使 用 過 的 醋 水, 還 可 清 理 地 板,

醋 水 法 在 水 盆 內 放 入 約 七 分 滿 的 水 與 1/2 到 1 小 杯 的 醋 量, 將 髒 襪 子 浸 泡 一 晚, 隔 天 再 丟 入 洗 衣 機, 就 能 洗 得 相 當 乾 淨 醋 有 殺 菌 除 臭 和 漂 白 功 效, 使 用 過 的 醋 水, 還 可 清 理 地 板, 家 事 生 活 小 技 巧 髒 襪 子 清 洗 撇 步 手 套 法 雙 手 套 進 襪 子 裡, 像 洗 手 套 一 樣, 利 用 手 指 左 右 揉 搓, 將 難 洗 的 污 垢 洗 乾 淨 彈 珠 法 在 洗 衣 網 內, 放 入 襪 子 以 及 約 十 顆 左 右 的 彈 珠, 利 用 彈 珠 與 襪 子 碰 撞 之 間, 將 髒 汙 從 纖 維 之 中 揉 搓 出 來 醋 水 法 在 水 盆

More information

穨2000010.PDF

穨2000010.PDF -1- -2- -3- -4- -5- -6- -7- -8- -9- -10- 89 9 7 7:30 1 9 9 7:30~9:30 1 2 3 2 9 1112 7:30~9:30 2000 1 2 3 3 10 5 1 9 2 10 5-11- 10 6 3 10 26 4 10 7 7:00 4 10 11 12 110 10 14 7 211 11 4 7 312 12 12 31 2000

More information

Microsoft Word - 完全手冊-課程.doc

Microsoft Word - 完全手冊-課程.doc 課 程 鍋 爐 具 1. 黑 鍋 : 大 鍋 ( 煮 飯 用 ) 小 鍋 ( 煮 菜 用 ) 湯 鍋 鍋 炳 大 中 小 鍋 蓋 賓 士 盤 *5 調 味 杯 ( 分 別 裝 鹽 糖 油 醬 油 沙 拉 油 ) 鍋 炳 + 大 鍋 蓋 = 平 底 鍋 2. 泥 + 水 或 牙 膏, 塗 在 鍋 底 下 煮, 鍋 底 就 不 會 被 火 燒 焦 黑 帳 篷 1. 包 含 內 帳 外 帳 2 根 營 柱

More information

第一冊 第四章 分裂與再統一 班級 座號 姓吊

第一冊  第四章  分裂與再統一             班級    座號    姓吊 石 器 文 明 石 器 時 代 文 字 發 明 前 為, 文 字 發 明 以 後 進 入 第 三 冊 ( 第 1 章 從 史 前 到 春 秋 戰 國 ) 1. 遠 古 人 類 最 初 以 為 主 要 工 具, 考 古 學 家 把 這 個 時 代 稱 為 石 器 時 代 2. 又 根 據 石 器 製 作 方 式 的 不 同, 分 為 (1) 舊 石 器 時 代 -- (2) 新 石 器 時 代 --

More information

科 研 信 息 化 技 术 与 应 用,2015, 6 (1) of identity and the framework of identity management, this paper analyses the development trend of Identity Management

科 研 信 息 化 技 术 与 应 用,2015, 6 (1) of identity and the framework of identity management, this paper analyses the development trend of Identity Management 科 研 信 息 化 技 术 与 应 用 2015, 6(1): 41 49 应 用 / APPLICATION 身 份 管 理 发 展 趋 势 和 中 国 科 学 院 身 份 管 理 系 统 薛 聪 1,2, 向 继 1 1, 高 能 1. 中 国 科 学 院 信 息 工 程 研 究 所 信 息 安 全 国 家 重 点 实 验 室, 北 京 100093 2. 中 国 科 学 院 大 学, 北 京

More information

Microsoft Word - molecules supple.docx

Microsoft Word - molecules supple.docx Supporting Information Secondary Metabolites from the Marine-Derived Fungus Dichotomomyces sp. L-8 and Their Cytotoxic Activity Li-Hong Huang 1,2, Yan-Xiu Chen 1,2, Jian-Chen Yu 3, Jie Yuan 3, Hou-Jin

More information

北 京 大 学

北 京 大 学 北 京 大 学 硕 士 研 究 生 培 养 方 案 ( 信 息 工 程 学 院 报 表 修 订 版 本 ) 一 级 学 科 名 称 专 业 名 称 计 算 机 科 学 与 技 术 计 算 机 应 用 技 术 专 业 代 码 081203 北 京 大 学 研 究 生 院 制 表 填 表 日 期 :2012 年 06 月 16 日 一 学 科 ( 专 业 ) 主 要 研 究 方 向 序 研 究 方 向

More information

第 26 期 學 員 上 育 嬰 師 培 訓 課 程, 讓 我 充 實 了 自 我, 又 豐 富 了 許 多 育 兒 知 識! 每 一 個 單 元 的 課, 老 師 都 很 認 真 地 教 學, 老 師 還 會 舉 例 一 些 現 實 生 活 的 例 子, 以 及 影 片 跟 我 們 分 享, 讓

第 26 期 學 員 上 育 嬰 師 培 訓 課 程, 讓 我 充 實 了 自 我, 又 豐 富 了 許 多 育 兒 知 識! 每 一 個 單 元 的 課, 老 師 都 很 認 真 地 教 學, 老 師 還 會 舉 例 一 些 現 實 生 活 的 例 子, 以 及 影 片 跟 我 們 分 享, 讓 第 26 期 學 員 當 了 媽 媽 後, 才 知 道 褓 母 有 多 重 要, 考 上 褓 姆 證 照 後, 才 知 道 除 了 技 術 知 識 心 態 更 重 要 育 嬰 師 的 系 列 課 程 安 排 的 順 序 很 用 心, 從 發 展 評 估 感 覺 統 合 情 緒 遊 戲 互 動 繪 本 蒙 特 梭 利 課 程, 並 加 強 按 摩 副 食 品 的 訓 練, 讓 我 的 進 修 學 習

More information

基 础 实 室 4 计 算 机 网 络 唐 爱 红 专 业 机 房 PROTEL 联 想 同 方 电 脑 180 台 唐 爱 红 MATLAB 计 算 机 网 络 电 工 电 子 技 能 训 练 室 电 子 基 本 技 能 示 波 器 毫 伏 表 雕 刻 机 图 示 仪 电 子 实 训 台 电 工

基 础 实 室 4 计 算 机 网 络 唐 爱 红 专 业 机 房 PROTEL 联 想 同 方 电 脑 180 台 唐 爱 红 MATLAB 计 算 机 网 络 电 工 电 子 技 能 训 练 室 电 子 基 本 技 能 示 波 器 毫 伏 表 雕 刻 机 图 示 仪 电 子 实 训 台 电 工 2014 年 电 气 工 程 及 其 自 动 化 专 业 教 学 质 量 年 度 报 告 1 专 业 发 展 概 况 ( 包 括 专 业 发 展 历 程 专 业 办 学 的 经 费 投 入 图 书 资 料 实 室 等 基 本 教 学 条 件 在 校 学 生 数 生 师 比 一 志 愿 录 取 率 新 生 报 到 率 学 生 转 入 和 转 出 人 数 ) 上 海 师 范 大 学 信 息 与 机 电

More information

(03) (03) (03) (03) (03)

(03) (03) (03) (03) (03) 6147 R049 232524 CHIPBOND Technology Corporation 年報資訊申報網址 :http://sii.tse.com.tw 年報資訊查詢網址 ( 公開資訊觀測站 ):http://mops.tse.com.tw/ 中華民國九十二年五月三十一日刊印 (03)567-8788 davidc@chipbond.com.tw (03)567-8788 kulongc@chipbond.com.tw

More information

Microsoft Word - FPGA的学习流程.doc

Microsoft Word - FPGA的学习流程.doc 王 者 之 风 的 博 客 http://blog.sina.com.cn/towbx 原 文 地 址 :ARM,FPGA,DSP 的 特 点 和 区 别 是 什 么? 作 者 : 红 枫 叶 DSP(digital singnal processor) 是 一 种 独 特 的 微 处 理 器, 有 自 己 的 完 整 指 令 系 统, 是 以 数 字 信 号 来 处 理 大 量 信 息 的 器 件

More information

中華民國第 四 十 七 屆中小學科學展覽會

中華民國第 四 十 七 屆中小學科學展覽會 中華民國第四十七屆中小學科學展覽會作品說明書 高中組生物 ( 生命科學 ) 科 040718 光鮮外表下的神秘面紗 - 探討草莓果實生長及其生殖 學校名稱 : 國立鳳新高級中學 作者 : 高二簡溥辰 指導老師 : 王美玲 高二謝宜芬 高二歐盈佛 高二陳柏維 關鍵詞 : 草莓 (Fagaria sp. ) 果實發育 (fruit develop) 萌芽 (germination) ~

More information

混訊設計流程_04.PDF

混訊設計流程_04.PDF CIC Referenced Flow for Mixed-signal IC Design Version 1.0 (Date) (Description) (Version) V. 1.0 2010/11/ Abstract CIC IC (Mixed-signal Design Flow) IC (Front End) (Back End) Function Timing Power DRC

More information

软件测试(TA07)第一学期考试

软件测试(TA07)第一学期考试 一 判 断 题 ( 每 题 1 分, 正 确 的, 错 误 的,20 道 ) 1. 软 件 测 试 按 照 测 试 过 程 分 类 为 黑 盒 白 盒 测 试 ( ) 2. 在 设 计 测 试 用 例 时, 应 包 括 合 理 的 输 入 条 件 和 不 合 理 的 输 入 条 件 ( ) 3. 集 成 测 试 计 划 在 需 求 分 析 阶 段 末 提 交 ( ) 4. 单 元 测 试 属 于 动

More information

F4

F4 DOI:10.3969/j.issn.1009-6868.2016.01.002 网 络 出 版 地 址 :http://www.cnki.net/kcms/detail/34.1228.tn.20151117.1506.006.html Challenges and Countermeasures of Network Space Security 周 延 森 /ZHOU Yansen 周 琳 娜

More information

临沧师~1

临沧师~1 滇 西 科 技 师 范 学 院 Dianxi Science and Technology Normal University 高 等 职 业 教 育 质 量 年 度 报 告 (2016 2016) 滇 西 科 技 师 范 学 院 2015 年 12 月 25 日 目 录 一 学 校 概 况...1 ( 一 ) 发 展 历 程...1 ( 二 ) 学 校 办 学 理 念 与 办 学 定 位...2

More information

附件1:

附件1: 2013 年 增 列 硕 士 专 业 学 位 授 权 点 申 请 表 硕 士 专 业 学 位 类 别 ( 工 程 领 域 ): 工 程 ( 集 成 电 路 工 程 ) 申 报 单 位 名 称 : 南 开 大 学 国 务 院 学 位 委 员 会 办 公 室 制 表 2013 年 12 月 18 日 填 一 申 请 增 列 硕 士 专 业 学 位 授 权 点 论 证 报 告 集 成 电 路 产 业 是

More information

85% NCEP CFS 10 CFS CFS BP BP BP ~ 15 d CFS BP r - 1 r CFS 2. 1 CFS 10% 50% 3 d CFS Cli

85% NCEP CFS 10 CFS CFS BP BP BP ~ 15 d CFS BP r - 1 r CFS 2. 1 CFS 10% 50% 3 d CFS Cli 1 2 3 1. 310030 2. 100054 3. 116000 CFS BP doi 10. 13928 /j. cnki. wrahe. 2016. 04. 020 TV697. 1 A 1000-0860 2016 04-0088-05 Abandoned water risk ratio control-based reservoir pre-discharge control method

More information

與 所 長 有 約 座 談 會 之 師 生 合 影 與 所 長 有 約 座 談 會 之 生 分 組 討 論 五 103 年 6 月 9 日 ( 一 ) 舉 辦 新 生 座 談 會, 並 將 新 編 印 完 成 之 新 生 手 冊 發 予 新 生 與 本 所 教 師 新 生 座 談 會 之 師 生 合

與 所 長 有 約 座 談 會 之 師 生 合 影 與 所 長 有 約 座 談 會 之 生 分 組 討 論 五 103 年 6 月 9 日 ( 一 ) 舉 辦 新 生 座 談 會, 並 將 新 編 印 完 成 之 新 生 手 冊 發 予 新 生 與 本 所 教 師 新 生 座 談 會 之 師 生 合 習 科 研 究 所 102 年 度 業 務 報 告 本 所 於 102 年 度 主 要 完 成 工 作 如 下 : 課 程 相 關 一 102 年 度 上 期 開 課 數 為 必 修 2 門 選 修 課 程 7 門, 選 課 人 數 為 95 人 次 下 期 開 課 數 為 必 修 3 門 選 修 課 程 5 門, 選 課 人 數 為 79 人 次 習 資 源 一 修 訂 國 立 清 華 大 習 科

More information

1 2 3

1 2 3 Why Kyoto University 1 2 3 9 Kyoto 49.6 50,000 100,000 44.2 100,000 200,000 6.2 International Graduate Programme for East Asia Sustainable Economic Development Studies Undergraduate International Course

More information

深 圳 中 学 : 同 类 学 校 中 教 育 增 值 最 高 的 中 学 让 优 秀 者 更 优 秀, 让 平 常 者 不 平 常 2007 以 年 来, 深 圳 中 学 每 年 被 香 港 香 港 科 技 录 取 的 人 数 均 为 全 省 第 一,7 年 来, 考 入 港 大 的 深 中 学

深 圳 中 学 : 同 类 学 校 中 教 育 增 值 最 高 的 中 学 让 优 秀 者 更 优 秀, 让 平 常 者 不 平 常 2007 以 年 来, 深 圳 中 学 每 年 被 香 港 香 港 科 技 录 取 的 人 数 均 为 全 省 第 一,7 年 来, 考 入 港 大 的 深 中 学 2013, 深 中 新 辉 煌 中 考 择 校 指 引 深 圳 中 学 : 同 类 学 校 中 教 育 增 值 最 高 的 中 学 让 优 秀 者 更 优 秀, 让 平 常 者 不 平 常 2007 以 年 来, 深 圳 中 学 每 年 被 香 港 香 港 科 技 录 取 的 人 数 均 为 全 省 第 一,7 年 来, 考 入 港 大 的 深 中 学 生 人 数 50 人 以 上, 超 过 人 大

More information

[1] Liu Hongwei,2013, Study on Comprehensive Evaluation of Iron and Steel Enterprises Production System s Basic Capacities, International Asia Confere

[1] Liu Hongwei,2013, Study on Comprehensive Evaluation of Iron and Steel Enterprises Production System s Basic Capacities, International Asia Confere 刘 洪 伟 工 业 工 程 系 副 教 授 CV 下 载 办 公 电 话 : 电 子 邮 箱 :hw_liu999@tju.edu.cn 研 究 方 向 : 精 益 生 产 与 精 益 管 理 理 论 及 应 用 ; 工 业 工 程 与 信 息 技 术 集 成 管 理 ; 系 统 可 靠 性 理 论 与 方 法 ; 医 院 管 理 理 论 及 应 用 教 育 与 工 作 经 历 时 间 单 位 专

More information

Microsoft PowerPoint - chap05.ppt

Microsoft PowerPoint - chap05.ppt 第五章同步序向邏輯 5- 序向電路 同步時脈序向電路 5-2 閂鎖器 SR 閂鎖器 (SR Latch): 由 NOR 閘所構成之 SR 閂鎖器 由 NAND 閘所構成之 SR 閂鎖器 2 具有控制輸入之 SR 閂鎖器 D 型閂鎖器 (D Latch) 3 閂鎖器之符號圖 5-3 正反器 閂鎖器和正反器之時脈響應 4 邊緣觸發 D 型正反器 (Edge- Triggered D Flip-Flop)

More information

9, : Java 19., [4 ]. 3 Apla2Java Apla PAR,Apla2Java Apla Java.,Apla,,, 1. 1 Apla Apla A[J ] Get elem (set A) A J A B Intersection(set A,set B) A B A B

9, : Java 19., [4 ]. 3 Apla2Java Apla PAR,Apla2Java Apla Java.,Apla,,, 1. 1 Apla Apla A[J ] Get elem (set A) A J A B Intersection(set A,set B) A B A B 25 9 2008 9 M ICROEL ECTRON ICS & COMPU TER Vol. 25 No. 9 September 2008 J ava 1,2, 1,2, 1,2 (1, 330022 ; 2, 330022) :,. Apla - Java,,.. : PAR ;Apla - Java ; ;CMP ; : TP311 : A : 1000-7180 (2008) 09-0018

More information

124 2008 1999, [3 ] Petri, 25 7, 500, 2003 2004 [4,5 ], 3, (2), 2003, [ 6 ],,, 2 600 341,, [7 ], 569, 26, 26 3 673 ( ) : 2 ; 3 ; 4, ; 5, : (a) ( ) :,,

124 2008 1999, [3 ] Petri, 25 7, 500, 2003 2004 [4,5 ], 3, (2), 2003, [ 6 ],,, 2 600 341,, [7 ], 569, 26, 26 3 673 ( ) : 2 ; 3 ; 4, ; 5, : (a) ( ) :,, 22 4 2008 7 J OU RNAL OF CH IN ESE IN FORMA TION PROCESSIN G Vol. 22, No. 4 J ul., 2008 : 100320077 (2008) 0420123206 1,2, 1,2,3, 1,2 (1., 221116 ; 2., 221116 ; 3., 215006) :,,,,,, : ; ; ; ; ; : TP391

More information

Microsoft Word - 06会计学(223-230).doc

Microsoft Word - 06会计学(223-230).doc 经 济 管 理 学 院 会 计 学 会 计 学 专 (120203K) 培 养 方 案 (The Cultivating Program for Undergraduate of Accounting) 一 专 简 介 及 特 色 专 简 介 : 会 计 是 以 货 币 为 主 要 计 量 单 位, 采 用 一 系 列 专 门 的 方 法 和 程 序, 对 经 济 交 易 或 事 项 进 行 连 续

More information

NANO COMMUNICATION 23 No.3 90 CMOS 94/188 GHz CMOS 94/188 GHz A 94/188 GHz Dual-Band VCO with Gm- Boosted Push-Push Pair in 90nm CMOS 90 CMOS 94

NANO COMMUNICATION 23 No.3 90 CMOS 94/188 GHz CMOS 94/188 GHz A 94/188 GHz Dual-Band VCO with Gm- Boosted Push-Push Pair in 90nm CMOS 90 CMOS 94 NANO COMMUNICATION 23 No.3 90 CMOS 94/188 GHz 23 90 CMOS 94/188 GHz A 94/188 GHz Dual-Band VCO with Gm- Boosted Push-Push Pair in 90nm CMOS 90 CMOS 94/188GHz LC class-b 0.70 0.75 mm 2 pad 1 V 19.6 ma (ƒ

More information

具有多个输入 特别是多个输出的 部门 或 单位 ( 称为 决策单元 Decision Making Unit 简称 DMU) 间的相对有效 8 性 C2R 模型是 DEA 的个模型 也是 DEA 的基础 和重要模型 假设有 n 个决策单元 DMUj( j = 1 2 3 n) 每个 DMU 有 m

具有多个输入 特别是多个输出的 部门 或 单位 ( 称为 决策单元 Decision Making Unit 简称 DMU) 间的相对有效 8 性 C2R 模型是 DEA 的个模型 也是 DEA 的基础 和重要模型 假设有 n 个决策单元 DMUj( j = 1 2 3 n) 每个 DMU 有 m 基于 DEA 模型的 我国政府社会管理职能绩效评价研究 以 30 个省 ( 直辖市 自治区 ) 为统计样本的实证分析 * 李超显 摘 要 政府社会管理职能绩效评价是政府管理中的一个重点和难点问题 本文采用数据包络分析模型对中 30 国 30 个省( 直辖市 自治区) 的政府社会管理职能绩效进行时空差异分析和实证评价 研究发现 个省( 直辖市 自治区) 的政府社会管理职能绩效具有空间差异性 雁行形态和区域梯度性

More information

untitled

untitled 7-1 - 1 word 2 3 4 2 5 8-2 - 1 2005 / / 70 5 3 463 70 5 3 447 40 4 3 48 40 4 3 56 40 4 3 7 / 11 9 178 13-3 - 2003-2010 2003-2010 2003-2006 2009-2011 2003-2005 2005.12 2006.5 2008.9 2010.10 2010.10 / /

More information

4 115,,. : p { ( x ( t), y ( t) ) x R m, y R n, t = 1,2,, p} (1),, x ( t), y ( t),,: F : R m R n.,m, n, u.,, Sigmoid. :,f Sigmoid,f ( x) = ^y k ( t) =

4 115,,. : p { ( x ( t), y ( t) ) x R m, y R n, t = 1,2,, p} (1),, x ( t), y ( t),,: F : R m R n.,m, n, u.,, Sigmoid. :,f Sigmoid,f ( x) = ^y k ( t) = 2007 4 4 :100026788 (2007) 0420114206, (, 430074) :,,,,,,GIS.,,. : ; ; ; ; : TP391 ;P338 : A Development of Combinatorial Intelligentized Decision2Making Support System and Its Utilization in Runoff Forecasting

More information

封面

封面 104年院系所友楷模 國立宜蘭大學 01 03 04 06 07 08 10 11 13 16 17 19 Contents 17 22 23 25 26 28 01 08 22 01 02 03 04 05 06 07 08 09 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 Master Program of College of

More information

2/80 2

2/80 2 2/80 2 3/80 3 DSP2400 is a high performance Digital Signal Processor (DSP) designed and developed by author s laboratory. It is designed for multimedia and wireless application. To develop application

More information

1 1

1 1 1 1 2 Idea Architecture Design IC Fabrication Wafer (hundreds of dies) Sawing & Packaging Block diagram Final chips Circuit & Layout Design Testing Layout Bad chips Good chips customers 3 2 4 IC Fabless

More information

LP650 User's Guide

LP650 User's Guide P650 Simply designed to be shared User s Guide CD Adobe Acrobat pdf InFocus Corporation, 27500 SW Parkway Ave. Wilsonville, Oregon 97070 USA Strawinskylaan 585, 1077 XX Amsterdam, The Netherlands P650

More information

高等学校理工科

高等学校理工科 教 育 部 高 等 学 校 教 学 指 导 委 员 会 通 讯 2010 年 第 9 期 ( 总 第 88 期 ) 2010 年 9 月 本 期 目 录 政 策 信 息 国 家 中 长 期 教 育 改 革 和 发 展 规 划 纲 要 (2010-2020 年 ) ( 节 选 ) 研 究 报 告 电 子 信 息 与 电 气 信 息 学 科 国 内 外 基 础 课 程 教 学 现 状 调 研 和 分 析

More information

扉 页 课 题 组 成 员 课 题 申 报 负 责 人 : 张 谦 课 题 组 申 报 成 员 : 丁 士 昭 杨 胜 军 刘 志 达 张 礼 卫 为 项 目 研 究 成 果 做 出 重 要 贡 献 的 人 员 ( 按 姓 氏 笔 画 排 序 ): 王 予 红 王 立 里 王 海 鸥 冈 崎 佑 树

扉 页 课 题 组 成 员 课 题 申 报 负 责 人 : 张 谦 课 题 组 申 报 成 员 : 丁 士 昭 杨 胜 军 刘 志 达 张 礼 卫 为 项 目 研 究 成 果 做 出 重 要 贡 献 的 人 员 ( 按 姓 氏 笔 画 排 序 ): 王 予 红 王 立 里 王 海 鸥 冈 崎 佑 树 深 圳 市 2015 年 软 科 学 研 究 项 目 政 府 投 资 建 设 项 目 管 理 模 式 创 新 研 究 深 圳 大 学 2016 年 01 月 26 日 扉 页 课 题 组 成 员 课 题 申 报 负 责 人 : 张 谦 课 题 组 申 报 成 员 : 丁 士 昭 杨 胜 军 刘 志 达 张 礼 卫 为 项 目 研 究 成 果 做 出 重 要 贡 献 的 人 员 ( 按 姓 氏 笔 画

More information

1 GIS 95 Y = F y + (1 F) (1) 0 0 Y0 kg/hm 2 /day F y 0 y c kg/hm 2 /day [12] y m 20 kg/hm 2 /hour Y = cl cn ch G [ F( y ) T m yo + (2) (1 F)(

1 GIS 95 Y = F y + (1 F) (1) 0 0 Y0 kg/hm 2 /day F y 0 y c kg/hm 2 /day [12] y m 20 kg/hm 2 /hour Y = cl cn ch G [ F( y ) T m yo + (2) (1 F)( 24 1 Vol.24 No.1 94 2008 1 Transactions of the CSAE Jan. 2008 2008 1 100094 2 100035 3 100081 4 100081 5 100101 GIS ( ) 65.12 16.82 13.74 15.27 11.07 t/(hm 2 a) 10.93% 41.43% 46.93% 65.4%. Bi Jiye, Zhu

More information

E11701

E11701 編 輯 推 薦 無 悔 付 出 的 勇 氣 身 邊 是 不 是 有 這 樣 的 人, 總 將 自 己 的 需 求 放 在 最 後, 為 了 所 愛 的 人 無 怨 無 悔 付 出? 以 前 小 編 總 覺 得 這 樣 的 人 很 偉 大 也 很 傻, 怎 麼 能 將 自 己 的 一 切 全 部 投 入 毫 不 保 留? 或 許 是 因 為 見 到 身 邊 朋 友 為 了 愛 情 義 無 反 顧, 明

More information

秘密

秘密 錯 魂 記 歌 仔 戲 劇 本 劇 情 簡 介 義 明 雅 蓮 夫 妻 膝 下 無 子, 養 育 年 齡 差 距 甚 大 的 小 妹 慧 君, 他 們 都 熱 愛 南 管 音 樂, 而 台 南 城 的 南 管 秋 祭 大 會 將 近, 這 三 人 所 屬 的 館 閣 雅 音 齋 也 正 加 緊 練 習, 希 望 能 在 秋 祭 大 會 中 奪 得 頭 彩 某 日 姑 嫂 兩 人 出 門 行 香, 天

More information

untitled

untitled 露 年 老 女 兩 留 兩 離 不 不 不 料 車 不 料 來 1. 22 年 讀 律 說 2. 女 20 老 女 麗 3. 45 老 論 4. 林 女 43 見 識 女 5. 45 來 老 6. 女 20 女 7. 劉 女 45 利 說 8. 60 9. 50 良 10. 50 11. 45 12. 45 露 1 13. 老 14. 里 50 兩 L 不 1 : L L 切 2 1 切 泥 留 露

More information

PCA+LDA 14 1 PEN mL mL mL 16 DJX-AB DJ X AB DJ2 -YS % PEN

PCA+LDA 14 1 PEN mL mL mL 16 DJX-AB DJ X AB DJ2 -YS % PEN 21 11 2011 11 COMPUTER TECHNOLOGY AND DEVELOPMENT Vol. 21 No. 11 Nov. 2011 510006 PEN3 5 PCA + PCA+LDA 5 5 100% TP301 A 1673-629X 2011 11-0177-05 Application of Electronic Nose in Discrimination of Different

More information

Mechanical Science and Technology for Aerospace Engineering October Vol No. 10 Web SaaS B /S Web2. 0 Web2. 0 TP315 A

Mechanical Science and Technology for Aerospace Engineering October Vol No. 10 Web SaaS B /S Web2. 0 Web2. 0 TP315 A 2012 10 31 10 Mechanical Science and Technology for Aerospace Engineering October Vol. 31 2012 No. 10 Web2. 0 400030 SaaS B /S Web2. 0 Web2. 0 TP315 A 1003-8728 2012 10-1638-06 Design and Implementation

More information

穨R _report.PDF

穨R _report.PDF TERM PROJECT R88921002 Sigma-Delta Modulation (1), (A/D,D/A) (Quantization Error), Sigma-Delta Modulation, ADC, DAC Fractional N Frequency Synthesizer,,,, (2) Ó-Ä ADC cascaded integrator-comb filter( ),

More information