CSU8RP1001用户手册

Size: px
Start display at page:

Download "CSU8RP1001用户手册"

Transcription

1 CSU8RP 用户手册 基于 OTP ROM 的 8 位 RISC MCU Rev..2 通讯地址 : 深圳市福田区新闻路景苑大厦 A2-3 室邮政编码 :5829 公司电话 :+(86 755)83634 传真 :+(86 755) 公司网站 : Rev.2 第 页, 共 96 页

2 CSU8RP 54 引脚 8 位 OTP ROM 单片机产品介绍 高性能的 RISC CPU 8 位单片机 MCU 内置 4K 6 位一次性可编程存储器 ( OTP ROM) 256 字节数据存储器 (SRAM) 只有 39 条单字指令 8 级存储堆栈支持在线烧录 振荡器 内带 4MHz 振荡器, 精度为 ±3%(25 ) 外部 32768Hz 晶振 (RTC) 或 4MHz~8MHz 晶振 外设特性 内带电荷泵 (2.6V 2.8V 3.V 3.2 V) 内带稳压器供传感器和调制器 (2.3V,2.5V,2.8V,3V) 专用微控制器的特性 上电复位 (POR) 上电复位延迟定时器 (39ms) 内带低电压复位 (LVR) Timer 8 位可编程预分频的 8 位的定时计数器 Timer2 8 位可编程预分频的 8 位的分频器扩展型看门狗定时器 (WDT) 可编程的时间范围电压工作范围 DVDD 2.4V~3.6V 4 个双向 I/O 口和 个输入口 AVDD 2.4V~3.6V 个可扩展的输出端口 路蜂鸣器输出 低功耗特性 4 4 的 LCD 驱动 MCU 工作电流 可选择内部晶振, 外部晶振,WDT 晶振 作为时钟源 可选择两种不同的 LCD 驱动波形 可选择不同的偏置电压产生方式 2 个外部中断 封装 低电压检测 (LVD) 引脚 ( 内部提供 2.4V 2.5V 2.6V 2.7V 2.8V 3.2V 3.6V 电压 比较 ) 内置温度传感器模拟特性 模数转换器 (ADC) 2 路模拟输入 24 位分辨率, 有效精度 5 位 (PGA 为 68 时, 输出速率为 7.8KHz) 内部集成的可编程增益放大器能提供 等不同倍率的增益 ADC 的输出速率 3.5Hz~62.5KHz 正常模式 ma@mhz 2mA@4MHz( 工作电压 3.3V); 休眠模式下的电流小于.5μA 52-PIN die 应用场合 太阳能电子秤便携式仪器 Rev.2 第 2 页, 共 96 页

3 CSU8RP 历史修改记录 历史修改记录 2 年 8 月 5 日 2 年 2 月 9 日 22 年 2 月 2 起草 版本为. 增加第 9 脚为 AVDD 和 PT3[7] 说明 版本为. 换新 LOGO 初稿完成 版本为.2 Rev.2 第 3 页, 共 96 页

4 CSU8RP 目录 目录 历史修改记录... 3 目录... 4 产品概述... 6 功能模块原理图 标准功能 CPU 核 存储器 状态寄存器 INTE 及 INTF 中断寄存器 SFR 系统专用寄存器... 5 辅助专用寄存器 时钟系统... 7 振荡器状态... 7 CPU 指令周期... 9 ADC 时钟... 2 蜂鸣器时钟 TMCLK( 定时器模块输入时钟 ) LCDCLK(LCD 模块输入时钟 ) 定时器 定时器 I/O PORT 带模拟输入通道的数字 I/O 口 :PT[4]... 3 数字 I/O 口 :PT[7:5] 数字 I/O 口与外部中断输入 :PT2[:] 带外部晶振的数字 I/O 口 PT2[3:2] 带蜂鸣器输出的数字 I/O 接口 :PT2[7] 带 LCD Segment 驱动输出的数字 I/O 口 :PT3[6:3]... 4 带复位的数字输入口 :PT3[7] LCD segment 作为数字输出口 增强功能 电源系统 Regulator 低电压比较器 HALT 和 SLEEP 模式 复位系统 看门狗 (WDT)... 5 ADC 模块 ADC 寄存器说明 ADC 增益 LCD DRIVER LCD 控制模式 LCD 帧频选择 Rev..2 第 4 页, 共 96 页

5 CSU8RP 目录 LCD 偏置电压... 6 LCD 驱动波形 LCD 寄存器说明 LCD 的操作步骤 OTP 烧录接口 OTP 在线烧录 MCU 指令集 电气特性 极限值 直流特性 (DVDD = 2.8V,TA = 25ºC, 如无其他说明则都是此条件 ) ADC 的特性 (VS = 2.3V,TA = 25ºC, 如无其他说明则都是此条件 ) 直流特性 (DVDD = 3.3V,TA = 25ºC, 如无其他说明则都是此条件 ) ADC 的特性 (VS = 3.V,TA = 25ºC, 如无其他说明则都是此条件 ) Rev..2 第 5 页, 共 96 页

6 CSU8RP 产品概述 产品概述 Pin 配置 VLCD CA 2 CB 3 4 V 5 35 LCA SEG SEG8 SEG9 SEG SEG SEG2 LCB VPP AVDD NC NC 7 CSU8RP SEG3 SEG4 PT2.7/BZ PT2.6 PT2.3/XOUT AVDD 2 28 PT2.2/XIN AGND 3 27 PT2.INT 图 - 引脚配置图 Rev..2 第 6 页, 共 96 页

7 CSU8RP 表 - 引脚说明表 管脚名称 输入 / 输出 管脚序号 描述 VLCD I/O LCD 电压源 CA I/O 2 VLCD 所需的电荷交换电容 CB I/O 3 VLCD 所需的电荷交换电容 O 4 LCD 显示所需的中间电压档 2 V O 5 LCD 显示所需的中间电压档 LCA I/O 6 分压电荷泵产生所需的电荷交换电容 LCB I/O 7 分压电荷泵产生所需的电荷交换电容 VPP I 8 烧写 OTP 时接 6.5V AVDD I 9 模拟电源 NC -- 保留 NC -- 保留 AVDD I 2 模拟电源 AGND I 3 模拟地 VS O 4 模拟 LDO 输出 REFP I 5 ADC 参考电压输入 ( 接 VS 输入 ) C C2 AIN~ AIN2~3 PT[4]/LPD PT[5] DGND DVDD DVDD I 6~7 I 8~9 I 2~2 I/O 22 I/O 23 I/O 24 PT[6] PT[7] I/O I/O I/O 27 I/O 28 I/O 29 I/O 3 I/O 3 O PT2[]/INT PT2[]/INT PT2[2]/XIN PT2[3]/XOUT PT2[6] PT2[7]/BZ SEG4~7 SEG6~3/PT3[6:3] SEG2~ COM4~ RST/PT3[7] NC O O O I 32~39 4~43 44~45 46~ I 52 数字地 I 53 数字电源 I 54 数字电源 AD 转换电容模拟差分输入端模拟差分输入端 I/O 或者低电压检测输入端 I/O I/O I/O OTP 烧写的数据或作为 I/O 或外部中断 输入 OTP 烧写的时钟或作为 I/O 或外部中断 输入 I/O; 外置晶振输入 I/O; 外置晶振输出 I/O I/O 或者蜂鸣器输出 LCD Segment 输出或者作为数字输出 LCD Segment 输出或者作为数字 I/O LCD Segment 输出或者作为数字输出 LCD Com 输出 复位信号输入或者数字输入保留 Rev..2 第 7 页, 共 96 页

8 CSU8RP 功能模块原理图 功能模块原理图 256 Bytes RAM 4*4LCD PORT I/O 8 bit RISC MCU 24 bit 双通道 ADC LDO Charge pump 4K*6 OTP ( 可做用户数据区 ) Watchdog POR CLK Module 从功能模块原理图中可看到有 5 个功能模块, 如表 图 -2 CSU8RP 功能模块 -2 描述 表 - CSU8RP 主要功能描述 项目 子项目 描述 RISC CPU Core 详细描述见 2. 节 OTP 程序存储器 OTP: 一次性可编程 8K Bytes 用于 4K 条编程指令 CPU 核 CSU8RP 带有 28 Bytes 的特殊功能寄存器,256 Bytes 普通数据存储器数据存储器 时钟系统 CSU8RP 有两个时钟源 一个是 4MHz 的内部时钟供 CPU 工作, 另一个是 32768Hz 或者 4MHz~8MHz 的外部时钟 定时器模块 用于定时中断及看门狗的时钟计数器 数据功能模块 LCD 模块内带 4 4 的 LCD 驱动器 Buzzer 用户连接一个蜂鸣器到内带的蜂鸣器接口以接收警告或提醒信号 Ext.INT CSU8RP 提供 2 个外部中断接口 模拟功能模块 ADC 内带 Sigma-Delta 的 ADC 将传感器的模拟信号转换为数字信号 电源功能模块 CSU8RP 有一个专用的电源系统 此电源系统能为 ADC 提电源模块供固定的电压 芯片的输入电压可以在一个范围内浮动 PT PT 接口有 4 位 通用 I/O PT2 PT3 PT2 接口有 6 位 用户可以定义这 6 位接口用于通用或某些专用功能, 比如外部中断, 蜂鸣器 PT3 接口有 5 位 Rev..2 第 8 页, 共 96 页

9 CSU8RP 标准功能 2 标准功能 2. CPU 核 SRAM Data memory 256 bytes Program Counter Program Bus (2 bits) OTP ROM Program Memory 4K*6bits Adderss Mux Stack Register 8 Level Program Data (6 bits) Instruction Register FSR Data Mux Instruction Decoder Work Register ALU Contorl information Status Register 图 2- CSU8RP CPU 核的功能模块图 从 CPU 核的功能模块图中, 可以看到它主要包含 7 个主要寄存器及 2 个存储器单元 Rev..2 第 9 页, 共 96 页

10 CSU8RP 标准功能 表 2- MCU 架构说明 模块名称程序计数器栈寄存器指令寄存器指令译码器算术逻辑单元工作寄存器状态寄存器文件选择寄存器程序存储器数据存储器 描述此寄存器在 CPU 的工作周期间起到很重要的作用, 它记录 CPU 每个周期处理程序存储器中指令的指针 在一个 CPU 周期中, 程序计数器将程序存储器地址 (2bits), 指令指针推送到程序存储器, 然后自动加 以进行下一次周期 堆栈寄存器是用来记录程序返回的指令指针 当程序调用函数, 程序计数器会将指令指针推送到堆栈寄存器 在函数执行结束之后, 堆栈寄存器会将指令指针送回到程序计数器以继续原来的程序处理 程序计数器将指令指针 ( 程序存储器地址 ) 推送到程序存储器, 程序存储器将程序存储器的数据 (6bits) 及指令推送到指令寄存器 CSU8RP 的指令是 6bits, 包括 3 种信息 : 直接地址, 立即数及控制信息 CPU 能将立即数推送到工作寄存器, 或者进行某些处理后, 根据控制信息, 将立即数存储到直接地址所指向的数据存储器寄存器中 直接地址 (8bits) 数据存储器的地址 CPU 能利用此地址来对数据存储器进行操作 直接数据 (8bits) CPU 通过 ALU 利用此数据对工作寄存器进行操作 控制信息 它记录着 ALU 的操作信息 指令寄存器将控制信息推送到指令译码器以进行译码, 然后译码器将译码后的信息发送到相关的寄存器 算术逻辑单元不仅能完成 8 位二进制的加, 减, 加, 减 等算术计算, 还能对 8 位变量进行逻辑的与, 或, 异或, 循环移位, 求补, 清零等逻辑运算 工作寄存器是用来缓存数据存储器中某些存储地址的数据 当 CPU 利用 ALU 处理寄存器数据时, 如下的状态会随着如下顺序变化 :PD, TO,DC,C 及 Z 在 CSU8RP 的指令集中,FSR 是用于间接数据处理 ( 即实现间接寻址 ) 用户可以利用 FSR 来存放数据存储器中的某个寄存器地址, 然后通过 IND 寄存器对这个寄存器进行处理 CSU8RP 内带 8K bytes 的 OTP ROM 作为程序存储器 由于指令的操作码 (OPCODE) 是 6bits, 用户最多只能编程 4K 的指令 程序存储器的地址总线是 2bits, 数据总线是 6bits CSU8RP 内带 256bytes 的 SRAM 作为数据存储器 此数据存储器的地址总线是 8bits, 数据总线是 8bits Rev..2 第 页, 共 96 页

11 CSU8RP 标准功能 2.. 存储器. 程序存储器主要用于指令的存储, 在 CSU8RP 中, 该程序存储器是 4K*6bit 的 OTP, 对 于程序员来说, 该存储器只读, 不可以写入 系统的 reset 地址为 x, 中断入口地址为 x4, 需要注意的一点就是所有的中断共用同一 个中断入口地址 Program Counter Stack Level Stack Level2 Stack Level3 Stack Level4 Stack Level5 Stack Level6 Stack Level7 Stack Level8 Reset Vector Interrupt Vector xh x4h xfffh 图 2-2 程序存储器 2. 数据存储器主要用于程序运行过程中, 全局以及中间变量的存储 该存储器分为三个部分 地址的 x 至 x7 是系统特殊功能寄存器, 例如间接地址, 间接地址指针, 状态寄存器, 工作寄存器, 中断标志位, 中断控制寄存器 地址的 x8 至 x7f 外设特殊功能寄存器, 例如 IO 端口, 定时器,ADC,LCD 驱动, 系统特殊功能寄存器和外设特殊功能寄存器是用寄存器实现, 而通用数据存储器是 RAM 实现, 可以读出也可以写入 表 2-2 数据存储器地址分配 数据存储器 起始地址 结束地址 系统特殊功能寄存器外设特殊功能寄存器通用数据存储器 x x8 x8 x7 x7f xff 3. 通过 IND 以及 FSR 这两个寄存器可以对数据存储器以及特殊功能寄存器进行间接访问 当从间接地址寄存器 (IND) 读入数据时,MCU 实际上是以 FSR 中的值作为地址去访问数据存储器得到数据 当向间接寄存器 (IND) 写入数据时,MCU 实际上是以 FSR 中的值作为地址去访问数据存储器将值存入该地址 其访问方式见图 2-3 Rev..2 第 页, 共 96 页

12 CSU8RP 标准功能 IRP FSR XH x8 X8H Data Memory 384 Bytes X97 IND X97 X7FH 图 2-3 间接地址访问 Rev..2 第 2 页, 共 96 页

13 CSU8RP 标准功能 2..2 状态寄存器状态寄存器包含 ALU 的算术状态及复位状态 状态寄存器类似于其它寄存器, 可以作为任何指令的目标寄存器 如果状态寄存器是某条指令的目标寄存器, 而且影响到 Z,DC 或 C 位, 那么对这三个位的写是不使能 这些位是由器件逻辑进行置位或清零 TO 及 PD 位是不可写的 状态寄存器 ( 地址为 4h) 特性 STATUS R/W- IRP Bit7 R/W- IRP Bit6 U- Bit5 R- PD Bit4 R- TO Bit3 Bit 7 IRP:IND 间接页寻址位 = 间接寻址 IND 时, 访问后 28byte 地址 :H~7FH = 间接寻址 IND 时, 访问前 256byte 地址 :H~FFH Bit 6 Bit 4 Bit 3 Bit 2 Bit Bit R/W- DC Bit2 IRP:IND 间接页寻址位 = 间接寻址 IND 时, 访问后 28byte 地址 :H~7FH = 间接寻址 IND 时, 访问前 256byte 地址 :H~FFH PD: 掉电标志位 通过对此位写 清零,sleep 后置此位 = 执行 SLEEP 指令后 = 上电复位后 TO: 看门狗定时溢出标志 通过对此位写 清零, 看门狗定时溢出设置此位 = 看门狗定时溢出发生 = 上电复位后 DC: 半字节进位标志 / 借位标志, 用于 ADDWF(C) 及 SUBWF(C) 用于借位时, 极性相反 = 结果的第 4 位出现进位溢出 = 结果的第 4 位不出现进位溢出 C: 进位标志 / 借位标志用于借位时, 极性相反 = 结果的最高位 (MSB) 出现进位溢出 = 结果的最高位 (MSB) 不出现进位溢出 Z: 零标志 = 算术或逻辑操作结果为 = 算术或逻辑操作结果不为 R/W- C Bit R/W- Z Bit 特性 (Property): R = 可读位 W = 可写位 -n = 上电复位后的值 = 位已设置 U = 无效位 = 位已清零 X = 不确定位 Rev..2 第 3 页, 共 96 页

14 CSU8RP 标准功能 2..3 INTE 及 INTF 中断寄存器 中断系统的入口地址为 x4, 各个中断之间没有优先级, 靠程序控制各个中断的优先级 只要有中断标志位, 就会有中断响应, 响应中断之后需要软件将中断标志位清除, 否则会不断响应中断 INTE 及 INTF 寄存器是可读 可写的, 包括使能位及标志位, 用于中断器件 INTE 寄存器 ( 地址为 7h) 特性 INTE R/W- GIE Bit7 U- Bit6 U- Bit5 R/W- TMIE Bit4 U- Bit3 R/W- ADIE Bit2 R/W- EIE Bit R/W- EIE Bit Bit 7 Bit 4 Bit 2 Bit Bit GIE: 全局中断使能标志 = 使能所有非屏蔽中断 = 不使能所有中断 TMIE:8-Bit 定时器中断使能标志 = 使能定时器中断 = 不使能定时器中断 ADIE:ADC 中断使能标志 = 使能 ADC 中断 = 不使能 ADC 中断 EIE:PT2. 外部中断使能标志 = 使能 PT2. 外部中断 = 不使能 PT2. 外部中断 EIE:PT2. 外部中断使能标志 = 使能 PT2. 外部中断 = 不使能 PT2. 外部中断 INTF 寄存器 ( 地址为 6h) 特性 INTF U- Bit7 U- Bit6 U- Bit5 R- TMIF Bit4 R/W- Bit3 R- ADIF Bit2 R- EIF Bit R- EIF Bit Bit 4 Bit 2 Bit Bit TMIF:8-Bit 定时中断标志, 软件清零, 硬件置高 = 发生定时中断, 必须软件清 = 没发生定时中断 ADIF:ADC 中断标志, 软件清零, 硬件置高 = 发生 ADC 中断, 必须软件清 = 没发生 ADC 中断 EIF:PT2. 外部中断标志, 软件清零, 硬件置高 = 发生 PT2. 外部中断, 必须软件清 = 没发生 PT2. 外部中断 EIF:PT2. 外部中断志, 软件清零, 硬件置高 = 发生 PT2. 外部中断, 必须软件清 = 没发生 PT2. 外部中断 特性 (Property): R = 可读位 W = 可写位 -n = 上电复位后的值 = 位已设置 U = 无效位 = 位已清零 X = 不确定位 Rev..2 第 4 页, 共 96 页

15 CSU8RP 标准功能 2.2 SFR 2.2. 系统专用寄存器 系统专用寄存器用于完成 CPU 核的功能, 由间接地址, 间接地址指针, 状态寄存器, 工作寄存器, 中断标志及中断控制寄存器 表 2-3 系统寄存器表 地址 名称 Bit7 Bit6 Bi5 Bi4 Bit3 Bit2 Bit Bit 上电复位后 的值 h h 2h 3h 4h 5h 6h 7h IND IND FSR FSR STATUS WORK INTF INTE 以 FSR 中内容作为地址的数据存储器中的数据以 FSR 中内容作为地址的数据存储器中的数据间接数据存储器的地址指针 间接数据存储器的地址指针 IRP IRP PD TO 工作寄存器 TMIF GIE TMIE uuuuuuuu uuuuuuuu DC C Z u ADIF ADIE EIF EIE EIF EIE uuuu uuu 辅助专用寄存器 辅助专用寄存器是为辅助功能而设计, 比如 I/O 口, 定时器,ADC, 信号的条件控制寄存器, LCD 驱动 Rev..2 第 5 页, 共 96 页

16 CSU8RP 标准功能 表 2-4 辅助专用寄存器列表 地址名称 Bit7 Bit6 Bit5 Bit4 Bit3 Bit2 Bit Bit Ah Bh Ch Dh Eh Fh h h 2h 3h 4h 5h 8h 9h Ah Bh Ch Dh Fh 2h 2h 22h 23h 24h 25h 26h 27h 28h 29h 2Ah 36h 37h 38h 4h 4h 42h 43h 44h 45h 46h 47h 48h 49h 4Ah 4Bh 4Ch 4Dh 58h 59h EADRH EADRL EDATH WDTCON WDTOEN TMOUT TMCON ADOH ADOL ADOLL ADCON MCK PCK NETA NETB NETC NETD NETE NETF SVD PT PTEN PTPU AENB PT2 PT2EN PT2PU PT2MR PT3 PT3EN PT3PU TMIN TM2IN WDTIN LCD LCD2 LCD3 LCD4 LCD5 LCD6 LCD7 LCD8 LCD9 LCD LCD LCD2 LCD3 LCD4 LCDENR COMP WDTEN PARL[7:] EDATH[7:] Wdt_lcd TMOUT[7:] TRST EO_SLP TMEN TMEN2 ADO[23:6] ADO[5:8] ADO[7:] PARH[3:] WTS[2:] ADFEN COMBS ADM[2:] M7_CK M6_CK M5_CK M4_CK M3_CK M2_CK M_CK M_CK LCDSCKS[3:] S_BEEP[:] SINL[:] EN_IA EN_CHS[:] PGA_C[:] ERV CHS_IA CHS_MOD PGA_F[3:] ADEN CHP_VPP DIVS LCDCH LEVEL_S VLCDX[:] LCDREF[:] LDOS[:] SILB[2:] ENLB LVR_EN ENVDDA BGIDA[:] BGID[:] ENVB LBOUT PT[7:4] PTEN[7:4] PTPU[7:4] AOENB3 AOENB2 AIENB AIENB3 PT2[7:6] PT2[3:] PT2EN[7:6] PT2EN[3:] PT2PU[7:6] PT2PU[3:] TMOEN BZEN EM[:] EM[:] PT3[7:3] PT3EN[6:3] PT3PU[7:3] TMIN[7:] TM2IN[7:] WDTIN[7:] SEG[3:] SEG2[3:] SEG3[3:] SEG4[3:] SEG5[3:] SEG6[3:] SEG7[3:] SEG8[3:] SEG9[3:] SEG[3:] SEG[3:] SEG2[3:] SEG3[3:] SEG4[3:] LCDCKS[:] LCDEN TCOM LCDWS LEVEL LCD_DUTY[:] ENPMPL 上电复位值 uuuu uu uuuu uuu uuu u uuuuuuu u uu u uuuuuuu uuuu uuuu uuuu uuuu uu uu uu uu uuu uuuu uuu uuuu uuuu uuuu uuuu uuuu uuuu uuuu uuuu uuuu uuuu uuuu uuuu uuuu uuuu uuuuuuu 注 : 以上无定义字节部分, 用户不能进行置 操作 若要对其进行置性能 操作, 可能会影响芯片工作或 Rev..2 第 6 页, 共 96 页

17 CSU8RP 标准功能 2.3 时钟系统 2.3. 振荡器状态 DGND DGND 22pF 22pF XIN XOUT M4_CK XTAL 32876Hz XTAL2 8/4MHz ECK ICK MUX MCK 内置 4MHz 晶振电路 图 2-4 CSU8RP 振荡器状态框图 表 2-5 CSU8RP 时钟系统寄存器列表 地址 名称 Bit7 Bits6 Bit5 Bits4 Bit3 Bits2 Bit Bits 上电复位值 4h MCK M7_CK M6_CK M5_CK M4_CK M3_CK M2_CK M_CK M_CK CSU8RP 有两个时钟源 一个是内部集成的时钟, 4MHz 的时钟供 CPU 工作, 另一个是外部时钟, 通过 M4_CK 来选择不同的晶振电路来选择 32768Hz 的时钟信号或者是 4MHZ~8MHZ 的高速晶振时钟 用户可以通过 MCK 寄存器来选择其中的一个时钟 请看图 2-4 Rev..2 第 7 页, 共 96 页

18 CSU8RP M4_CK 表 2-6 外部晶振电路选择列表 晶振电路 32KHz 晶振电路 4MHz~8MHz 晶振电路 M3_CK X M_CK 表 2-7 MCK 选择列表 MCK ICK ECK/4 ECK/8 用户必须正确设置 M7_CK 与 M6_CK 以使能内部及外部振荡器, 如表 2-8 所示 如果执行睡眠指令来使 CSU8RP 进行睡眠模式, 内部及外部振荡器将不使能 表 2-8 振荡器状态选择列表 输入 睡眠指令 EO_SLP M7_CK M6_CK M4_CK X X X X X X X x X x X x X x X 注 :X 即该位可为任意值 振荡器状态 内部振荡器 外部振荡器 Disable Disable Disable Enable Disable Disable Disable Disable Enable Enable Enable Disable Disable Enable Disable Disable 注意 : 在使用外部晶振时,32768Hz 的晶振需要 3ms 的起振时间 4MHz 的晶振需要 ms 的 起振时间 Rev..2 第 8 页, 共 96 页

19 CSU8RP CPU 指令周期 表 2- CSU8RP CPU 指令周期寄存器列表 地址 名称 Bit7 Bit6 Bit5 Bit4 Bit3 Bit2 Bit Bit 上电复位值 4h MCK M7_CK M6_CK M5_CK M4_CK M3_CK M2_CK M_CK M_CK 用户可以通过设置 M_CK,M_CK,M2_CK 及 M3_CK 来选择指令周期 ( 用户必须保证切换到外部振荡器时, 振荡器的输出是稳定的 ; 一般在切换后加一条 NOP 指令 ) 表 2-2 指令周期选择列表 M4_CK M3_CK M2_CK M_CK M_CK MCK(KHz) 指令周期 (KHz) X X ICK 4 MCK/8 5 X X ICK 4 MCK/6 25 X X X X ICK ICK 4 4 MCK/2 MCK/4 2 ECK/ MCK/8.24 ECK/ MCK/6.52 ECK/ MCK/ ECK/ MCK/ ECK/ MCK/8.52 ECK/ MCK/6.256 ECK/ MCK/ ECK/ MCK/4.24 ECK/4 MCK/8 25 ECK/4 MCK/ ECK/4 MCK/2 5 ECK/4 MCK/4 25 ECK/8 5 MCK/ ECK/8 MCK/ ECK/8 5 MCK/2 25 ECK/8 5 MCK/ Rev..2 第 9 页, 共 96 页

20 CSU8RP ADC 时钟 表 2- ADC 采样频率选择寄存器 地址名称 Bit7 Bit6 Bit5 Bit4 Bit3 Bit2 Bit Bit 上电复位值 Ah NETC PGA_F[3:] u CSU8RP 中 ADC 的采样频率用于采样信号 用户通过设置 PGA_F 来改变采样时钟, 设置如 表 2-2 表 2-2 ADC 采样频率选择 ( 使用 ICK 时 ) PGA_F[3:] ADCF 4M 2M M 5K 25K 4M 表 2-3 ADC 输出速率选择列表 ADM[2:] ADC 输出速率 ADCF/64 ADCF/28 ADCF/256 ADCF/52 ADCF/24 ADCF/248 ADCF/496 ADCF/892 Rev..2 第 2 页, 共 96 页

21 CSU8RP 蜂鸣器时钟 蜂鸣器时钟 表 2- 蜂鸣器时钟寄存器列表 地址 名称 Bit7 Bit6 Bit5 Bit4 Bit3 Bit2 Bit Bit 上电复位值 4h 5h MCK PCK M7_CK M6_CK M5_CK M4_CK M3_CK M2_CK M_CK S_BEEP M_CK uuu CSU8RP 有一个蜂鸣器时钟用于蜂鸣器源 用户通过设置 M_CK,M4_CK 及 S_BEEP 寄存器标志位来改变蜂鸣时钟, 设置如表 2-5 (ECK 以 32KHz,4MHZ 为例 ) 表 2-2 蜂鸣器时钟选择列表 M4_CK M_CK S_BEEP 时钟源 (KHz) BEEP CLOCK(KHz) ICK 4 ICK/256 6 ICK ICK 4 4 ICK/52 ICK/ ICK 4 ICK/248 2 ECK 32 ECK/ ECK 32 ECK/ ECK 32 ECK/ ECK 32 ECK/ ECK 4 ECK/24 4 ECK 4 ECK/248 2 ECK 4 ECK/496 ECK 4 ECK/892.5 Rev..2 第 2 页, 共 96 页

22 CSU8RP 蜂鸣器时钟 TMCLK( 定时器模块输入时钟 ) TMCLK 用于 CSU8RP 定时器 根据表 2-6, 用户通过正确设置 M5_CK 标志位以选择 TMCLK 的频率 (ECK 以 32KHz,4MHz 为例 ) 表 2-3 TMCLK 选择列表 M5_CK M4_CK M_CK M_CK 时钟源 (KHz) TMCLK(Hz) X X ICK ICK ECK ICK/24 ICK/496 ECK/32 ECK 32 ECK/32 ECK 4 ECK/496 ECK 4 ECK/6 X X ECK 32 X X ECK 4 ECK/ ECK/ TM2CLK 用于 CSU8RP 定时器 2 根据表 2-7, 用户通过正确设置 M4_CK 以及 M_CK 标志位以选择 TMCLK 的频率 (ECK 以 32KHz,4MHz 为例 ) 表 2-4 TM2CLK 选择列表 M4_CK M_CK 时钟源 (KHz) TM2CLK(KHz) X ICK ECK ECK ICK/4 ECK/8 ECK/8 4 5 Rev..2 第 22 页, 共 96 页

23 CSU8RP 蜂鸣器时钟 LCDCLK(LCD 模块输入时钟 ) LCDCLK 用于 CSU8RPLCD 模块 根据表 2-8, 用户通过正确设置 M5_CK 标志位以选择 TMCLK 的频率 (ECK 以 32KHz,4MHz 为例 ) 表 2-5 LCDCLK 选择列表 M4_CK M_CK M5_CK 时钟源 (KHz) LCDSCK(KHz) X ICK 4 ICK/28 32 X X ECK ECK ECK ECK ECK ECK/52 ECK ECK Rev..2 第 23 页, 共 96 页

24 CSU8RP 蜂鸣器时钟 2.4 定时器 TMIN TMEN TMOUT EN OUT TMIF Compare TMCLK Frequency Divider TMCLK/4 CLK 8 Bits Counter 图 2- 定时模块的功能框图 定时器模块的输入是 TMCLK 在定时器模块集成了一个分频器对 TMCLK 进行 4 分频, 分频的时钟作为 8 bits 计数器的输入时钟 当用户设置了定时器模块的使能标志,8 bits 计数器将启动, TMOUT[7:] 将会从 h 递增到 TMIN 用户需要设置 TMIN( 定时器模块中断信号选择器 ) 以选择定时超时中断信号 当定时超时发生时, 中断标志位会自设置, 程序计数器会跳转到 4h 以执行中断服务程序 表 2-6 定时器寄存器列表 地址 名称 Bit7 Bit6 Bit5 Bit4 Bit3 Bit2 Bit Bit 上电复位 值 6H 7H EH FH 36H INTF INTE TMOUT TMCON TMIN GIE TRST TMIF TMIE TMOUT[7:] TMEN TMIN[7:] 操作 :. 设置 TMCLK, 为定时器模块选择输入 2. 设置 TMIN, 选择定时器中断源 3. 设置寄存器标志位 :TMIE 与 GIE, 使能定时器中断 4. 设置寄存器标志位 :TMEN, 使能定时器模块的 8 bits 计数器 5. 清零寄存器标志位 :TRST, 复位定时器模块的计数器 6. 当定时超时发生时, 寄存器标志位 TMIF 会自复位, 程序计数器会复位为 4h 定时器时间计算方法 : 定时器时间 =(TMIN+)*4/TMCLK. uuuu uuu uuuu Rev..2 第 24 页, 共 96 页

25 CSU8RP 蜂鸣器时钟 2.5 定时器 2 TM2IN TM2EN TM2REG EN OUT Compare D SET Q TM2OUT TM2CLK CLK 8 Bits Counter CLR Q 图 2-2 定时器 2 模块的功能框图 定时器 2 模块的输入是 TM2CLK 当用户设置了定时器 2 模块的使能标志,8 bits 计数器将启动, TM2OUT[7:] 将会从 h 递增到 TM2IN 用户需要设置 TM2IN( 定时器模块中断信号选择器 ) 以选择定时超时中断信号 当定时超时发生时,TM2OUT 输出信号发生跳变 表 2-7 定时器寄存器列表 地址 名称 Bit7 Bit6 Bit5 Bit4 Bit3 Bit2 Bit Bit 上电复位 值 FH 27H 37H TMCON PT2MR TM2IN TMOEN TM2IN[7:] 操作 :. 设置 TM2CLK, 为定时器 2 模块选择输入 2. 设置 TM2IN 3. PT2.6 设置为输出端口 (PT2EN[6] 置高 ) 4. 将 TMOEN 置高,PT2.6 输出定时器 2 的时钟 TM2EN 5. 设置寄存器标志位 :TM2EN, 使能定时器模块的 8 bits 计数器 6. 当定时超时发生时,TM2OUT 输出信号发生跳变 定时器 2 时钟周期计算方法 : 定时器 2 时钟周期 =(TM2IN+)*2/TM2CLK. uuuu uu Rev..2 第 25 页, 共 96 页

26 CSU8RP 蜂鸣器时钟 2.6 I/O port 表 2-8 I/O 口寄存器表 地址 名称 Bit7 Bit6 Bit5 Bit4 Bit3 Bit2 Bit Bit 上电复位值 6h 7h 2h 2h 22h 23h 24h 25h 26h 27h 28h 29h 3h INTF INTE PT PTEN PTPU AENB PT2 PT2EN PT2PU PT2MR PT3 PT3EN PT3PU GIE AOENB3 PT2[7:6] PT2EN[7:6] PT2PU[7:6] BZEN PT[7:4] PTEN[7:4] PTPU[7:4] EIF EIE EIF EIE AOENB2 AIENB AIENB3 PT2[3:] PT2EN[3:] PT2PU[3:] EM[:] EM[:] PT3[7:3] PT3EN[6:3] PT3PU[7:3] uuuu uuu uuuu uuuu uuuu uuuu uu uu uu uuuuu uuu uuu uuu 微控制器中的通用 I/O 口 (GPIO) 用于通用的输入与输出功能 用户可以通过 GPIO 接收数据信号或将数据传送给其它的数字设备 CSU8RP 的部分 GPIO 可以被定义为其它的特殊功能 在本节, 只说明 GPIO 的通用 I/O 口功能, 特殊功能将会在接下来的章节中说明 PT 寄存器 ( 地址为 2h) 特性 R/W-X R/W-X R/W-X R/W-X U- U- U- U- PT PT[7:4] Bit7 Bit6 Bit5 Bit4 Bit3 Bit2 Bit Bit Bit 7- PT[7:4]:GPIO 口数据标志 PT[7] = GPIO bit 7 数据标志位 PT[6] = GPIO bit 6 数据标志位 PT[5] = GPIO bit 5 数据标志位 PT[4] = GPIO bit 4 数据标志位 PTEN 寄存器 ( 地址为 2h) 特性 R/W- R/W- R/W- R/W- U- U- U- U- PTEN PTEN[7:4] Bit7 Bit6 Bit5 Bit4 Bit3 Bit2 Bit Bit Bit 7- PTEN[7:4]:GPIO 口输入 / 输出控制标志 PTEN[7] = GPIO bit 7 的 I/O 控制标志位 ; = 定义为输入口, = 定义为输出口 PTEN[6] = GPIO bit 6 的 I/O 控制标志位 ; = 定义为输入口, = 定义为输出口 PTEN[5] = GPIO bit 5 的 I/O 控制标志位 ; = 定义为输入口, = 定义为输出口 PTEN[4] = GPIO bit 4 的 I/O 控制标志位 ; = 定义为输入口, = 定义为输出口 特性 (Property): R = 可读位 W = 可写位 U = 无效位 -n = 上电复位后的值 = 位已设置 = 位已清零 X = 不确定位 Rev..2 第 26 页, 共 96 页

27 CSU8RP 蜂鸣器时钟 PTPU 寄存器 ( 地址为 22h) 特性 R/W- R/W- R/W- R/W- U- U- U- U- PTPU PTPU[7:4] Bit7 Bit6 Bit5 Bit4 Bit3 Bit2 Bit Bit Bit 7- PTPU[7:4]:GPIO 口上拉电阻使能标志 PTPU[7] = GPIO bit 7 控制标志位 ; = 断开上拉电阻, = 使用上拉电阻 PTPU[6] = GPIO bit 6 控制标志位 ; = 断开上拉电阻, = 使用上拉电阻 PTPU[5] = GPIO bit 5 控制标志位 ; = 断开上拉电阻, = 使用上拉电阻 PTPU[4] = GPIO bit 4 控制标志位 ; = 断开上拉电阻, = 使用上拉电阻 AENB 寄存器 ( 地址为 23h) 特性 AENB R/W- AOENB3 Bit7 U- U- R/W- R/W- R/W- U- U- AOENB2 AIENB AIENB3 Bit6 Bit5 Bit4 Bit3 Bit2 Bit Bit Bit 7 Bit 4 Bit 3 Bit 2 AOENB3:PT[6:3] 数模通道选择信号 = PT3[6:3] 全部定义为模拟通道 = PT3[6:3] 全部定义为数字通道 AOENB2: PT2[3],PT2[2] 数模通道选择信号 = PT2[3],PT2[2] 定义为模拟通道 = PT2[3],PT2[2] 定义为数字通道 AIENB: PT[4] 数模通道选择信号 = PT[4] 定义为模拟通道 = PT[4] 定义为数字通道 AIENB3: 复位信号选择信号 = PT3[7] 定义为复位信号 = PT3[7] 定义为数字通道 PT2 寄存器 ( 地址为 24h) 特性 R/W-X R/W-X U- U- R/W-X PT2 PT2[7:6] Bit7 Bit6 Bit5 Bit4 Bit3 Bit 7- PT2[7:]:GPIO2 口数据标志位 PT2[7] = GPIO2 bit 7 的数据标志位 PT2[6] = GPIO2 bit 6 的数据标志位 PT2[3] = GPIO2 bit 3 的数据标志位 PT2[2] = GPIO2 bit 2 的数据标志位 PT2[] = GPIO2 bit 的数据标志位 PT2[] = GPIO2 bit 的数据标志位 R/W-X R/W-X PT2[3:] Bit2 Bit R/W-X Bit 特性 (Property): R = 可读位 W = 可写位 U = 无效位 -n = 上电复位后的值 = 位已设置 = 位已清零 X = 不确定位 Rev..2 第 27 页, 共 96 页

28 CSU8RP 蜂鸣器时钟 PT2EN 寄存器 ( 地址为 25h) 特性 R/W- R/W- U- U- R/W- PT2EN PT2EN[7:6] Bit7 Bit6 Bit5 Bit4 Bit3 R/W- R/W- PT2EN[3:] Bit2 Bit R/W- Bit Bit 7- PT2EN[7:]:GPIO 2 口输入 / 输出控制标志 PT2EN[7] = GPIO2 bit 7 的 I/O 控制标志位 ; = 定义为输入口, = 定义为输出口 PT2EN[6] = GPIO2 bit 6 的 I/O 控制标志位 ; = 定义为输入口, = 定义为输出口 PT2EN[3] = GPIO2 bit 3 的 I/O 控制标志位 ; = 定义为输入口, = 定义为输出口 PT2EN[2] = GPIO2 bit 2 的 I/O 控制标志位 ; = 定义为输入口, = 定义为输出口 PT2EN[] = GPIO2 bit 的 I/O 控制标志位 ; = 定义为输入口, = 定义为输出口 PT2EN[] = GPIO2 bit 的 I/O 控制标志位 ; = 定义为输入口, = 定义为输出口 PT2PU 寄存器 ( 地址为 26h) 特性 R/W- R/W- U- U- R/W- PT2PU PT2PU[7:6] Bit7 Bit6 Bit5 Bit4 Bit3 R/W- R/W- PT2PU[3:] Bit2 Bit R/W- Bit Bit 7- PT2PU[7:]:GPIO2 口上拉电阻使能标志 PT2PU[7] = GPIO2 bit 7 控制标志位 ; = 断开上拉电阻, = 使用上拉电阻 PT2PU[6] = GPIO2 bit 6 控制标志位 ; = 断开上拉电阻, = 使用上拉电阻 PT2PU[3] = GPIO2 bit 3 控制标志位 ; = 断开上拉电阻, = 使用上拉电阻 PT2PU[2] = GPIO2 bit 2 控制标志位 ; = 断开上拉电阻, = 使用上拉电阻 PT2PU[] = GPIO2 bit 控制标志位 ; = 断开上拉电阻, = 使用上拉电阻 PT2PU[] = GPIO2 bit 控制标志位 ; = 断开上拉电阻, = 使用上拉电阻 PT2MR 寄存器 ( 地址为 27h) 特性 U- U- U- R/W- PT2MR R/W- BZEN Bit7 Bit6 Bit5 Bit4 Bit3 R/W- EM[:] Bit2 R/W- R/W- EM[:] Bit Bit Bit 7 BZEN: 蜂鸣器使能标志 = 使能蜂鸣器功能,GPIO2 口 bit 7 定义为蜂鸣器输出口 = 不使能蜂鸣器功能,GPIO2 口 bit 7 定义通用 I/O 口 Bit 3-2 EM[:]:GPIO2 口 bit 中断触发模式 = 外部中断 (GPIO2 口 bit ) 在状态改变时触发 = 外部中断 (GPIO2 口 bit ) 在状态改变时触发 = 外部中断 (GPIO2 口 bit ) 为上升沿触发 = 外部中断 (GPIO2 口 bit ) 为下降沿触发 Bit - EM[:]:GPIO2 口 bit 中断触发模式 = 外部中断 (GPIO2 口 bit ) 在状态改变时触发 = 外部中断 (GPIO2 口 bit ) 在状态改变时触发 = 外部中断 (GPIO2 口 bit ) 为上升沿触发 = 外部中断 (GPIO2 口 bit ) 为下降沿触发 特性 (Property): R = 可读位 W = 可写位 U = 无效位 -n = 上电复位后的值 = 位已设置 = 位已清零 X = 不确定位 Rev..2 第 28 页, 共 96 页

29 CSU8RP 蜂鸣器时钟 PT3 寄存器 ( 地址为 28h) 特性 R/W-X R/W-X R/W-X R/W-X R/W-X U- U- U- PT3 PT3[7:3] Bit7 Bit6 Bit5 Bit4 Bit3 Bit2 Bit Bit Bit 7- PT3[7:3]:GPIO3 口数据标志位 PT3[7] = GPIO3 bit 7 的数据标志位 PT3[6] = GPIO3 bit 6 的数据标志位 PT3[5] = GPIO3 bit 5 的数据标志位 PT3[4] = GPIO3 bit 4 的数据标志位 PT3[3] = GPIO3 bit 3 的数据标志位 PT3EN 寄存器 ( 地址为 29h) 特性 R- R/W- R/W- R/W- R/W- U- U- U- PT3EN PT3EN[6:3] Bit7 Bit6 Bit5 Bit4 Bit3 Bit2 Bit Bit Bit 7- PT3EN[6:3]:GPIO 3 口输入 / 输出控制标志 PT3EN[6] = GPIO3 bit 6 的 I/O 控制标志位 ; = 定义为输入口, = 定义为输出口 PT3EN[5] = GPIO3 bit 5 的 I/O 控制标志位 ; = 定义为输入口, = 定义为输出口 PT3EN[4] = GPIO3 bit 4 的 I/O 控制标志位 ; = 定义为输入口, = 定义为输出口 PT3EN[3] = GPIO3 bit 3 的 I/O 控制标志位 ; = 定义为输入口, = 定义为输出口 PT3PU 寄存器 ( 地址为 2Ah) 特性 R/W- R/W- R/W- R/W- R/W- U- U- U- PT3PU PT3PU[7:3] Bit7 Bit6 Bit5 Bit4 Bit3 Bit2 Bit Bit Bit 7- PT3PU[7:3]:GPIO3 口上拉电阻使能标志 PT3PU[7] = GPIO3 bit 7 控制标志位 ; = 断开上拉电阻, = 使用上拉电阻 PT3PU[6] = GPIO3 bit 6 控制标志位 ; = 断开上拉电阻, = 使用上拉电阻 PT3PU[5] = GPIO3 bit 5 控制标志位 ; = 断开上拉电阻, = 使用上拉电阻 PT3PU[4] = GPIO3 bit 4 控制标志位 ; = 断开上拉电阻, = 使用上拉电阻 PT3PU[3] = GPIO3 bit 3 控制标志位 ; = 断开上拉电阻, = 使用上拉电阻 特性 (Property): R = 可读位 W = 可写位 -n = 上电复位后的值 = 位已设置 U = 无效位 = 位已清零 X = 不确定位 Rev..2 第 29 页, 共 96 页

30 CSU8RP 蜂鸣器时钟 2.6. 带模拟输入通道的数字 I/O 口 :PT[4] Databus[7:] PTPU[4] PT[4] AR==PT Write D LOAD CK Q PTEN[4] AIENB READ&AR==PT LPD 图 2-3 PT[7:] 功能框图 GPIO 口 (PT[4]) 功能框图如图 2-8 所示 GPIO 的主要功能是用于数据总线与接口之间的交换 通过控制寄存器标志 PTEN[4] 以决定接口是输入或输出 输入与输出功能及相关的功能解释如下 输入 GPIO 接口 bit 4(PT[4]) 可用于输入数字或模拟信号 用户应该控制寄存器标志 AIENB 决定 输入信号的类型 如果 AIENB 被置位 ( 即为 ),GPIO 接口中的与门允许数字信号连接到数据总线, 否则, 输入信号被定义为模拟信号, 模拟信号被发送到相应的功能模块 输出 CSU8RP 通过内部 D 触发器输出数字信号 当程序通过 PT 输出数据时, 数据首先被发送到 数据总线, 当有写信号及 AR(CSU8RP 内部器件地址指针 ) 指向 PT 时, 然后 D 触发器会锁存数 据从 PT 口输出 上拉电阻 CSU8RP 在 PT 口集成内部上拉电阻功能, 上拉电阻大约为 KΩ( 上拉电流大约为 3uA 当程序要运行至睡眠模式之前, 须禁止 PTPU) 可通过控制寄存器标志 PTPU[4] 决定是否连接上拉电阻 当接口接上拉电阻时, 输入数据默认为高 ( 即为 ) Rev..2 第 3 页, 共 96 页

31 CSU8RP 蜂鸣器时钟 表 2-9 PT 寄存器列表 地址 名称 Bit7 Bits6 Bit5 Bits4 Bit3 Bits2 Bit Bits 上电复位值 2h 2h 22h 23h PT PTEN PTPU AENB PT[4] PTEN[4] PTPU[4] AIENB uuuu uuuu uuuu uuu 读数据操作 :. 清零寄存器标志位 :PTEN[4] PT [4] 被定义为输入口 2. 置位寄存器标志位 :PTPU[4] PT [4] 接口连接到一个内部上拉电阻 3. 如果输入信号是数字信号, 置位寄存器标志位 :AIENB 4. 如果输入信号是模拟信号, 清零寄存器标志位 : AIENB, 同时将 PTEN[4] 置低 ( 设置为数字输 入 ),PTPU [4] 置低 ( 没有上拉电阻 ) 5. 需先使能 VDDA 稳压电路, 模拟输入才能正常工作 6. 在信号从外部输入后, 用户可以从 PT[4] 获得数据 写数据操作 :. 置位寄存器标志 PTEN[4] PT [4] 被定义为输出口 2. 置位相应的寄存器标志 PTPU[4] PT [4] 连接到内部的上拉电阻 3. 设置 PT[4] 作为数据输出, 内部的 D 触发器将锁存数据直到 PT[4] 的数据改变 注意操作 :. 为了在睡眠模式下保持低工作电流, 应避免出现数字输入口悬空的情况 2. 在 I/O 口与 VDD 之间并联一个小电阻 ( 大约 KΩ), 当 PTPU[4] 被置位时, 可以增加输出的驱动电流, Rev..2 第 3 页, 共 96 页

32 CSU8RP 蜂鸣器时钟 数字 I/O 口 :PT[7:5] PTPU[7:5] Databus[7:] PT[7:5] AR==PT Write D LOAD CK Q PTEN[7:5] READ&AR==PT 图 2-4 PT[7:5] 功能框图 GPIO 口 (PT[7:5]) 功能框图如图 2-8 所示 GPIO 的主要功能是用于数据总线与接口之间的交换 通过控制寄存器标志 PTEN[7:5] 以决定接口是输入或输出 输入与输出功能及相关的功能解释如下 输入 GPIO 接口 bit 7~bit 5(PT[7:5]) 可用于输入数字 当 PTEN[n] 置为 时,PT[7:5] 设置为数字输入 输出 CSU8RP 通过内部 D 触发器输出数字信号 当程序通过 PT 输出数据时, 数据首先被发送到 数据总线, 当有写信号及 AR(CSU8RP 内部器件地址指针 ) 指向 PT 时, 然后 D 触发器会锁存数 据从 PT 口输出 上拉电阻 CSU8RP 在 PT 口集成内部上拉电阻功能, 上拉电阻大约为 KΩ( 上拉电流大约为 3uA 当程序要运行至睡眠模式之前, 须禁止 PTPU) 可通过控制寄存器标志 PTPU[7:5] 决定是否连接上拉电阻 当接口接上拉电阻时, 输入数据默认为高 ( 即为 ) Rev..2 第 32 页, 共 96 页

33 CSU8RP 蜂鸣器时钟 表 2- PT 寄存器列表 地址 名称 Bit7 Bit6 Bit5 Bit4 Bit3 Bit2 Bit Bit 上电复位值 2h 2h 22h PT PTEN PTPU PT[7:4] PTEN[7:4] PTPU[7:4] uuuu uuuu uuuu 读数据操作 :. 清零寄存器标志位 :PTEN[n](n 是用户要控制的 bit) PT [n] 被定义为输入口 2. 置位寄存器标志位 :PTPU[n] PT [n] 接口连接到一个内部上拉电阻 3. 在信号从外部输入后, 用户可以从 PT[n] 获得数据 写数据操作 :. 置位寄存器标志 PTEN[n] PT [n] 被定义为输出口 2. 置位相应的寄存器标志 PTPU[n] PT [n] 连接到内部的上拉电阻 3. 设置 PT[n] 作为数据输出, 内部的 D 触发器将锁存数据直到 PT[n] 的数据改变 注意操作 :. 在 I/O 口与 VDD 之间并联一个小电阻 ( 大约 KΩ), 当 PTPU[n] 被置位时, 可以增加输出的驱动电流 Rev..2 第 33 页, 共 96 页

34 CSU8RP 蜂鸣器时钟 数字 I/O 口与外部中断输入 :PT2[:] Databus[7:] PT2PU[:] PT2[:] AR==PT2 Write D LOAD CK Q PT2EN[:] READ&AR==PT2 图 2-5 PT2[:] 功能框图 GPIO2 口的 bit~(pt2[:]) 功能框图如图 2-9 所示 此 GPIO 口的主要功能是用于数据在数据 总线与端口之间的输入 / 输出 通过控制寄存器标志 PT2EN[:] 以决定接口是输入或输出 输入与输出 功能及相关的功能解释如下 : 输入 GPIO2 口 bit~(pt2[:]) 可作为外部中断接口 INT 与 INT, 或者作为普通 I/O 口 通过控制 INTE 寄存器的标志位 EIE 与 EIE 以决定是否使能中断 中断触发模式是由寄存器标志 :EM[:], EM[:] 决定 输出 CSU8RP 通过内部 D 触发器输出数字数据 当程序通过 PT2 输出数据时, 数据首先被发送到 数据总线, 当有写信号及 AR(CSU8RP 内部器件地址指针 ) 指向 PT2 时, 然后 D 触发器会锁存数 据从 PT2 口输出 上拉电阻 CSU8RP 在 PT2 口集成内部上拉电阻功能, 上拉电阻大约为 KΩ( 上拉电流大约为 3uA 当程序要运行至睡眠模式之前, 须禁止 PT2PU) 可通过控制寄存器标志 PT2PU[:] 决定是否连接上拉电阻 当接口接上拉电阻时, 输入数据默认为高 ( 即为 ) Rev..2 第 34 页, 共 96 页

35 CSU8RP 蜂鸣器时钟 表 2- PT2 寄存器列表 地址 名称 Bit7 Bits6 Bit5 Bits4 Bit3 Bits2 Bit Bits 上电复位值 6h 7h INTF INTE GIE EIF EIE EIF EIE 24h PT2 PT2[7:6] PT2[3:] 25h PT2EN PT2EN[7:6] PT2EN[3:] 26h PT2PU PT2PU[7:6] PT2PU[3:] 27h PT2MR EM[:] EM[:] 读数据操作 :. 清零寄存器标志位 :PT2EN[n](n 是用户要控制的 bit) PT2[n] 被定义为输入口 2. 置位寄存器标志位 :PT2PU[n] PT2[n] 接口连接到一个内部上拉电阻 3. 在信号从外部输入后, 用户可以从 PT2[n] 获得数据 uuuu uuu uu uu uu uu 写数据操作 :. 置位寄存器标志 PT2EN[n] PT2[n] 被定义为输出口 2. 置位相应的寄存器标志 PT2PU[n] PT2[n] 连接到内部的上拉电阻 3. 设置 PT2[n] 作为数据输出, 内部的 D 触发器将锁存数据直到 PT2[n] 的数据改变 外部中断操作 ( 以下降沿触发为例子 ). 清零寄存器标志位 PT2EN[n] PT2[n] 被定义为输入口 2. 置位相应的寄存器标志 PT2PU[n] PT2[n] 连接到内部的上拉电阻 3. 置 EM[:] 为, 定义 INT 的中断触发模式为 下降沿触发 4. 置 EM[:] 为, 定义 INT 的中断触发模式为 下降沿触发 注意操作 :. 在 I/O 口与 VDD 之间并联一个小电阻 ( 大约 KΩ), 当 PT2PU[n] 被置位时, 可以增加输出的驱动电流, Rev..2 第 35 页, 共 96 页

36 CSU8RP 蜂鸣器时钟 带外部晶振的数字 I/O 口 PT2[3:2] Databus[7:] PT2PU[3:2] PT2[3:2] AR==PT2 Write D LOAD CK Q PT2EN[3:2] AOENB2 READ&AR==PT2 OSC AOENB2 图 2-6 PT2[3:2] 功能框图 GPIO2 口 bit 3:2(PT2[3:2]) 的功能框图如所示 此 GPIO 口的主要功能是用于数据在数据总线与 端口之间的输入 / 输出 通过控制寄存器标志 PT2EN[3:2] 以决定接口是输入或输出 输入与输出功能及 相关的功能解释如下 : 输入 GPIO2 口 bit3:2(pt2[3:2]) 可以作为通用的 I/O 口 输出 CSU8RP 使用内部 D 锁存器输出数字数据 当程序通过 PT2 输出数据时, 数据首先被发送到 数据总线, 当有写信号及 AR(CSU8RP 内部器件地址指针 ) 指向 PT2 时, 然后 D 触发器会锁存数 据从 PT2 口输出 上拉电阻 CSU8RP 在 PT2 口集成内部上拉电阻功能, 上拉电阻大约为 KΩ( 上拉电流大约为 3uA 当程序要运行至睡眠模式之前, 须禁止 PT2PU) 可通过控制寄存器标志 PT2PU[3:2] 决定是否连接上拉电阻 当接口接上拉电阻时, 输入数据默认为高 ( 即为 ) Rev..2 第 36 页, 共 96 页

37 CSU8RP 蜂鸣器时钟 表 2-2 PT2 寄存器列表 地址 名称 Bit7 Bits6 Bit5 Bits4 Bit3 Bits2 Bit Bits 上电复位值 24h 25h 26h 27h PT2 PT2EN PT2PU PT2[7:6] PT2EN[7:6] PT2PU[7:6] PT2MR BZEN PM2EN PMEN PT2[3:] PT2EN[3:] PT2PU[3:] uu uu uu uu 读数据操作 :. 清零寄存器标志位 :PT2EN[n](n 是用户要控制的 bit) PT2[n] 被定义为输入口 2. 置位寄存器标志位 :PT2PU[n] PT2[n] 接口连接到一个内部上拉电阻 3. 在信号从外部输入后, 用户可以从 PT2[n] 获得数据 写数据操作 :. 置位相应的寄存器标志位 :PT2EN[n] PT2[n] 被定义为输出口 2. 置位相应的寄存器标志位 :PT2PU[n] PT2[n] 连接到内部的上拉电阻 3. 设置 PT2[n] 作为数据输出, 内部的 D 触发器将锁存数据直到 PT2[n] 的数据改变 注意操作 :. 在 I/O 口与 VDD 之间并联一个小电阻 ( 大约 KΩ), 当 PT2PU[n] 被置位时, 可以增加输出的驱动电流 Rev..2 第 37 页, 共 96 页

38 CSU8RP 蜂鸣器时钟 带蜂鸣器输出的数字 I/O 接口 :PT2[7] Databus[7:] PT2PU[7] PT2[7] AR==PT2 Write D LOAD CK Q PT2EN[7] READ&AR==PT2 图 2-7 PT2[7] 功能框图 GPIO2 口 bit7(pt2[7]) 的功能框图如图 2- 所示 此 GPIO 口的主要功能是用于数据在数据总线 与端口之间的输入 / 输出 通过控制寄存器标志 PT2EN[7] 以决定接口是输入或输出 输入与输出功能及 相关的功能解释如下 : 输入 GPIO2 口 bit 7(PT2[7]) 可以作为蜂鸣器输出口, 或者作为普通 I/O 接口 通过设置寄存器标志 BZEN 决定是否使能蜂鸣器输出 输出 CSU8RP 使用内部 D 锁存器输出数字数据 当程序通过 PT2 输出数据时, 数据首先被发送到 数据总线, 当有写信号及 AR(CSU8RP 内部器件地址指针 ) 指向 PT2 时, 然后 D 触发器会锁存数 据从 PT2 口输出 上拉电阻 CSU8RP 在 PT2 口集成内部上拉电阻功能, 上拉电阻大约为 KΩ( 上拉电流大约为 3uA 当程序要运行至睡眠模式之前, 须禁止 PT2PU) 可通过控制寄存器标志 PT2PU[7] 决定是否连接上拉 电阻 当接口接上拉电阻时, 输入数据默认为高 ( 即为 ) Rev..2 第 38 页, 共 96 页

39 CSU8RP 蜂鸣器时钟 表 2-3 PT2[7] 寄存器列表 地址 名称 Bit7 Bits6 Bit5 Bits4 Bit3 Bits2 Bit Bits 上电复位值 24h 25h 26h PT2 PT2EN PT2PU PT2[7:6] PT2EN[7:6] PT2PU[7:6] PT2[3:] PT2EN[3:] PT2PU[3:] uu uu uu 读数据操作 :. 清零寄存器标志位 :PT2EN[7] PT2[7] 被定义为输入口 2. 置位相应的寄存器标志位 :PT2PU[7] PT2[7] 接口连接到一个内部上拉电阻 3. 在信号从外部输入后, 用户可以从 PT2[7] 获得数据 写数据操作 :. 置位相应的寄存器标志位 :PT2EN[7] PT2[7] 被定义为输出口 2. 置位相应的寄存器标志位 :PT2PU[7] PT2[7] 连接到内部的上拉电阻 3. 设置 PT2[7] 作为数据输出, 内部的 D 触发器将锁存数据直到 PT2[7] 的数据改变 蜂鸣器输出操作 :. 置位寄存器标志位 PT2EN[7] PT2[7] 定义为输出口 2. 置位寄存器标志位 S_BEEP, 设置蜂鸣器频率 3. 置位寄存器标志位 BZEN PT2[7] 就作为蜂鸣器输出口 注意操作 :. 在 I/O 口与 VDD 之间并联一个小电阻 ( 大约 KΩ), 当 PT2PU[7] 被置位时, 可以增加输出的驱动电流 Rev..2 第 39 页, 共 96 页

40 CSU8RP 蜂鸣器时钟 带 LCD Segment 驱动输出的数字 I/O 口 :PT3[6:3] Databus[7:] PT3PU[6:3] PT3[6:3] AR==PT3 Write D LOAD CK Q PT3EN[6:3] AOENB3 READ&AR==PT3 SEG[6:3] AOENB3 图 2-8 PT3[6:3] 功能框图 GPIO3 口 (PT3[6:3]) 功能框图如图 2-2 所示 GPIO 的主要功能是用于数据总线与接口之间的交换 通过控制寄存器标志 PT3EN[6:3] 以决定接口是输入或输出 同时还可以作为 LCD 的 Seg[6:3] 的输出 由 AOENB3 决定究竟是作为 I/O 使用还是作为 LCD 驱动输出使用 默认为 LCD 驱动输出 输入与输出功能及相关的功能解释如下 输入 GPIO3 接口 bit 6~bit 3(PT3[6:3]) 可用于输入数字 用户应该控制寄存器标志 PT3EN 为. 输出 GPIO3 接口 bit 6~bit 3(PT3[6:3]) 可用于输入数字或者模拟信号输出 ( 仅限于 seg 信号输出 ) 用 户应该控制寄存器标志 AOENB3 决定输出信号的类型 如果 AOENB3 被置位 ( 即为 ),GPIO3 接口中的与门允许数字信号连接到数据总线, 否则, 输出信号被定义为模拟信号 CSU8RP 通过内部 D 触发器输出数字信号 当程序通过 PT3 输出数据时, 数据首先被发送到 数据总线, 当有写信号及 AR(CSU8RP 内部器件地址指针 ) 指向 PT3 时, 然后 D 触发器会锁存数 据从 PT3 口输出 上拉电阻 Rev..2 第 4 页, 共 96 页

41 CSU8RP 蜂鸣器时钟 CSU8RP 在 PT3 口集成内部上拉电阻功能, 上拉电阻大约为 KΩ( 上拉电流大约为 3uA 当程序要运行至睡眠模式之前, 须禁止 PT3PU) 可通过控制寄存器标志 PT3PU[6:3] 决定是否连接上拉电阻 当接口接上拉电阻时, 输入数据默认为高 ( 即为 ) 表 2-4 PT3 寄存器列表 地址名称 Bit7 Bit6 Bit5 Bit4 Bit3 Bit2 Bit Bit 上电复位值 28h 29h 2Ah 23h PT3 PT3EN PT3PU AENB AOENB3 PT3[7:3] PT3EN[6:3] PT3PU[7:3] uuu uuuu uuu uuu 读数据操作 :. 清零寄存器标志位 :PT3EN[n](n 是用户要控制的 bit) PT3 [n] 被定义为输入口 2. 置位寄存器标志位 :PT3PU[n] PT3 [n] 接口连接到一个内部上拉电阻 3. 在信号从外部输入后, 用户可以从 PT3[n] 获得数据 写数据操作 :. 置位寄存器标志 PT3EN[n] PT3 [n] 被定义为输出口 2. 置位相应的寄存器标志 PT3PU[n] PT3 [n] 连接到内部的上拉电阻 3. 如果输出信号是数字信号, 置位寄存器标志位 :AOENB3; 如果输出信号是模拟信号, 清零寄存器标志位 :AOENB3 4. 需先使能 LCD 电路,SEG 才能正常工作 5. 设置 PT3[n] 作为数据输出, 内部的 D 触发器将锁存数据直到 PT3[n] 的数据改变 Rev..2 第 4 页, 共 96 页

42 CSU8RP 蜂鸣器时钟 带复位的数字输入口 :PT3[7] Databus[7:] PT3PU[7] PT3[7] AIENB3 READ&AR==PT3 RST 图 2-9 PT3[7] 功能框图 GPIO3 口 (PT3[7]) 功能框图如图 2-3 PT3[7] 功能框图所示 由 AIENB3 决定究竟是作为数字输入口使用还是作为复位信号输入使用 默认为复位输入 数字输入的相关的功能解释如下 输入 GPIO3 接口 bit 7(PT3[7]) 可用于输入数字 用户应该控制寄存器标志 AIENB3 为. 上拉电阻 CSU8RP 在 PT3 口集成内部上拉电阻功能, 上拉电阻大约为 KΩ( 上拉电流大约为 3uA 当程序要运行至睡眠模式之前, 须禁止 PT3PU) 可通过控制寄存器标志电阻 当接口接上拉电阻时, 输入数据默认为高 ( 即为 ) PT3PU[7] 决定是否连接上拉 Rev..2 第 42 页, 共 96 页

43 CSU8RP 蜂鸣器时钟 表 2-5 PT3 寄存器列表 地址名称 Bit7 Bit6 Bit5 Bit4 Bit3 Bit2 Bit Bit 上电复位值 28h 29h 2Ah 23h PT3 PT3EN PT3PU AENB AOENB3 PT3[7:3] PT3EN[6:3] PT3PU[7:3] uuu uuuu uuu uuu 读数据操作 :. 置位寄存器标志位 :AIENB3 PT3 [7] 被定义为数字输入口 2. 置位寄存器标志位 :PT3PU[7] PT3 [7] 接口连接到一个内部上拉电阻 3. 在信号从外部输入后, 用户可以从 PT3[7] 获得数据 Rev..2 第 43 页, 共 96 页

44 CSU8RP 蜂鸣器时钟 LCD segment 作为数字输出口 LCD 的 segment 口在配置了 NETD 寄存器中的 LCDCH 和 LEVEL_S 寄存器以后, 可以作为数字的 IO 口来进行输出 地址名称 Bit7 Bit6 Bit5 Bit4 Bit3 Bit2 Bit Bit 上电复位值 Bh 58h NETD LCDENR CHP_VPP DIVS LCDCKS[:] LCD_CH LCDEN LEVEL_S LCDWS VLCDX[:] LCDREF[:] LEVEL LCD_DUTY[:] ENPMPL 操作说明 : 将 LCDCH 置高,seg 口可以用作数字输出 2 设置 LEVEL_S 信号 当 LEVEL_S 为 时 lcd_x 寄存器值为 XF 时输出高电平, lcd_x 寄存器值为 X 时输出低电平 当 LEVEL_S 为 时 lcd_x 寄存器值为 XF 时输出低电平, lcd_x 寄存器值为 X 时输出高电平 Rev..2 第 44 页, 共 96 页

45 CSU8RP 增强功能 3 增强功能 3. 电源系统 3.. Regulator 表 3- 稳压电路寄存器列表 地址 名称 Bit7 Bit6 Bit5 Bit4 Bit3 Bit2 Bit Bit 上电复位值 Ch Dh NETE NETF LDOS[:] ENVDDA ENVB uu u 3... 供调制器 LDO REF REFP ADC 2.3V,2.5V,2.8V,3.V 可选 VS VOUT LDO ENVDDA LDOS[:] 图 3- 模拟部分稳压电路 如图 3- 所示, 用于产生 VS 作为传感器和 ADC 的参考电压, 通过选择 LDOS 可以使输出 2.3V, 2.5V,2.8V,3.V 可选 ENVDDA 作为 LDO 的使能信号 LDO 的控制寄存器标志是 ENVDDA 与 LDOS 输出电压是 VS ENVB 作为整个模拟电源部分的使能信号, 关断之后 ADC 和 LCD change pump 等将会不工作 Rev..2 第 45 页, 共 96 页

46 CSU8RP 增强功能 NETE 寄存器 ( 地址 =Ch) 特性 R/W- R/W- R/W- U- U- U- U- U- NETE LDOS[:] Bit7 Bit6 Bit5 Bit4 Bit3 Bit2 Bit Bit Bit7~6 LDOS[:]:VS 电压值选择 LDOS[:] = VS=3.V LDOS[:] = VS=2.8V LDOS[:] = VS=2.5V LDOS[:] = VS=2.3V NETF 寄存器 ( 地址 =Dh) 特性 NETF U- Bit7 U- Bit6 R/W- ENVDDA Bit5 U- Bit4 U- Bit3 U- Bit2 U- Bit R/W- ENVB Bit Bit5 ENVDDA:LDO 使能信号 ENVDDA=:LDO 使能 ENVDDA=:LDO 不使能 Bit ENVB: 模拟电源使能信号 ENVB=: 模拟电源使能 ENVB=: 模拟电源不使能 操作 :. 将 ENVDDA 置高 2. 设置 ENVB 置高 3. 设置 LDOS[:], 选择 VS 值 Rev..2 第 46 页, 共 96 页

47 CSU8RP 增强功能 3..2 低电压比较器 SILB[2:] AIN4 MUX.24V + - LBOUT 图 3-2 低电压比较功能模块框图 低电压比较器用于 DVDD 的低电压检测 CSU8RP 集成一个可产生 /2DVDD 及 /3DVDD 的分压器 多路选择器用于选择不同的分压连接到低电压比较器的输入端 多路选择器的输出与.24V 进行比较, 它的控制寄存器标志是 SILB[2:] 及 ENLB, 比较器的输出是 LBOUT,LBOUT 为只读 请看图 3-2 表 3-2 低电压比较器寄存器列表 地址 名称 Bit7 Bits6 Bit5 Bits4 Bit3 Bits2 Bit Bits 上电复位值 Ch Fh NETE SVD SILB[2:] ENLB uu uuuuuuu LBOUT 操作 :. 设置寄存器标志位 ENLB, 使能低电压比较器 2. 比较器输出是 LBOUT 表 3-3 低电压比较器检测电压的选择列表 SILB[2:] 检测电压 DVDD DVDD DVDD DVDD DVDD DVDD AIN4 DVDD 满足条件 DVDD >2.4V DVDD >2.5V DVDD >2.6V DVDD >2.7V DVDD >2.8V DVDD >3.6V AIN4 >.24V DVDD >3.2V 则 LBOUT= LBOUT= LBOUT= LBOUT= LBOUT= LBOUT= LBOUT= LBOUT= Rev..2 第 47 页, 共 96 页

48 CSU8RP 增强功能 3.2 Halt 和 Sleep 模式 CSU8RP 支持低电压工作模式 为了使 CSU8RP 处于待机状态, 可以让 CPU 停止工作使 CSU8RP 进行停止或睡眠模式, 减低功耗 这两种模式描述如下 : 停止模式 CPU 执行停止指令后, 程序计数器停止计数直到出现中断指令 为了避免由中断返回 (Interrupt Return) 引起的程序错误, 建议在停止指令之后加一 NOP 指令以保证程序返回时能正常运行 睡眠模式 CPU 执行睡眠指令后, 所有的振荡器停止工作 (EO_SLP 为 时 ) 直到出现一个外部中断指令复位 CPU 为了避免由中断返回(Interrupt Return) 引起的程序错误, 建议在停止指令之后加一 NOP 指令以保证程序的正常运行 在睡眠模式下的功耗大约有.5uA 为了保证 CPU 在睡眠模式下的功耗最小, 在执行睡眠指令之前, 需要关闭所有的电源模块及模拟电路, 并且保证所有的 I/O 口是接到 VDD 或 DGND 电平 在执行睡眠指令之前, 先执行下面的程序 CLRF NETA ; 复位状态 CLRF NETC ; 复位状态 CLRF NETE ; 复位状态 CLRF NETF ; 复位状态 CLRF PTPU ; 断开 PT 上拉电阻 CLRF AENB ; 设置为模拟口 MOVLW FH MOVWF PTEN MOVLW h ;PT[7:4] 用作输出口 MOVWF PT2PU MOVLW FEh ; 断开 PT2 口除 bit(pt2[]) 外的其它接口的上拉电阻 MOVWF PT2EN CLRF PT2 ; 除 bit(pt2[]) 外,PT2[7:] 用作输出口 ; 将 PT2 输出为低 CLRF PT3PU CLRF INTF ; 断开 PT3 上拉电阻 ; 清零中断标志 MOVLW 8h MOVWF INTE SLEEP NOP ; 使能外部中断 ; 使 CSU8RP 进行睡眠模式 ; 保证 CPU 重启后程序能正常工作 Rev..2 第 48 页, 共 96 页

49 CSU8RP 增强功能 3.3 复位系统 CSU8RP 包括以下几种复位方式 : 上电复位 低电压复位 外部复位 Watch dog 复位当上电复位 低电压复位或者外部复位发生时, 所有的系统寄存器恢复默认状态, 程序停止运 行, 同时程序计数器 PC 清零 复位结束后, 系统从向量 H 处重新开始运行 当 watch dog 复位发生时, 系统寄存器仍然保持原来的值不变, 但是此时 PC 指针复位 复位结束后, 系统从向量 H 处重新开始运行 VPOR VDD VLVR Internal reset t WVS 图 3-3 上电复位电路示例及上电过程 参数 最小值 典型值 最大值 VPOR 2.2V VLVR 2.V twvs 37.8ms 39ms 4.2ms VPOR: 上电复位 VLVR: 低电压复位 twvs: 等待电压稳定时间 NETD 寄存器 ( 地址 =Bh) 特性 NETD R/W- R/W- LVR_EN R/W- U-X U-X U-X U-X R/W- Bit 6 LVR_EN: 低电压复位使能 = 低电压复位使能打开 ( 推荐 ) = 低电压复位使能关闭 注意 : 当低电压复位使能关闭时,sleep 模式下的功耗约为 2nA 但是关闭了低电压复位以后, DVDD 电压下降到 VLVR 以下将没有复位 Rev..2 第 49 页, 共 96 页

50 CSU8RP 增强功能 3.4 看门狗 (WDT) WDTIN Compare WDT_RST WDTOEN WDTS WDTOUT Watch Dog Timer Ocsillator Programmable Prescaler 8Bits Counter WDTEN 图 3-4 看门狗定时器功能框图 看门狗定时器 (WDT) 用于防止程序由于某些不确定因素而失去控制 当 WDT 启动时,WDT 计时超时后将使 CPU 复位 在运行的程序一般在 WDT 复位 CPU 之前先复位 WDT 当出现某些故障时, 程序会被 WDT 复位到正常状态下, 但程序不会复位 WDT 当用户置位 WDTOEN 时, 则内部的看门狗定时器振荡器 (3KHz) 将会启动, 寄存器标志位 WDTS[2:] 控制的看门狗计数器的时钟分频器, 置位 WDTEN 使能计数器 当计数值与 WDT_IN 数值相等时溢出, 溢出时它会发送 WDTOUT 信号复位 CPU( 程序计数器将会跳转到 h 以复位程序 ) 及置位 TO 标志位 用户可以使用指令 CLRWDT 复位 WDT 表 3-4 看门狗定时器寄存器表 地址名称 Bit7 Bit6 Bit5 Bit4 Bit3 4H DH 38h STATUS WDTCON WDTIN WDTOEN WDTEN Wdt_lcd WDTS[2:] WDT_IN[7:] 操作 :. 设置 WDTS[3:], 选择 WDT 时钟频率 2. 设置 WDT_IN, 选择不同的溢出时间值 2. 置位寄存器标志位 :WDTEN, 使能 WDT 3. 置位 WDTOEN, 打开 WDT 的晶振 4. 在程序中执行 CLRWDT 指令复位 WDT 当 wdt_lcd 标志位置高以后,LCD 将采用 3.6.2LCD 帧频选择 WDTS[2:] TO Bit2 Bit Bit 上电复位 值 u uu wdt 的分频时钟作为 LCD_CLK, 详细配置参数请参考 看门狗溢出时间 Twdt( 当 WDT_IN==FFH) 2.8 s.9 s 5.5 s 2.7 s.4 s.68 s.34 s.7 s Rev..2 第 5 页, 共 96 页

51 CSU8RP 增强功能 溢出时间 T=Twdt*WDT_IN/255 Rev..2 第 5 页, 共 96 页

52 CSU8RP 增强功能 3.5 ADC 模块 CSU8RP 包含一个 24 位的 sigma delta 型的模数转换器 (ADC) ADC 的基准由内部的基准电 压 VS 提供, 但是也可以由外部提供基准电压 ( 此时需要关闭内部的基准电压, 将外部的基准电压接入 REFP), 两个模拟差分输入通道都可以独立使用, 但是每次转换只能使用一个通道 同时还提供内部 温度检测以及内短测试功能 首先将内部电源 ENVB 打开, 然后打开 VS(ENVDDA), 然后配置好 ADC 的各种配置参数, 打 开全局中断使能以及 ADC 中断使能, 然后将 ADCEN 打开, 等待模拟部分建立完成以后, 打开数字滤 波器 (ADFEN), 在刚开始工作时, 数字滤波器需要一段建立时间, 对于二阶的数字滤波器, 需要两 个数据转换周期的建立时间, 对于三阶数字滤波器, 需要三个数据转换周期的建立时间 3.5. ADC 寄存器说明 表 3-5 ADC 功能模块相关寄存器列表 地址 名称 Bit7 Bits6 Bit5 Bits4 Bit3 Bits2 Bit Bits 上电复位值 6h 7h h h 2h 3h 8h Ah Dh 59h INTF INTE ADOH ADOL ADOLL ADCON NETA NETC NETF COMP GIE SINL[:] CHS_IA CHS_MOD ADF_EN TCOM ADO[23:6] ADO[5:8] ADO[7:] COMBS EN_IA PGA_F[3:] BGIDA[:] ADIF ADIE EH_CHS[:] ADM[2:] PGA_C[:] ADEN BGID[:] uuuu uuu uuu u u u uuuuuuu ADOH 寄存器 ( 地址为 h) 特性 R- R- R- R- R- R- R- R- ADOH ADO[23:6] Bit7 Bit6 Bit5 Bit4 Bit3 Bit2 Bit Bit ADOL 寄存器 ( 地址为 h) 特性 ADOL R- R- R- R- R- R- R- R- ADO[5:8] Bit7 Bit6 Bit5 Bit4 Bit3 Bit2 Bit Bit ADOLL 寄存器 ( 地址为 2h) 特性 ADOLL R- R- R- R- R- ADO[7:] R- R- R- Bit7 Bit6 Bit5 Bit4 Bit3 Bit2 Bit Bit Bit 23- ADO[23:]:ADC 数字输出 ADO[23] = ADC 数字输出符号位 = 输出为正 ; = 输出为负 ADO[22] = ADC 数字输出数据 bit 22 ~ ~ ~ ADO[] = ADC 数字输出数据 bit 特性 (Property): R = 可读位 W = 可写位 U = 无效位 -n = 上电复位后的值 = 位已设置 = 位已清零 X = 不确定位 Rev..2 第 52 页, 共 96 页

53 CSU8RP 增强功能 COMP 寄存器 ( 地址为 59h) 特性 U- U- U- R/W- R/W- R/W- R/W- U- COMP TCOM Bit7 Bit6 Bit5 Bit4 Bit3 Bit2 Bit Bit Bit 5 TCOM: 增益温度补偿 = 正的增益温度补偿 = 负的增益温度补偿 Rev..2 第 53 页, 共 96 页

54 CSU8RP 增强功能 ADCON 寄存器 ( 地址为 3h) 特性 U- U- R/W- R/W- U- R/W- ADCON ADFEN COMBS Bit7 Bit6 Bit5 Bit4 Bit3 Bit2 R/W- ADM[2:] Bit R/W- Bit Bit 5 Bit4 Bit2- ADFEN:ADC 数字滤波器使能信号 = ADC 数字滤波器不使能 = ADC 数字滤波器使能 COMBS: 数字滤波器阶数选择 = 选择二阶数字滤波器 = 选择三阶数字滤波器 ADM[2:]: 数字滤波器降采样选择寄存器选择方式见表 3-6 表 3-6 ADC 输出速率选择列表 ADM[2:] ADC 输出速率 ADCF/64 ADCF/28 ADCF/256 ADCF/52 ADCF/24 ADCF/248 ADCF/496 ADCF/892 ADC 的最终输出速率由 PGA_F[3:] 和 ADM[2:] 来一起控制, 其中 PGA_F 选择控制 ADC 的采样速率 ADCF( 见表 3-9),ADM 选择控制 ADCF 的输出速率 ( 见表 3-6) NETA 寄存器 ( 地址为 8h) 特性 R/W- R/W- U- R/W- NETA SINL[:] EN_IA Bit7 Bit6 Bit5 Bit4 R/W- R/W- EN_CHS[:] Bit3 Bit2 R/W- R/W- PGA_C[:] Bit Bit Bit 7 Bit4 Bit3-2 SINL[:]:ADC 输入端的信号选择器 = ADC 输入端连接到 AIN (PT[]) 和 AIN(PT[]) = ADC 输入端连接到 AIN (PT[2]) 和 AIN(PT[3]) = ADC 输入端连接到 TEMP = ADC 输入端内部短接 其中 TEMP 片内集成温度传感器的输入端 EN_IA:PGA 使能寄存器 = PGA 不使能,PGA 增益为. = PGA 使能,PGA 使能时,PGA 增益为 7. 建议使能 PGA EN_CHS: 斩波使能信号 = 斩波关闭 = 斩波关闭 = 斩波关闭 = 斩波开启建议选择斩波开启 Rev..2 第 54 页, 共 96 页

55 CSU8RP 增强功能 Bit- PGA_C: 调制器增益选项 ( 如果 PGA 开启, 即 EN_IA=, 则在 7 倍 PGA 增益基础上倍乘 ) = 6 = 8 = 4 = 建议选择 4 NETC 寄存器 ( 地址为特性 R/W- NETC CHS_IA Bit7 Ah) R/W- CHS_MOD Bit6 R/W- Bit5 R/W- R/W- PGA_F[3:] Bit4 Bit3 R/W- Bit2 R/W- ADEN Bit U- Bit Bit 7 CHS_IA: 保留位, 请保持为 Bit 6 CHS_MOD: 调制器的斩波模式选择寄存器 = 斩波模式 = 斩波模式 2 推荐使用斩波模式 2 Bit 5-2 PGA_F[3:]: 采样时钟频率选择寄存器选择见表 3-9 Bit ADEN:ADC 使能标志 = ADC 使能 = ADC 不使能 NETF 寄存器 ( 地址为 Dh) 特性 U- U- U- R/W- R/W- R/W- R/W- U- NETF BGIDA[:] BGID[:] Bit7 Bit6 Bit5 Bit4 Bit3 Bit2 Bit Bit Bit 4-3 BGIDA[:]:PGA 偏置电流 = /2 倍偏置电流 = 倍偏置电流 =.25 倍偏置电流 =.75 倍偏置电流建议使用一倍偏置电流, 大的偏置电流有利于调制器的稳定 Bit 2- BGID[:]: 调制器偏置电流 = /4 偏置电流 = /2 偏置电流 = 3/4 偏置电流 = 倍偏置电流 建议使用 倍偏置电流 Rev..2 第 55 页, 共 96 页

56 CSU8RP 增强功能 ADC 增益 表 3-7 PGA 增益选择列表 EN_IA I_GAIN 7 表 3-8 电容配比增益选择列表 PGA_C[:] C_GAIN 表 3-9 采样频率选择列表 PGA_F[3:] ADCF 4M 2M M 5K 25K 4M ADC 模拟输入的增益由两个部分组成,PGA 增益 I_GAIN( 由 EN_IA 控制 ), 调制器增益 C_GAIN( 由 PGA_C 控制 ), 模拟输入的总增益 GAIN I _GAIN C _GAIN Tips: 太阳能人体秤推荐配置, 及说明 : 地址 名称 配置值 说明 3h ADCON 33h (B) 3 阶数字滤波, 降 52 采样 8h Ah NETA NETC Eh() C2h() AIN/AIN 输入, 打开斩波,Gain=7*4=68 配置斩波方式及采样频率 (4M), 最终输出速率为 4M/52 Ch Dh NETE NETF (XXXX) 2Fh() VS=2.3V( 其中 X 位表示用户可自行设置 ) 开 VS, 倍偏置电流, 开基准源 综合配置 :PGA=7*4=68,DataRate=4M/52=7.8KHz, 倍偏置电流 Rev..2 第 56 页, 共 96 页

57 CSU8RP 增强功能 3.6 LCD Driver LCD 驱动器有 4 个 segment 输出 (SEG-SEG4) 和 4 个 common 输出 (COM-COM4) 3.6. LCD 控制模式 LCD 驱动器有 3 种控制模式 :/2duty,/3duty 及 /4duty, 设置寄存器标志 LCD_DUTY[:] 选择一 种模式 表 3- LCD 的 duty 选择列表 LCD_DUTY[:] 控制模式 /2duty /3duty /4duty SEG-6 Bit7 Bit6 Bit5 Bit4 Bit3 Bit COM3 COM4 COM3 Bit COM2 COM2 COM2 Bit COM COM COM LCDCLK /4 duty /3 duty /2 duty 图 3-5 LCD 的 duty 模式工作周期 Rev..2 第 57 页, 共 96 页

58 CSU8RP 增强功能 LCD 帧频选择 LCD 的帧频率由寄存器 LCDCKS[:] 确定, 对 LCD 模块的输入时钟进行分频以获得 LCDCK 表 3- LCDSCK 选择列表 M4_CK M_CK M5_CK 时钟源 (KHz) LCDSCK(KHz) X ICK 4 ICK/28 32 X ECK ECK ECK ECK ECK/52 ECK X ECK 4 ECK 4 表 3-2 LCDCLK 选择列表 Wdt_lcd LCDSCKS LCDCLK(KHz) LCDSCK/32 LCDSCK/3 LCDSCK/28 LCDSCK/26 LCDSCK/24 LCDSCK/22 LCDSCK/2 LCDSCK/8 LCDSCK/6 LCDSCK/4 LCDSCK/2 LCDSCK/ LCDSCK/8 LCDSCK/6 LCDSCK/4 LCDSCK/2 WTDCLK/32 WTDCLK/3 WTDCLK/28 WTDCLK/26 WTDCLK/24 WTDCLK/22 WTDCLK/2 WTDCLK/8 WTDCLK/6 WTDCLK/4 WTDCLK/2 WTDCLK/ WTDCLK/8 WTDCLK/6 WTDCLK/4 WTDCLK/ 表 3-3 LCD 帧频选择列表 LCDCKS[ :] LCD 帧频率 (LCDCK) LCD 输入时钟频率 /4 LCD 输入时钟频率 /8 LCD 输入时钟频率 /6 LCD 输入时钟频率 /32 Rev..2 第 58 页, 共 96 页

59 CSU8RP 增强功能 Rev..2 第 59 页, 共 96 页

60 CSU8RP 增强功能 LCD 偏置电压 LCD 驱动器有 3 个偏置电压,V 及 V3, 有 2 种电源模式 :/3bias /2bias 偏置电压的产生电路有两种选择, 通过 DIVS 寄存器来选择, 一种是采用内部电阻分压, 优点是可以节省 与 V pin 的外部电容, 缺点是在打开 LCD 时分压电路上存在静态功耗, 静态功耗的大小与分压电阻的阻值有关, 分压电阻越小静态功耗越大, 电阻分压方式的驱动能力较弱, 分压电阻越大驱动能力越弱, 另外一种采用电容分压, 优点是驱动能力较强, 无静态功耗, 缺点是需要增加 与 V 处的电容 采用电阻分压的方式产生偏置电压 /3bias 电源系统 V3 VLCD 2K.uF K 5K LCDREF K 2K K 5K LCDREF V K 2K K 5K LCDREF K 图 3-6 LCD 的 /3bias 电源系统电路连接图 ( 电阻分压 ) Rev..2 第 6 页, 共 96 页

61 CSU8RP 增强功能 /2bias 电源系统 V3 VLCD 2K.uF K 5K LCDREF K 2K K 5K LCDREF V K 2K K 5K LCDREF K 图 3-7 LCD 的 /2bias 电源系统电路连接图 ( 电阻分压 ) Rev..2 第 6 页, 共 96 页

62 CSU8RP 增强功能 采用电容分压的方式产生偏置电压 /3bias 电源系统 VLCD.uF.uF V.uF 图 3-8 LCD 的 /3bias 电源系统电路连接图 ( 电容分压 ) /2bias 电源系统 VLCD.uF V.uF 图 3-9 LCD 的 /2bias 电源系统电路连接图 ( 电容分压 ) LCD 电源可以采用内部的电荷泵来提供, 也可以采用外部的电源来提供, 此时需要关闭内部的电荷泵, 将外部的电源接到 VLCD 当使用内部的电荷泵时, 需要先将内部的基准源打开 ( 由 ENVB 控制 ) Rev..2 第 62 页, 共 96 页

63 CSU8RP 增强功能 采用电容式分压时, 增加电容值可以增加 com 和 seg 端口的驱动能力 Rev..2 第 63 页, 共 96 页

64 CSU8RP 增强功能 LCD 驱动波形 LCD 驱动波形分为 A 和 B 两种波形, 通过寄存器 LCDWS 来选择, 其中 B 波形对于大尺寸的显示 效果更好 Frame Frame COM COM2 COM3 COM4 SEG () SEG () V3 V Vss V3 V Vss V3 V Vss V3 V Vss V3 V Vss V3 V Vss /4 duty, /3 bias 图 3- LCD 的 /4duty /3bias 电源系统的时钟 (A 波形 ) Rev..2 第 64 页, 共 96 页

65 CSU8RP 增强功能 Frame Frame COM COM2 COM3 COM4 SEG () SEG () V3 V Vss V3 V Vss V3 V Vss V3 V Vss V3 V Vss V3 V Vss /3 duty, /3 bias 图 3- LCD 的 /3duty /3bias 电源系统的时钟 (A 波形 ) Rev..2 第 65 页, 共 96 页

66 CSU8RP 增强功能 Frame Frame COM V Vss COM2 V Vss COM3 V Vss COM4 V Vss SEG () V Vss SEG () V Vss /4 duty, /2 bias 图 3-2 LCD 的 /4duty /2bias 电源系统的时钟 (A 波形 ) Rev..2 第 66 页, 共 96 页

67 CSU8RP 增强功能 Frame Frame COM V Vss COM2 V Vss COM3 V Vss COM4 V Vss SEG () V Vss SEG () V Vss /3 duty, /2 bias 图 3-3 LCD 的 /3duty /2bias 电源系统的时钟 (A 波形 ) Rev..2 第 67 页, 共 96 页

68 CSU8RP 增强功能 Frame Frame COM COM2 COM3 COM4 SEG () SEG () V3 V Vss V3 V Vss V3 V Vss V3 V Vss V3 V Vss V3 V Vss /4 duty, /3 bias 图 3-4 LCD 的 /4duty /3bias 电源系统的时钟 (B 波形 ) Rev..2 第 68 页, 共 96 页

69 CSU8RP 增强功能 Frame Frame COM COM2 COM3 COM4 SEG () SEG () V3 V Vss V3 V Vss V3 V Vss V3 V Vss V3 V Vss V3 V Vss /3 duty, /3 bias 图 3-5 LCD 的 /3duty /3bias 电源系统的时钟 (B 波形 ) Rev..2 第 69 页, 共 96 页

70 CSU8RP 增强功能 Frame Frame COM V Vss COM2 V Vss COM3 V Vss COM4 V Vss SEG () V Vss SEG () V Vss /4 duty, /2 bias 图 3-6 LCD 的 /4duty /2bias 电源系统的时钟 (B 波形 ) Rev..2 第 7 页, 共 96 页

71 CSU8RP 增强功能 Frame Frame COM V Vss COM2 V Vss COM3 V Vss COM4 V Vss SEG () V Vss SEG () V Vss /3 duty, /2 bias 图 3-7 LCD 的 /3duty /2bias 电源系统的时钟 (B 波形 ) Rev..2 第 7 页, 共 96 页

72 CSU8RP 增强功能 LCD 寄存器说明 表 3-4 CSU8RP 的 LCD 驱动器寄存器列表 地址 名称 Bit7 Bits6 Bit5 Bits4 Bit3 Bits2 Bit Bits 上电复位值 5h Bh 4h 4h 42h 43h 44h 45h 46h 47h 48h 49h 4Ah 4Bh 4Ch 4Dh 58h PCK NETD LCD LCD2 LCD3 LCD4 LCD5 LCD6 LCD7 LCD8 LCD9 LCD LCD LCD2 LCD3 LCD4 LCDENR LCDSCKS[:] DIVS LCDCH LEVEL_S VLCDX[:] LCDREF[:] SEG[3:] SEG2[3:] SEG3[3:] SEG4[3:] SEG5[3:] SEG6[3:] SEG7[3:] SEG8[3:] SEG9[3:] SEG[3:] SEG[3:] SEG2[3:] SEG3[3:] SEG4[3:] LCDCKS[:] LCDEN LCDWS LEVEL LCD_DUTY[:] ENPMPL uuu uuuu uuuu uuuu uuuu uuuu uuuu uuuu uuuu uuuu uuuu uuuu uuuu uuuu uuuu NETD 寄存器 ( 地址为 Bh) 特性 NETD R/W- Bit7 R/W- DIVS Bit6 R/W- LCDCH Bit5 Bit 6 DIVS: 偏置电压产生电路选择 : = 选择电荷泵分压 = 选择电阻分压 U- LEVEL_S Bit4 R/W- R/W- VLCDX[:] Bit3 Bit2 R/W- R/W- LCDREF[:] Bit Bit Bit 5 Bit 4 Bit3-2 Bit- LCDCH: LCD 端口选择信号 = SEG 口当作数字输出端使用 = SEG 口当作 LCD 的 SEG 输出 LEVEL_S: Seg 输出信号反相 = Seg 为 输出信号为低电平 Seg 为 输出信号为高电平 = Seg 为 输出信号为高电平 Seg 为 输出信号为低电平 VLCDX: = 2.6V = 2.8V = 3.V = 3.2V VLCD 输出电压选择 LCDREF: LCD 分压电阻选择 = 2Kohm = Kohm = 5Kohm = Kohm Rev..2 第 72 页, 共 96 页

73 CSU8RP 增强功能 LCD 寄存器 ( 地址为 4h) 特性 U- U- U- U- R/W- R/W- R/W- LCD SEG[3:] Bit7 Bit6 Bit5 Bit4 Bit3 Bit2 Bit Bit 3- SEG[3]:LCD 驱动器控制信号 :SEG 带 COM4 SEG[2]:LCD 驱动器控制信号 :SEG 带 COM3 SEG[]:LCD 驱动器控制信号 :SEG 带 COM2 SEG[]:LCD 驱动器控制信号 :SEG 带 COM LCD2 寄存器 ( 地址为 4h) ~ LCD3 寄存器 ( 地址为 42h) ~ LCD4 寄存器 ( 地址为 43h) ~ LCD5 寄存器 ( 地址为 44h) ~ LCD4 寄存器 ( 地址为 4Dh) 特性 LCD4 U- U- U- U- R/W- Bit7 Bit6 Bit5 Bit4 Bit3 R/W- R/W- SEG4[3:] Bit2 Bit R/W- Bit R/W- Bit Bit 3- SEG4[3]:LCD 驱动器控制信号 :SEG4 带 COM4 SEG4[2]:LCD 驱动器控制信号 :SEG4 带 COM3 SEG4[]:LCD 驱动器控制信号 :SEG4 带 COM2 SEG4[]:LCD 驱动器控制信号 :SEG4 带 COM LCDENR 寄存器 (58h) 特性 R/W- R/W- LCDENR LCDCKS[:] Bit7 Bit6 R/W- LCDEN Bit5 R/W- LCDWS Bit4 R/W- LEVEL Bit3 R/W- R/W- LCD_DUTY[:] Bit2 Bit R/W- ENPMPL Bit Bit 7-6 LCDCKS[:]:LCD 帧频选择器 = LCD 的帧频是 LCD 输入时钟频率的 /32 = LCD 的帧频是 LCD 输入时钟频率的 /6 = LCD 的帧频是 LCD 输入时钟频率的 /8 = LCD 的帧频是 LCD 输入时钟频率的 /4 Bit 5 LCDEN:LCD 驱动器使能标志 = LCD 驱动器使能 LCD 的时钟被启动 = LCD 驱动器不使能 LCD 的时钟被停止 Bit 4 LCDWS:LCD 波形选择 = 波形 B = 波形 A Bit 3 LEVEL:LCD 驱动器的偏置电压选择器 = LCD 驱动器的偏置电压是 /3bias = LCD 驱动器的偏置电压是 /2bias Bit 2- LCD_DUTY[:]:LCD 驱动器控制模式 (SEG duty 周期 ) = LCD 驱动器控制模式是 /4duty 周期模式 = LCD 驱动器控制模式是 /3duty 周期模式 = LCD 驱动器控制模式是 /2duty 周期模式 Bit ENPMPL:LCD charge pump 使能标志位 = LCD charge pump 打开 Rev..2 第 73 页, 共 96 页

74 CSU8RP 增强功能 = LCD charge pump 关闭 Rev..2 第 74 页, 共 96 页

75 CSU8RP 增强功能 LCD 的操作步骤. 将段接口连接到 LCD 面板 2. 设置寄存器标志 LEVEL 选择 LCD 驱动器电源系统 ( = /3bias, = /2bias) 3. 设置 ENPMPL 使能 LCD 电荷泵 ( 需要先打开 ENVB) 4. 设置 M_CK M4_CK, 选择 LCD 输入时钟的频率 ( 参见 LCD 帧频选择 ) 5. 设置寄存器标志 LCDCKS[:] 选择 LCD 的时钟频率 ( 参见 LCD 帧频选择 ) 6. 设置寄存器标志 LCD_DUTY[:], 选择控制模式 (SEG duty 周期 ) 表 3-5 LCD 的 duty 控制模式选择列表 LCD_DUTY[:] 控制模式 -- /2 /3 /4 7. 置位 LCDEN 以使能 LCD 驱动器 Rev..2 第 75 页, 共 96 页

76 CSU8RP 增强功能 3.7 OTP 烧录接口 OTP 烧写器的接口 : VPP DVDD DGND CSU8RPPT2[] PT2[] RST 图 3-8OTP 烧写器接口图 表 3-6 OTP 接口说明 端口名称 VPP VDD 型式输入输入 说明烧写电压 电压范围 : 6.25V 到 6.75V 电源正端 VSS 输入 电源负端 PT2[] PT2[] Reset 输入 / 输出输入输入 PT2[] 端口, 数据信号 [] PT2[] 端口, 时钟信号 [2] 备注 Rev..2 第 76 页, 共 96 页

77 CSU8RP 增强功能 3.8 OTP 在线烧录 电路要求 : 在在线烧录时,VPP(OTP 烧录电压引脚 ) 脚接 6.5V( 电压范围 :6.25V~6.75V) 的烧录电压 时钟要求 : 必须使用内部晶振或者 4MHz 及以上的外部晶振 表 3-7 在线烧录寄存器列表 地址 5h Ah Bh Ch 9h 名称 Bit7 Bits6 Bit5 Bits4 Bit3 Bits2 Bit Bits 上电复位值 WORK 工作寄存器 EADRH PARH[3:] EADRL EDATH NETB PARL[7:] EDATH[7:] ERV EADRH: 提供 OTP 在线烧录或者在线读 EADRL: 提供 OTP 在线烧录或者在线读 OTP 的高四位地址 OTP 的低八位地址 EDATH: 烧录时须填 FFh Work: 提供 OTP 在线烧录时的烧录数据或者在线读 OTP 时的读出的数据 ERV: 当 VPP 引脚电压达到 4.5V 以上时,ERV 置高 操作方式 : 在线烧录 OTP 时. 检查 ERV 电压值是否达到烧录电压 2. 将烧录地址的高四位写入 EADRH 寄存器 3. 将烧录地址的低八位写入 EADRL 寄存器 4. 将 FFh 写入 EDATH 寄存器 5. 将烧录的数据的写入 work 寄存器 6. 用在线烧录指令 (TBLP) 烧录 烧录指令中的时间选择 (k) 参见表 3-8 在线烧录时间选择 寄存器 表 3-8 在线烧录时间选择寄存器 M4_CK M2_CK M_CK M_CK 时钟源 (KHz) k( 十进制 ) X ICK X X X X X ICK ICK ICK ECK ECK ECK ECK ECK [] [] -- 表示该时钟配置无法进行在线烧录 在线读 OTP 数据时. 将读 OTP 地址的高四位写入 EADRH 寄存器 2. 将读 OTP 地址的低八位写入 EADRL 寄存器 3. 用在线读 OTP 指令 (MOVP) 读出 OTP 数据, 执行该指令后, 读出数据存放在 work 寄存器 Rev..2 第 77 页, 共 96 页

78 CSU8RP 增强功能 主要有两条指令 : TBLP k MOVP 其中 TBLP k 是将寄存器 work 中的数据写到以 EADRH/EADRL 的内容作为 OTP 的写地址中, 烧录 时间是 K 个指令周期 MOVP 是将 EADRH/EADRL 的内容作为 OTP 的读地址, 读出的数据放到寄存器 work 中 采用内部烧录电压 NETD 寄存器 ( 地址 =Bh) 特性 NETD Bit 7 R/W- chp_vpp chp_vpp:lcd charge pump 升压使能标志位 = charge pump 升压到两倍 DVDD 电压 = charge pump 升压值由 VLCD_X 控制 采用内部烧录电压时操作 :. 先打开 ENVB 2. 设置 ENPMPL 使能 LCD 电荷泵 3. 将 LCD_EN 置, 关闭 LCD 模块 4. 将 CHP_VPP 使能 R/W- R/W- U-X U-X U-X U-X R/W- 注意 :. 采用内部烧录电压时, 要求 DVDD 电压大于等于 3.25V 而且小于 3.5V, 2. 建议 VLCD 电容采用 2.2uF 3. 每次烧录数据后, 延时 5ms Rev..2 第 78 页, 共 96 页

79 CSU8RP MCU 指令集 4 MCU 指令集 指令 ADDLW k ADDPCW ADDWF f,d ADDWFC f,d ANDLW k ANDWF f,d BCF f,b BSF f,b BTFSC f,b BTFSS f,b CALL k CLRF f CLRWDT COMF f,d DECF f,d DECFSZ f,d GOTO k HALT INCF f,d INCFSZ f,d IORLW k IORWF f,d MOVFW f MOVLW k MOVWF k NOP RETFIE RETLW k RETURN RLF f,d RRF f,d SLEEP SUBLW k SUBWF f,d SUBWFC f,d XORLW k XORWF f,d 参数说明 : 表 4- MCU 指令集 操作 [W] [W]+k [PC] [PC]++[W] [Destination] [f]+[w] [Destination] [f]+[w]+c [W] [W] AND k [Destination] [W] AND [f] [f<b>] [f<b>] Jump if[f<b>]= Jump if[f<b>]= Push PC+ and Goto K [f] Clear watch dog timer [f] NOT([f]) [Destination] [f] - [Destination] [f] -,jump if the result is zero PC k CPU Stop [Destination] [f]+ [Destination] [f]+,jump if the result is zero [W] [W] OR k [Destination] [W] OR [f] [W] [f] [W] k [f] [W] No operation Pop PC and GIE = RETURN and W=k POP PC [Destination<n+>] [f<n>] [Destination<n->] [f<n>] STOP OSC [W] k [W] [Destinnation] [f] [W] [Destinnation] [f] [W]+C [W] [W] XOR k [Destination] [W] XOR [f] 指令周期 f: 数据存储器地址 (h ~7Fh) W: 工作寄存器 k: 立即数 d: 目标地址选择 : d= 结果保存在工作寄存器, d=: 结果保存在数据存储器 f 单元 b: 位选择 (~7) [f]:f 地址的内容 PC: 程序计数器 C: 进位标志 DC: 半加进位标志 标志位 C,DC,Z ~ C,DC,Z C,DC,Z Z Z ~ ~ ~ ~ ~ Z ~ Z Z ~ ~ ~ Z ~ Z Z ~ ~ ~ ~ ~ ~ ~ C,Z C,Z PD C,DC,Z C,DC,Z C,DC,Z Z Z Rev..2 第 79 页, 共 96 页

80 CSU8RP MCU 指令集 Z: 结果为零标志 PD: 睡眠标志位 TO: 看门狗溢出标志 WDT: 看门狗计数器 表 4-2 MCU 指令集描述 ADDLW 加立即数到工作寄存器 指令格式 ADDLW K (<=K<=FFh) 8 8 操作码操作标志位描述周期 44h (W)< (W)+K C,DC,Z 工作寄存器的内容加上立即数 K 结果保存到工作寄存器中 例子 ADDLW 8h 在指令执行之前 : W=8h 在指令执行之后 : W=h 2 ADDPCW 将 W 的内容加到 PC 中 指令格式 ADDPCW 6 操作码 8h 操作 (PC)< (PC)++(W) 当 (W)<=7Fh (PC)< (PC)++(W)-h 其余 标志位描述 没有将地址 PC++W 加载到 PC 中 周期 例子 在指令执行之前 : ADDPCW W=7Fh,PC=22h 指令执行之后 : PC=292h 例子 2 ADDPCW 例子 3 ADDPCW 在指令执行之前 : W=8h,PC=22h 指令执行之后 : PC=93h 在指令执行之前 : W=FEh,PC=22h 指令执行之后 : PC=2h Rev..2 第 8 页, 共 96 页

81 CSU8RP MCU 指令集 3 ADDWF 加工作寄存器到 f 指令格式 ADDWF f,d <=f<=ffh d=, 8 8 操作码 当 d= 时 4h 当 d= 时 6h 操作标志位描述 [ 目标地址 ]< (f)+(w) C,CD,Z 将 f 的内容和工作寄存器的内容加到一起 周期例子 ADDWF f 例子 2 ADDWF f 如果 d 是, 结果保存到工作寄存器中 如果 d 是, 结果保存到 f 中 指令执行之前 : f=c2h W=7h 在指令执行之后 f=c2h W=D9h 指令执行之前 f=c2h W=7h 指令执行之后 f=d9h W=7h 4 ADDWFC 将 W f 和进位位相加 指令格式 ADDWFC f,d <=f<=ffh d=, 8 8 操作码 当 d 为 时, 为 24 当 d 为 时, 为 操作 26 ( 目标地址 )< (f)+(w)+c 标志位描述 C,DC,Z 将工作寄存器的内容和 f 的内容以及进位位相加 周期例子 ADDWFC f, 当 d 为 时结果保存到工作寄存器 当 d 为 时结果保存到 f 中 指令执行之前 C= f=2h W=4Dh 指令执行之后 C= f=5h W=4Dh 5 ANDLW 工作寄存器与立即数相与指令格式 ANDLW K <=K<=FFh 8 8 操作码 68h 操作 (W)< (W) AND K 标志位 Z 描述将工作寄存器的内容与 8bit 的立即数相与, 结果保存到工作寄存器中 周期 例子在指令执行之前 ANDLW 5Fh W=A3h 在指令执行之后 W=3h Rev..2 第 8 页, 共 96 页

82 CSU8RP MCU 指令集 6 ANDWF 将工作寄存器和 f 的内容相与 指令格式 ANDWF f,d <=f<=ffh d=, 8 8 操作码 当 d 为 时操作码为 28h 操作标志位描述 周期例子 ANDWF f, 例子 2 ANDWF f, 当 d 为 时操作码为 2Ah ( 目标地址 )< (W) AND (f) Z 将工作寄存器的内容和 f 的内容相与 如果 d 为 结果保存到工作寄存器中 如果 d 为 结果保存到 f 中 在指令执行之前 W=Fh f=88h 在指令执行之后 W=8h f=88h 在指令执行之前 W=Fh f=88h 在指令执行之后 W=Fh f=8h 7 BCF 清除 f 的某一位 指令格式 BCF f,b <=f<=ffh <=b<=7 BCF b f 操作码 Ch 其中 b 字段的值为 2*b 操作标志位 (f[b])< 无 描述 F 的第 b 位置为 周期 例子 BCF FLAG 2 指令执行之前 : FLAG=8Dh 指令执行之后 : FLAG=89h 8 BSF F 的 b 位置 指令格式 BSF f,b <=f<=ffh <=b<=7 BSF b f 操作码操作 Dh 其中 b 字段的值为 2*b (f[b])< 无 标志位 描述 将 f 的 b 位置 周期 例子 BSF FLAG 2 在指令执行之前 FLAG=89h 在指令执行之后 FLAG=8Dh Rev..2 第 82 页, 共 96 页

83 CSU8RP MCU 指令集 9 BTFSC 如果 bit 测试为 则跳转 指令格式 BTFSC f,b <=f<=ffh <=b<=7 BTFSC b f 操作码 Eh 其中 b 字段的值为 2*b 操作 Skip if (f[b])= 标志位描述 周期 例子 NODE BTFSC FLAG 2 OP: OP2: 无如果 f 的 bit 位是, 下一条取到的指令将被丢到, 然后执行一条空指令组成 一个两周期的指令 在程序执行以前 PC=address(NODE) 指令执行之后 If(FLAG[2])= PC=address(OP2) If(FLAG[2])= PC=address(OP) BTFSS 如果 bit 测试为, 则跳转 指令格式 BTFSS f,b <=f<=ffh <=b<=7 BTFSS b f 操作码 Fh, 其中 b 字段的值为 2*b 操作 Skip if (f[b])= 标志位描述 周期 例子 NODE BTFSS FLAG 2 OP: OP2: 无如果 f 的 bit 位是, 下一条取到的指令将被丢到, 然后执行一条空指令组 成一个两周期的指令 在程序执行以前 PC=address(NODE) 指令执行之后 If(FLAG[2])= PC=address(OP) If(FLAG[2])= PC=address(OP2) CALL 子程序调用 指令格式 CALL K <=K<=FFFh 3 3 操作码操作 (top stack)< PC+ PC< K 标志位 无 描述 子程序调用, 先将 PC+ 压入堆栈, 然后把立即数地址下载到 PC 中 周期 Rev..2 第 83 页, 共 96 页

84 CSU8RP MCU 指令集 2 CLRF 清除 f 指令格式 CLRF f <=f<= 操作码操作 2h (f)< 标志位描述 Z 将 f 的内容清零 周期 例子 CLRF WORK 在指令执行之前 WORK=5Ah 在指令执行之后 WORK=h * 注 当 clrf status 寄存器时, 标志位 Z 不会置高 3 CLRWDT 指令格式 操作码操作标志位描述周期例子 CLRWDT 清除看门狗定时器 CLRWDT 6 6h 看门狗计数器清零无清除看门狗定时器 指令执行之后 WDT= 4 COMF f 取反 指令格式 COMF f,d <=f<=255 d=, 8 8 操作码 当 d 为 时操作码为 Ch 操作标志位 当 d 为 时操作码为 Eh ( 目的地址 )< NOT(f) Z 描述 将 f 的内容取反, 当 d 为 时, 结果保存到工作寄存器中, 当 d 为 时, 结果保存到 f 中 周期例子 COMF f, 例子 2 COMF f, 在指令执行之前 W=88h,f=23h 在指令执行之后 W=DCh,f=23h 在指令执行之前 W=88h,f=23h 在指令执行之后 W=88h,f=DCh Rev..2 第 84 页, 共 96 页

85 CSU8RP MCU 指令集 5 DECF f 减 指令格式 DECF f,d <=f<=255 d=, 8 8 操作码 当 d 为 时操作码为 h 当 d 为 时操作码为 2h 操作 ( 目的地址 )< (f)- 标志位 Z 描述 F 的内容减 当 d 为 时, 结果保存到工作寄存器中 当 d 为 时, 结果保存到 f 中 周期 例子 DECF f, 例子 2 DECF f, 在指令执行之前 W=88h f=23h 在指令执行之后 W=22h f=23h 在指令执行之前 W=88h f=23h 在指令执行之后 W=88h f=22h 6 DECFSZ f 减 如果为 则跳转 指令格式 DECFSZ f,d <=f<=ffh d=, 8 8 操作码当 d 为 时操作码为 4h 操作 标志位 描述 f 的内容减 当 d 为 时操作码为 6h ( 目的地址 )< (f)-, 如果结果为 跳转无 周期 例子在指令执行之前 Node DECFSZ FLAG, PC=address(Node) OP: 在指令执行之后 OP2: (FLAFG)=(FLAG)- If(FLAG)= 如果 d 为, 结果保存到工作寄存器中 如果 d 为, 结果保存到 f 中如果结果为, 下一条已经取到的指令将被丢掉, 然后插入一条 NOP 指令组成一个两个周期的指令 PC=address(OP2) If(FLAG)!= PC=address(OP) 7 GOTO 指令格式 操作码操作标志位 无条件跳转 GOTO K <=K<=FFFh 3 3 PC< K 无 Rev..2 第 85 页, 共 96 页

CSU1121/2用户手册

CSU1121/2用户手册 用户手册 基于 OTP ROM 的 8 位 RISC MCU Rev.. 通讯地址 : 深圳市福田区新闻路景苑大厦 A2-3 室邮政编码 :5829 公司电话 :+(86 755)83634 传真 :+(86 755)836535 公司网站 :www.sictech.com.cn Rev.. 第 页, 共 73 页 28 引脚 8 位 OTP ROM 单片机产品介绍 功能描述 CSU2 系列包括 CSU2

More information

第5章:汇编语言程序设计

第5章:汇编语言程序设计 第 5 章 : 汇编语言程序设计 程 汇编语言指令格式 系统伪指令 存储器选择方式 常用子程序 1 汇编语言程序设计 PIC 指令系统 语言系统 指 CPU 编 器语言 器语言 器语言 设计 用 语言 设计 语言 汇编语言 2 汇编语言指令格式 汇编语言指令格式 ( 指令 ) label opcode operand comment 指令 用 存 指令 指令语 3 汇编语言指令格式 1 指令 用 指令

More information

33023A.book(31005A_cn.fm)

33023A.book(31005A_cn.fm) 第 5 章 CPU 和 ALU 目录 本章包括下面一些主要内容 : 5. 简介...5-2 5.2 指令的一般格式... 5-4 5.3 中央处理单元 (CPU)... 5-4 5.4 指令时钟... 5-4 5.5 算术逻辑单元 (ALU)... 5-5 5.6 状态寄存器... 5-6 5.7 OPTION_REG 寄存器... 5-8 5.8 电源控制寄存器... 5-9 5.9 设计技巧...

More information

Microsoft Word - S153B.doc

Microsoft Word - S153B.doc SAM8 P153B SAM8P153B 数据手册 14 引脚 8 位 I/O 型 OTP 单片机 盛明公司保留对以下所有产品在可靠性 功能和设计方面的改进作进一步说明的权利 盛明不承担由本手册所涉及的产品或电路的运用和使用所引起的任何责任, 盛明的产品不是专门设计来应用于外科植入 生命维持和任何盛明产品产生的故障会对个体造成伤害甚至死亡的领域 如果将盛明的产品用于上述领域, 即使这些是由盛明在产品设计和制造上的疏忽引起的,

More information

文件名

文件名 MICROCHIP PIC10F200/202/204/206 6 8 FLASH PIC10F200 PIC10F202 PIC10F204 PIC10F206 RISC CPU 33 12 8 8 4MHz 1 s 4MHz 1% TM ICSP TM ICD POR DRT WDT RC MCLR I/O /CMOS < 350 A @ 2V 4 MHz 100 na @ 2V FLASH 10000

More information

csu8rf311x用户手册

csu8rf311x用户手册 用户手册 带 12-bit ADC 的 8 位 RISC OTP MCU REV 1.0.3 通讯地址 : 深圳市南山区蛇口南海大道 1079 号花园城数码大厦 A 座 9 楼邮政编码 :518067 公司电话 :+(86 755)86169257 传真 :+(86 755)86169057 公司网站 :www.chipsea.com Rev.1.0.3 第 1 页, 共 84 页 版本历史 版本历史

More information

CSU8RP3115/CSU8RP3116用户手册

CSU8RP3115/CSU8RP3116用户手册 用户手册 带 2-bit ADC 的 8 位 RISC OTP MCU REV. 4 通讯地址 : 深圳市福田区新闻路景苑大厦 A002-003 室邮政编码 :58029 公司电话 :+(86 755)83063040 传真 :+(86 755)83065035 公司网站 :www.sictech.com.cn 微信号 : 西城微科微信二维码 : Rev.. 4 第 页, 共 90 页 版本历史 历史版本.

More information

PIC16F F MPLAB 08 16F LED 15 LED

PIC16F F MPLAB 08 16F LED 15 LED PIC16F877 PIC16F877 03 16F877 05 06 MPLAB 08 16F877 13 LED 15 LED 17 20 24 2 PIC16F877 PIC16F877 DIP VDD VSS CLOCK CPU :,AND,OR,XOR ROM: CPU ROM RAM: CPU,CPU I/O:CPU, CPU,, 16F877 RAM 512 128 Bank Bank

More information

目录 1. 产品简介 功能特性 引脚图 引脚描述 中央处理器 (CPU) 程序存储器 (OTP ROM) 堆栈 数据存储器 (RAM) INDF 寄存器.

目录 1. 产品简介 功能特性 引脚图 引脚描述 中央处理器 (CPU) 程序存储器 (OTP ROM) 堆栈 数据存储器 (RAM) INDF 寄存器. SQ013L 数据手册 8 引脚 8 位 I/O 型 OTP 单片机 - 1 - 目录 1. 产品简介... 4 1.1 功能特性... 4 1.2 引脚图... 5 1.3 引脚描述... 5 2. 中央处理器 (CPU)... 6 2.1 程序存储器 (OTP ROM)... 6 2.2 堆栈... 6 2.3 数据存储器 (RAM)... 7 2.3.1 INDF 寄存器... 9 2.3.2

More information

第10章:CCP捕捉/比较/脉宽调制

第10章:CCP捕捉/比较/脉宽调制 第 10 章 :CCP 捕捉 / 比较 / 脉宽调制 CCP 模块功能 捕捉功能模式 比较功能模式 脉宽调制功能 1 CCP 模块功能 PIC 2 捕捉 比较 脉宽调制模块 CCP1 CCP2(Capture/Compare/PWM) 16 CCPR1 CCPR2 模块 功能 功能 TMR1 TMR2 2 CCP 模块功能 CCP 模块 3 模式 : 捕捉 式 比较 式 脉宽调制 式 捕捉功能 捕捉

More information

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0 SH79F6431 1. SH79F6431 1T 8051 FLASH SH79F JET51 Keil µ vision JTAG 1.1. SH79F6431 LQFP64 1.2. (Target Board) SH79F6431 1 V 1.0 1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F6431 1 2 1 B. 1(VCC/GND)

More information

csu8rf322x用户手册

csu8rf322x用户手册 用户手册 带 12-bit ADC 的 8 位 RISC OTP MCU REV 1.1 通讯地址 : 深圳市南山区蛇口南海大道 1079 号花园城数码大厦 A 座 9 楼邮政编码 :518067 公司电话 :+(86 755)86169257 传真 :+(86 755)86169057 公司网站 :www.chipsea.com 微信号 : 芯海科技微信二维码 : Rev1.1 第 1 页, 共

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

四位微控制器ML64168_ _.doc

四位微控制器ML64168_ _.doc SSSC /4168P 2005 8 4 4, (ADC), LCD Driver, (Buzzer), 1 3 CPU17 CPU 26 33 42 45 48 73 79 85 89 A/D 92 111 125 128 131 SSU4168P 133 143 A: / 153 B: 156 C: 158 D: 160 E: 161 F: 163 G: PAD 167 2 ,, 11 4 CMOS

More information

2 14 PORTC.1 PORTB.3 PORTA.2/T0 GND PORTB.2 PORTA.0 PORTC.3 PORB.0/OSCO PORTB.1/OSCI PORTC.0 PORTC.2 SH69P21 /SOP PORTA

2 14 PORTC.1 PORTB.3 PORTA.2/T0 GND PORTB.2 PORTA.0 PORTC.3 PORB.0/OSCO PORTB.1/OSCI PORTC.0 PORTC.2 SH69P21 /SOP PORTA 1K 4 SH6610C 4 OTP ROM 1K X 16 RAM 88 X 4-24 - 64 2.4V-5.5V - fosc = 30kHz - 4MHz, = 2.4V - 5.5V - fosc = 4MHz - 8MHz, = 4.5V - 5.5V 11 CMOS I/O 4 ( ) 8 / - 0 PORTA.0 ( / / ) - 0 - PORTB ( ) ( ) - 32.768kHz,

More information

33023A.book(31026A_cn.fm)

33023A.book(31026A_cn.fm) 26 第 26 章看门狗定时器与休眠模式 目录 看门狗定时器与休眠模式 本章包括下面一些主要内容 : 26.1 简介... 26-2 26.2 控制寄存器... 26-3 26.3 看门狗定时器 (WDT) 的操作... 26-4 26.4 休眠省电模式... 26-7 26.5 初始化... 26-9 26.6 设计技巧... 26-10 26.7 相关应用笔记... 26-11 26.8 版本历史...

More information

DPJJX1.DOC

DPJJX1.DOC 8051 111 2K 1 2 3 ' ' 1 CPU RAM ROM / A/D D/A PC CPU 40 68 10 20 8 51 PIII 8051 2 MCS51 8051 8031 89C51 8051 8031 89C51? MCS51 INTEL INTEL 8031 8051 8751 8032 8052 8752 8051 8051 8051 MCS51 8031 8031

More information

General Description: Preliminary TTP916 Consumer IC VCD/DVD LCD Green mode Stand-by mode( 1W ) Features: 2.2V-5.5V LCD RAM read condition 2.6V-5.5V RC

General Description: Preliminary TTP916 Consumer IC VCD/DVD LCD Green mode Stand-by mode( 1W ) Features: 2.2V-5.5V LCD RAM read condition 2.6V-5.5V RC General Description: IC VCD/DVD LCD Green mode Stand-by mode( 1W ) Features: 2.2V-5.5V LCD RAM read condition 2.6V-5.5V RC 15K 300pF 256KHZ default on 32 function key Built-in TT6221/TT6222 decoder Provide

More information

RESET OSCO OSCI OSC CPU WDTEN RC RESET PRESCALER PORTA (4-BITS) WATCHDOG TIMER Power on PORTB (4-BITS) PORTA [0:3] PORTB [0:3] LPD PORTC (4-BITS) LPDO

RESET OSCO OSCI OSC CPU WDTEN RC RESET PRESCALER PORTA (4-BITS) WATCHDOG TIMER Power on PORTB (4-BITS) PORTA [0:3] PORTB [0:3] LPD PORTC (4-BITS) LPDO 4K / I/O 4 SH6610C 4 OTPROM 4K X 16 SH69P25 MASK ROM 4K X 16 SH69K25 RAM 192 X 4-32 - 160 2.4V - 5.5V 5.0V 22 I/O 4 8 / PORTA-PORTF / - Timer0 - PORTB & PORTC / - 32.768kHz 4MHz - 400kHz 4MHz - RC 400kHz

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

Microsoft Word - Ö¸Á.doc

Microsoft Word - Ö¸Á.doc 指令集说明 注 由于资源大小问题 其中以下几款 MCU 只有 62 条指令 其余均为 63 条指令 HT48CA0/HT48RA0A 无 RETI 指令 HT48R05A-1 无 TABRDL 指令 指令寻址方式有下面 5 种 立即寻址 此一寻址法是将立即的常数值紧跟在运算码 (opcode) 后 例如 MOV A, 33H ADD A, 33H 直接寻址 直接寻址的情況只允许在存储器之间作数据传送

More information

<4D F736F F F696E74202D B5A5C6ACBBFAD3EBB5E7D7D3CFB5CDB3C9E8BCC62E707074>

<4D F736F F F696E74202D B5A5C6ACBBFAD3EBB5E7D7D3CFB5CDB3C9E8BCC62E707074> 一. 高性能的 RISC 结构 CPU 1. 哈佛双总线结构程序总线和数据总线独立, 避免了瓶颈现象. 2. RISC 指令集 ( 精简指令集 ) 具有指令 33/35/58 条, 因此易学易用. 3. 指令周期大部分为单周期指令 ( 分支指令除外 ). 4. 执行速度大部分指令周期在 (200ns-1us) PIC17XX 是目前执行速度最快的 8 位单片机 5. 多级硬件堆栈可为 2 8 16

More information

2 PIC PIC 1 / CPU PIC MCU PIC RC

2 PIC PIC 1 / CPU PIC MCU PIC RC 2 PIC PIC 1 /... 2-2 2... 2-3 3... 2-4 4... 2-4 5... 2-4 6 CPU... 2-5 7 PIC MCU... 2-6 8 PIC16... 2-6 9... 2-7 10... 2-7 11 RC... 2-7 12... 2-8 13... 2-8 14 NOP... 2-9 15 PMD... 2-9 16... 2-10 17 WDTWDT...

More information

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe   Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L 1 SH88F516 8051 64K FLASH 1024 EEPROM SH88F516 JET51 S-Lab Keil µ vision ISP51 Keil µ vision ISP51 ISP51 PC RS232 MCU SH88F516 SH88F516 1.1 SH88F516 1.1 SH88F516 SH88Fxx: QFP44, DIP40 RAM Flash E2 ADC

More information

INCF SEC1,F ; 將 SEC1+1 作查表取回對應數字 MOVF SEC1,W banksel STRING1 banksel PORTB RETFIE run_sec2: MOVF SEC2,W SUBLW.5 GOTO run_min ; 將秒個位數歸 0 CLRF SEC1 MOVL

INCF SEC1,F ; 將 SEC1+1 作查表取回對應數字 MOVF SEC1,W banksel STRING1 banksel PORTB RETFIE run_sec2: MOVF SEC2,W SUBLW.5 GOTO run_min ; 將秒個位數歸 0 CLRF SEC1 MOVL ;************************************************ ; Null Clock REV:1.0 by Jed ; www.xuan.idv.tw ;************************************************ INCLUDE P16F84A.INC LIST P=16F84A,R=Dec CONFIG _XT_OSC&_WDT_OFF

More information

Microsoft Word - SDI0818用户手册_V1_Agu22_08.doc

Microsoft Word - SDI0818用户手册_V1_Agu22_08.doc 24 位模数转换器 特征 : 24 位分辨率 ( 无失码 ) 19.5 位有效位 (ENBO 128 倍放大 ) 18 位不动码 内部集成 128 倍增益放大器 内部集成震荡器频率 :2.45MHz 偏差 :+/- 5% 具有很高的电源抑制比, 方便在强电源噪声环境下应用 : 10Hz 时对 50Hz 60Hz 噪声抑制 (-100dB) 输出码率 10Hz 80Hz 可选 外部输入参考电压 VREFP

More information

M8Rxxx 指令集说明 Version 年 11 月 上海磐芯电子有限公司 SHANGHAI MASSES ELECTRONIC Co., Ltd. 本公司保留对产品在可靠性, 功能和设计方面的改进作进一步说明的权利 说明文档的更改, 恕不另行通知

M8Rxxx 指令集说明 Version 年 11 月 上海磐芯电子有限公司 SHANGHAI MASSES ELECTRONIC Co., Ltd. 本公司保留对产品在可靠性, 功能和设计方面的改进作进一步说明的权利 说明文档的更改, 恕不另行通知 M8Rxxx 指令集说明 Version 1.04 2014 年 11 月 上海磐芯电子有限公司 SHANGHAI MASSES ELECTRONIC Co., Ltd. 本公司保留对产品在可靠性, 功能和设计方面的改进作进一步说明的权利 说明文档的更改, 恕不另行通知 修正记录 版本 日期 描述 Ver1.02 2014-5-15 补全指令说明 Ver1.03 2014-10-8 勘误 Ver1.04

More information

12232A LED LED LED EL EL CCFL EL CCF

12232A LED LED LED EL EL CCFL EL CCF 12232A 0 50-20 +70-30 +85 LED LED LED EL EL CCFL EL CCF 122 x 32 1/32Duty 1/5Bias 6:00 STN( ), EL LED EL/100VAC 400HZ LED/4.2VDC 1 / VDD-VSS 0 6.5 V Ta=25 LCD VDD-V0 0 12.0 V V1 0 VDD V VDD-VSS - 4.75

More information

2.5 idlocs PIC idloc idloc 0x1234 ; 0x config idloc HEX errorlevel errorlevel Error (Warning) Message HEX 3-14 errorlevel

2.5 idlocs PIC idloc idloc 0x1234 ; 0x config idloc HEX errorlevel errorlevel Error (Warning) Message HEX 3-14 errorlevel 3.2.3 MPASM PIC 35 35 #include include #include MPASM include PIC MPLAB C:\Program Files\MPLAB IDE\MCHIP_Tools PIC.inc 3-01 #include ; PIC16F877A #include math.asm ; 3-01 MPASM MPLAB

More information

HT46R47 f SYS =4MHz 3.3~5.5V f SYS =8MHz 4.5~5.5V 13 位双向输入 / 输出口 1 个与输入 / 输出共用引脚的外部中断输入 8 位带溢出中断的可编程定时 / 计数器 具有 7 级预分频器 石英晶体或 RC 振荡器 位的程序存储器 P

HT46R47 f SYS =4MHz 3.3~5.5V f SYS =8MHz 4.5~5.5V 13 位双向输入 / 输出口 1 个与输入 / 输出共用引脚的外部中断输入 8 位带溢出中断的可编程定时 / 计数器 具有 7 级预分频器 石英晶体或 RC 振荡器 位的程序存储器 P HT46R/47R/48R/49R 系列 选型指南 HT46R22 f SYS =4MHz 3.3~5.5V f SYS =8MHz 4.5~5.5V 19 位双向输入 / 输出口 1 个与输入 / 输出口线共用的外部输入 16 位具有 7 级预分频带溢出中断的可编程定时 / 计数器 内置石英晶体或 RC 振荡器 2048 14 位的程序存储器 PROM 64 8 位的数据存储器 RAM 支持发声的

More information

USB解决方案.ppt

USB解决方案.ppt USB USB? RS232 USB USB HID U modem ADSL cable modem IrDA Silabs USB CP210x USB UART USB RS-232 USB MCU 15 USB 12 FLASH MCU 3 USB MCU USB MCU C8051F32x 10 ADC 1.5%, Vref CPU 25MIPS 8051 16KB Flash -AMUX

More information

单片机应用编程技巧(专家:邓宏杰)

单片机应用编程技巧(专家:邓宏杰) 编 者 注 : 本 文 件 为 电 子 工 程 专 辑 网 站 编 辑 部 原 创, 电 子 工 程 专 辑 享 有 本 文 章 完 全 著 作 权, 如 需 转 载 该 文 章, 必 须 经 过 电 子 工 程 专 辑 网 站 编 辑 部 同 意 联 系 电 子 工 程 专 辑 网 站 编 辑 部, 请 发 信 至 eetcol@globalsources.com 单 片 机 应 用 编 程 技

More information

untitled

untitled 计 IC 规 书 2017/06/19 1 13 1.0 内.. 3.. 3 路 图.. 4 说.. 5.. 6.. 7.. 8 LCD.. 9 应 路图..10 标图..11 流 图..12 订单..13 订..13 2017/06/19 2 13 1.0 TCP316 数 计 路 键 选择 /(/ 切换 ) 单 1.5V 关闭 内 压检测 TCP316A1.30 ± 0.05V TCP316B1.25

More information

untitled

untitled 计 IC 规 书 2015/09/23 1 13 1.0 内.. 3.. 3 路 图.. 4 说.. 5.. 6.. 7.. 8 LCD.. 9 应 路图..10 标图..11 流 图..12 订单..13 订..13 2015/09/23 2 13 1.0 TCP310 数 计 路 键 选择 /(/ 切换 ) 单 1.5V 关闭 内 压检测 TCP310A1.30 ± 0.05V TCP310B1.25

More information

ICD ICD ICD ICD ICD

ICD ICD ICD ICD ICD MPLAB ICD2 MPLAB ICD2 PIC MPLAB-IDE V6.0 ICD2 usb PC RS232 MPLAB IDE PC PC 2.0 5.5V LED EEDATA MPLAB ICD2 Microchip MPLAB-IDE v6.0 Windows 95/98 Windows NT Windows 2000 www.elc-mcu.com 1 ICD2...4 1.1 ICD2...4

More information

DESCRIPTION

DESCRIPTION 8Bit CMOS OTP MCU 器件特性 RISC CPU: 仅需 35 条指令大部分指令仅需一个时钟周期存储器 1K x14 OTP ROM 48 Byte RAM 4 级堆栈时钟系统内置振荡 : Max: 4MHz ±2%.(3.3~5.5V) Max: 2MHz±2%.(2.4~3.3V) RC 振荡 : 外部晶体振荡 : IO 引脚配置输入输出双向 IO 口 : RA RC 单向输入引脚

More information

mdt1030

mdt1030 深圳市英锐恩科技有限公司 第 1 页 单片机集成方案全方位解决服务商 优质智能电子产品 芯 方案解决商 Micon MDT1030 产品用户参考手册 全球销售及服务联系信息 : 深圳市英锐恩科技有限公司 ENROO-TECH(SHENZHEN)CO.,LTD 中国 深圳市福田区福华路嘉汇新城汇商中心 27 楼 2701 室 Enroo-Tech Technologies CO., Limited Light-Tech

More information

STC15W4K60S4系列增强型PWM波形发生器应用

STC15W4K60S4系列增强型PWM波形发生器应用 STC15W4K32S4 系列新增 6 通道增强型带死区控制高精度 PWM 波形发生器应用 STC15W4K32S4 系列的单片机集成了一组 ( 各自独立 6 路 ) 增强型的 PWM 波形发生器 PWM 波形发生器内部有一个 15 位的 PWM 计数器供 6 路 PWM 使用, 用户可以设置每路 PWM 的初始电平 另外,PWM 波形发生器为每路 PWM 又设计了两个用于控制波形翻转的计数器 T1/T2,

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

33023A.book(31006A_cn.fm)

33023A.book(31006A_cn.fm) 6 第 6 章存储器构成 存储器构成 目录 本章包括下面一些主要内容 : 6.1 简介...6-2 6.2 程序存储器构成... 6-2 6.3 数据存储器构成... 6-8 6.4 初始化... 6-14 6.5 设计技巧... 6-16 6.6 相关应用笔记... 6-17 6.7 版本历史... 6-18 2004 Microchip Technology Inc. DS31006A_CN 第

More information

XNS104 产品说明书 AD 型 2.4G 高速无线收发单片机概述 2.4GHz 高速无线收发单片机 XNS104 是一个带 12 位 ADC, 以 OTP 为程序存储基础, 带 2.4G 高速无线收发芯片的单片机 无线收发单元工作在 GHz 世界通用 ISM 频段, 它集

XNS104 产品说明书 AD 型 2.4G 高速无线收发单片机概述 2.4GHz 高速无线收发单片机 XNS104 是一个带 12 位 ADC, 以 OTP 为程序存储基础, 带 2.4G 高速无线收发芯片的单片机 无线收发单元工作在 GHz 世界通用 ISM 频段, 它集 XNS104 产品说明书 AD 型 2.4G 高速无线收发单片机概述 2.4GHz 高速无线收发单片机 XNS104 是一个带 12 位 ADC, 以 OTP 为程序存储基础, 带 2.4G 高速无线收发芯片的单片机 无线收发单元工作在 2.400--2.483GHz 世界通用 ISM 频段, 它集成射频收发通路 频率发生器 晶体振荡器 调制解调器等功能模块, 并且支持一对多组网和带 ACK 的通信模式

More information

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC LCD PIC 单片机解决方案 集成 LCD 驱动器模块的 PIC 单片机 www.microchip.com/lcd ,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC,

More information

MSP430X1XX 系列微控制器具有以下特征 结构框图 超低功耗结构体系 A 额定工作电流在 1MHz V 工作电压 C11X P11X 和 E11X 为 V 从备用模式唤醒为 6 S 丰富的中断能力减少了查询的需要灵活强大的处理能力源操作数有七种寻址模

MSP430X1XX 系列微控制器具有以下特征 结构框图 超低功耗结构体系 A 额定工作电流在 1MHz V 工作电压 C11X P11X 和 E11X 为 V 从备用模式唤醒为 6 S 丰富的中断能力减少了查询的需要灵活强大的处理能力源操作数有七种寻址模 新一代超低功耗 16 位单片机 TI MSP430 系列 美国 TI 公司的 MSP430 系列单片机可以分为以下几个系列 X1XX X3XX X4XX 等等 而且 在不断发展 从存储器角度 又可分为 ROM C 型 OTP P 型 EPROM E 型 Flash Memory F 型 系列的全部成员均为软件兼容 可以方便地在系列各型号间移植 MSP430 系列单片机 的 MCU 设计成适合各种应用的

More information

ETA104 数据采集模块数据手册

ETA104 数据采集模块数据手册 Emtronix ETA104 数据采集模块数据手册 1. 概述 1.1 ETA104 介绍 ETA104 模拟数据采集模块 ( 下文简称 :ETA104 模块 ) 是基于英创公司 SBC840 工控应用底板 符合 DM5028 标准应用扩展模块 ETA104 模块上的模数转换功能, 采用 ADS7871 模拟信号转换芯片, 占用 ESMARC 工控主板的 SPI 总线进行通讯, 最高可实现 48K

More information

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x 115 AVR W.V. Awdrey ATMEL AVR PIC AVR PIC AVR RISC AVR PIC AVR AVR AVR AVR AVR ATtiny15 AVR AVR AVR RAM ROM 121 116 122 ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r31 3 16 X Y Z 6-1 118 7 0

More information

16位A/D转换器

16位A/D转换器 16 位 A/D 转换器 anyh 是双竞公司新推出的 16 位 A/D 转换器, 具有两个全差分输入通道, 可用于测量低频模拟信号 这种器件带有增益可编程放大器, 可通过软件编程来直接测量传感器输出的各种微小信号 具有分辨率高 动态范围广 自校准等特点, 因而非常适合于工业控制 仪表测量等领域 16 位 A/D 转换器 1. 概述 是双竞公司新推出的 16 位 A/D 转换器, 具有两个全差分输入通道,

More information

Applications

Applications 概述 FM1905 是 24 点 内存映象和多功能的 LCD 驱动器,FM1905 的软件配置特性使它适用于多种 LCD 应用场合, 包括 LCD 模块和显示子系统 用于连接主控制器和 FM1905 的管脚只有 3 条,FM1905 还有一个节电命令用于降 低系统功耗 特点 工作电压 3.0 ~5.0V 内嵌 256KHz RC 振荡器 可外接 32KHz 晶片或 256KHz 频率源输入 可选 1/2

More information

规格书

规格书 MC30P6070 用户手册 SinoMCU 8 位单片机 2016/5/31 上海晟矽微电子股份有限公司 Shanghai SinoMCU Microelectronics Co., Ltd. 本公司保留对产品在可靠性 功能和设计方面的改进作进一步说明的权利 用户手册的更改, 恕不另行通知 目录 1 产品简介... 4 1.1 产品特性... 4 1.2 订购信息... 5 1.3 系统框图...

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

Section1_16bit Arc.ppt

Section1_16bit Arc.ppt 16-bit Elite Program 2009 Summer Section-1 Microchip 16-bit 2005 Microchip Technology Incorporated. All Rights Reserved. Slide 1 MCU CPU (NOP),, (Data Memory), I/O CPU w. ALU/Working Reg. Data Mem. Data

More information

单片机原理及应用实验指导书.doc

单片机原理及应用实验指导书.doc 1 2 3 4...2...4...9...9 AEDK598 MCS51...9 MCS51...10...10...10...10...10...10...11 P1...12...12...12....12...12...13 P3...14...14...14...14...14...14 I/O...15...15...15...15...15...16...17...17...17...17...17...18...19...19

More information

1 TPIS TPIS 2 2

1 TPIS TPIS 2 2 1 1 TPIS TPIS 2 2 1. 2. 3. 4. 3 3 4 5 4 TPIS TPIS 6 5 350 Mark Coil F3/F6 350 M 150 M 25 M 7.12M 8 M F3 F6 F4 F7 F8 8M AA 7 350 28V 5V IC HCPL2731 0.5mA 6 8 (TPIS) TPIS 9 7 IC AT89C2051 AT89C2051 CMOS8

More information

IC芯片自主创新设计实验

IC芯片自主创新设计实验 IC 芯片自主创新设计实验 设计报告 设计题目 : 格雷码计数器芯片设计 设计学生 : 吴东生 ( 集成电路 ) 景国新 ( 固体电子 ) 林道明 ( 集成电路 ) 连维重 ( 集成电路 ) 施望 ( 集成电路 ) 刘锦秀 ( 集成电路 ) 刘中伟 ( 集成电路 ) 李梦宁 ( 集成电路 ) 指导教师 : 阮爱武 杜涛 指导单位 : 电子设计自动化技术 课程组 一 格雷码计数器芯片设计概述 功能描述

More information

CH559指令周期.doc

CH559指令周期.doc CH55X 汇编指令周期表 CH55X 汇编指令概述 : 型号包含 : a. 指令单周期型号 :CH557 CH558 CH559; b. 指令 4 周期型号 :CH551 CH552 CH553 CH554; c. 非跳转指令的指令周期数与指令字节数相同 ; d. 跳转指令含 MOVC/RET/CALL 通常比字节数多若干个周期 ; e.movc 指令多 4 或 5 个周期 ( 下条指令地址为奇数时多

More information

41213C.book

41213C.book 数据手册 8 位 CMOS 闪存 单片机系列 2006 Microchip Technology Inc. DS41213C_CN 请注意以下有关 Microchip 器件代码保护功能的要点 : Microchip 的产品均达到 Microchip 数据手册中所述的技术指标 Microchip 确信 : 在正常使用的情况下, Microchip 系列产品是当今市场上同类产品中最安全的产品之一 目前,

More information

GW EDA VHDL VHDL VHDL VHDL ADC009 0 FPGA PC GW EDA a GW EDA beda README.TXT c d 0 e J MZH +V GND -V D/A +V GND S JA J D D D D D D D D C K J J VGA VGA B EDA JB B J HC B RS- CON CON HC PS/ CPLD/FPGA J RS-

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

DS_MM32P021_Ver1.9.0

DS_MM32P021_Ver1.9.0 产品手册 Datasheet MM32P021 32 位基于 ARM Cortex M0 核心的微控制器 版本 :1.9.0 保留不通知的情况下, 更改相关资料的权利 目录 1. 总介... 5 1.1 概述... 5 1.2 产品特性... 5 2. 规格说明... 6 2.1 器件对比... 6 2.2 功能简述... 6 3. 引脚定义... 7 3.1 引脚配置... 7 3.2 引脚描述...

More information

基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V VDD 5.

基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V VDD 5. 32 位 MCU ES32F0334 产品简介 产品简介 数据手册 参考手册 上海东软载波微电子有限公司 2018-11-20 V1.0 1/18 基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位

More information

Microsoft Word - LMB402CBC-AppNote-V0.1.doc

Microsoft Word - LMB402CBC-AppNote-V0.1.doc LMB402CBC 液晶显示模块应用参考 深圳市拓普微科技开发有限公司 版本描述日期编者 0.1 新版本 2006-02-09 杨军 http://www.topwaysz.com 1 / 1 目录 1 概述 3 2 硬件接口 3 3 软件特性 3 3.1 控制器接口信号说明 3 3.2 模块指令系统 4 4 显示数据存储器的地址 4 5 字符发生器 CGRAM 的地址 5 6 应用举例 5 6.1

More information

701PIC Introduction to PICMicro MCUs

701PIC Introduction to PICMicro MCUs 701 PIC PICmicro MCU 介绍 PIC16 系列产品的汇编编程 HANDS-ON Microchip Technology Incorporated. All Rights Reserved. 701 PIC PIC MCU - Introduction to Programming 1 日程 讲述部分 讲述 90 分钟 休息 20 分钟 实验部分 1 2 个实验 60 分钟 休息

More information

PIC8位单片机汇编语言常用指令的识读(上)

PIC8位单片机汇编语言常用指令的识读(上) PIC8 位单片机汇编语言常用指令的识读 ( 上 ) 各大类单片机的指令系统是没有通用性的, 它是由单片机生产厂家规定的, 所以用户必须遵循厂家规定的标准, 才能达到应用单片机的目的 PIC 8 位单片机共有三个级别, 有相对应的指令集 基本级 PIC 系列芯片共有指令 33 条, 每条指令是 12 位字长 ; 中级 PIC 系列芯片共有指令 35 条, 每条指令是 14 位字长 ; 高级 PIC

More information

PIC单片机16F84的内部硬件资源(一)

PIC单片机16F84的内部硬件资源(一) PIC 单片机 16F84 的内部硬件资源 ( 一 ) 我们已经知道要单片机工作, 就需用汇编语言编制程序 而对某个 PIC 单片机编程时, 还需对选用的 PIC 单片机内部硬件资源有所了解 这里介绍 PIC16F84 单片机的内部结构, 如图 1 所示的框图 由图 1 看出, 其基本组成可分为四个主要部分, 即运算器 ALU 和工作寄存器 W; 程序存储器 ; 数据存储器和输入 / 输出 (I/O)

More information

FAQ_Chipsea MCU_V1.0

FAQ_Chipsea MCU_V1.0 MCU 系列问题集 Rev. 2.3 通讯地址 : 深圳市南山区南海大道 1079 号花园城数码大厦 A 栋 9 楼邮政编码 :518067 公司电话 :+(86 755)86169257 传真 :+(86 755)86169057 公司网站 :www.chipsea.com 第 1 页, 共 53 页 版本历史 版本历史 历史版本. 修改内容 版本日期 REV 1.0 初始版本 2013-01-20

More information

基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V 5.5V P

基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V 5.5V P 32 位 MCU ES32F0654 ES32F0653 产品简介 产品简介 数据手册 参考手册 上海东软载波微电子有限公司 2018-11-20 V1.0 1/22 基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM

More information

1 CPU

1 CPU 2000 Tel 82316285 82317634 Mail liuxd@buaa.edu.cn 1 CPU 2 CPU 7 72 A B 85 15 3 1/2 M301 2~17 : 3/4 1/2 323 IBM PC 1. 2. 3. 1. 2. 3. 1.1 Hardware Software 1.2 M3 M2 M1 1.2 M3 M1 M2 M2 M1 M1 M1 1.2 M3 M1

More information

a b c d e f g C2 C1 2

a b c d e f g C2 C1 2 a b c d e f g C2 C1 2 IN1 IN2 0 2 to 1 Mux 1 IN1 IN2 0 2 to 1 Mux 1 Sel= 0 M0 High C2 C1 Sel= 1 M0 Low C2 C1 1 to 2 decoder M1 Low 1 to 2 decoder M1 High 3 BCD 1Hz clk 64Hz BCD 4 4 0 1 2 to 1 Mux sel 4

More information

MSP430x11x1 T A -40 ~ SOWB 20 TSSOP (DW) (PW) MSP430F110IDW MSP430F110IPW MSP430F112IDW MSP430F112IPW + F11x 30kΩ

MSP430x11x1 T A -40 ~ SOWB 20 TSSOP (DW) (PW) MSP430F110IDW MSP430F110IPW MSP430F112IDW MSP430F112IPW + F11x 30kΩ MSP430F11x 1.8-3.6V 1.6uA@4KHz 200uA@1MHz 2.2V 5 ( 0.8uA RAM 0.1uA) 6us 16 RISC 125nS 32KHz / 16 A/D MSP430F110 1KB+128B 128B RAM MSP430F112 4KB+256B 256B RAM 20 (SOWB) 20 (TSSOP) MSP430 16 RISC CPU 16

More information

行业

行业 PCI-1710 1.1...2 1.1.1...2 1.1.2...2 1.1.3 FIFO( )...2 1.1.4...2 1.1.5...2 1.1.6 16 16...3 1.1.7...3 1.2...3 1.3...3 2.1...3 2.2...4 2.2.1...4 2.2.2...5 2.3...9 2.3.1...10 2.3.2... 11 2.3.3...12 2.3.4...12

More information

41239D.book

41239D.book 数据手册 6 引脚 8 位闪存单片机 2007 Microchip Technology Inc. DS41239D_CN 请注意以下有关 Microchip 器件代码保护功能的要点 : Microchip 的产品均达到 Microchip 数据手册中所述的技术指标 Microchip 确信 : 在正常使用的情况下, Microchip 系列产品是当今市场上同类产品中最安全的产品之一 目前, 仍存在着恶意

More information

Microsoft Word - 專題封面.doc

Microsoft Word - 專題封面.doc 逢 甲 大 學 資 訊 工 程 學 系 專 題 研 究 報 告 8051 小 遊 戲 -21 點 指 導 教 授 : 陳 德 生 學 生 : 許 博 益 中 華 民 國 九 十 六 年 六 月 I 目 錄 第 一 章 緒 論 1 1-1 研 究 背 景 1 1-2 研 究 動 機 2 1-3 研 究 目 的 3 1-3-1 21 點 源 起 3 1-3-2 21 點 規 則 3 第 二 章 微 電

More information

XT1861

XT1861 同步升压 DC-DC 变换器 产品概述 XT1861 系列产品是一款低功耗高效率 低纹波 工作频率高的 PFM 控制升压 DC-DC 变换器 XT1861 系列产品仅需要 3 个外部元器, 即可完成低输入的电池电压输入 用途 1~3 个干电池的电子设备 数码相机 电子词典 ED 手电筒 ED 灯 血压计 MP3 遥控玩具 无线耳机 无线鼠标键盘 医疗器械 防丢器 汽车防盗器 充电器 VCR PD 等手持电子设备

More information

24位模数转换器

24位模数转换器 24 位高精度低电压模数转换器 特征 : 24 位分辨率 ( 无失码 ) 20.7 位有效位 (ENBO 128 倍放大 10Hz 输出 3.0V) 18.2 位不动码 (ENBO 128 倍放大 10Hz 输出 3.0V) 内部集成可编程增益 : 2 4 8 16 32 128 256 1024 2048 倍 输出码率可选 (Hz): 0.625 1.25 2.5 5 10 20( 默认 ) 40

More information

Ps22Pdf

Ps22Pdf ( ) ( 150 ) 25 15 20 40 ( 25, 1, 25 ), 1. A. B. C. D. 2. A. B. C. D. 3., J = 1 H = 1 ( A B, J', J, H ) A. A = B = 1, J' =0 B. A = B = J' =1 C. A = J' =1, B =0 D. B = J' = 1, A = 0 4. AB + AB A. AB B. AB

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

SST SPAC SST SoftICE SST89C5x/SST89x554RC /564RD /SST89x516/5xRD / SoftICE SoftICE MCU SoftICE SS

SST SPAC SST SoftICE SST89C5x/SST89x554RC /564RD /SST89x516/5xRD / SoftICE SoftICE MCU SoftICE SS SST SoftICE SST89C5x/SST89x554RC /564RD /SST89x516/5xRD2 1...2 1.1...2 1.2...2 1.3 /...2 2 SoftICE...2 3 SoftICE MCU...2 4 SoftICE...3 4.1 SST BootLoader SOFTICE...3 4.2 SoftICE SST MCU...6 5 SoftICE...7

More information

AN579

AN579 深圳市英锐恩科技有限公司 单片机集成方案全方位解决服务商 优质智能电子产品 芯 方案解决商 Microchip 产品用户参考手册 深圳市英锐恩科技有限公司 ENROO-TECH(SHENZHEN)CO.,LTD 中国 深圳市福田区福华路嘉汇新城汇商中心 27 楼 2701 Enroo-Tech Technologies CO., Limited Light-Tech International Limited

More information

Microsoft Word - sim6xF0xC-0225.doc

Microsoft Word - sim6xF0xC-0225.doc 8-pin 内置 EEPROM 增强型八位 FLASH 单片机 技术相关信息 应用范例 -HA0075S MCU 复位电路和振荡电路的应用范例 特性 CPU 特性 工作电压 : f SYS =8MHz:2.2V~5.5V f SYS =12MHz:2.7V~5.5V f SYS =20MHz:4.5V~5.5V V DD =5V, 系统时钟为 20MHz 时, 指令周期为 0.2µs 提供省电模式和唤醒功能,

More information

HS2303-P

HS2303-P HS9100-T 产品说明书 Ver 4.00L 1. 概述 HS9100-T 是一款低成本 高速度 高抗干扰的 8 位 CMOS 单片机 基于 RISC 架构, 仅有 35 条指令 除程序跳转需要两个周期外, 其余所有的指令都是单周期指令 内部集成有 1K*13-bit 的一次性可编程只读电存储器 (OTP-ROM) 上电复位(POR) 欠压复位 (BOR 1.6V) 定时器 TMR0 看门狗定时器

More information

adsd

adsd HS8108 电脑开关电源控制器 概述 : HS8108 是 PWM 开关电源控制器, 主要用于台式 PC( 个人电脑 ) 的开关电源部分 HS8108 能够提供开关电源所有的控制和保护功能 : PWM 脉宽调制及推挽输出, 具有过压 欠压 过流 过功耗 远程控制 AC 掉电保护等功能, 两个内嵌的精密 431 调节器用作稳定 5V 辅助电源和 33V 输出电压, 内嵌的精密振荡器可以保证各种延时的精确性

More information

DATASHEET SEARCH SITE ==

DATASHEET SEARCH SITE == 1K 一次性编程, 10 位 ADC 型 4 位单片机 特性 基于 SH6610C, 10 位 ADC 型 4 位单片机 OTP ROM: 1K X 16 位 RAM: 124 X 4 位 - 28 系统控制寄存器 - 96 数据存储器 工作电压 : - fosc = 16MHz, VDD = 3.3V - 5.5V 6 个双向 I/O 端口 4 层堆栈 ( 包括中断 ) 一个 8 位自动重载定时

More information

行业

行业 PCI-1711/1711L 1.1...2 1.1.1...2 1.1.2...2 1.1.3 FIFO...2 1.1.4...2 1.1.5 16 16...3 1.2...3 2.1...3 2.2...3 2.2.1... 2.2.2...8 2.3...10 2.3.1...10 2.3.2... 11 2.3.3...12 2.3.4...13 2.4.5...14 3.1...16

More information

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File 51 C 51 51 C C C C C C * 2003-3-30 pnzwzw@163.com C C C C KEIL uvision2 MCS51 PLM C VC++ 51 KEIL51 KEIL51 KEIL51 KEIL 2K DEMO C KEIL KEIL51 P 1 1 1 1-1 - 1 Project New Project 1 2 Windows 1 3 N C test

More information

A (QFP-48-12x ) RANGE IF70K AL-OUT AL-SIG VSS CLKFREQ-SEL HR-SET MIN-SET AM/FM-SEL AL-DISP A AL-

A (QFP-48-12x ) RANGE IF70K AL-OUT AL-SIG VSS CLKFREQ-SEL HR-SET MIN-SET AM/FM-SEL AL-DISP A AL- AM/FM SC361 0 AM/FM 12 CMOS QFP-48-12x12-0.8 FM 150M Hz AM 30 MHz LCD 3 13 1/3 4 32.768kHz FM 10.7 MHz 70 khz AM 455kHz 12 1.8V ~ 3.3V QFP44-10 x10-0.8 A B C D QFP-48-12x12-0.8 QFP-44-10x10-0.8 COB (QFP

More information

VF---10

VF---10 VF---10 1 2 3 MCCB MC 1K2W 0~10V 0~5V 4~20mA R S T FWD REV X1 X2 X3 X4 X5 X6 COM 10V VS1 VS2 IS AGND U V W E COM PG A+ PG A- PG B+ 24V PG B- A B C Y1 Y2 Y3 COM M 485+ 485- A01 A02 AGND AM FM 6 MCCB

More information

<4D F736F F D203034CAB5D1E9CBC D20B5C4494F20BDD3BFDACAB5D1E92E646F63>

<4D F736F F D203034CAB5D1E9CBC D20B5C4494F20BDD3BFDACAB5D1E92E646F63> 实验四 ARM 的 I/O 接口实验 一 实验目的 1. 了解 S3C44B0X 的通用 I/O 接口 2. 掌握 I/0 功能的复用并熟练的配置, 进行编程实验二 实验设备 1. EL-ARM-830 教学实验箱,PentiumII 以上的 PC 机, 仿真器电缆 2. PC 操作系统 WIN98 或 WIN2000 或 WINXP,ARM SDT2.5 或 ADS1.2 集成开发环境, 仿真器驱动程序

More information

<463A5C CC9E4C6B55CB7A2C9E45CCBB5C3F7CAE95C D E3029CBB5C3F7CAE92E777073>

<463A5C CC9E4C6B55CB7A2C9E45CCBB5C3F7CAE95C D E3029CBB5C3F7CAE92E777073> 1. 概述 HS2303-PT 产品说明书 Ver 1.0 HS2303-PT 是一款低成本 高速度 高抗干扰, 带射频传输的 8 位 CMOS 制造的单片机 工作电压在 2.0~3.6V 之间, 电池供电时, 能保证绝大多数电池在电量完全耗尽前仍能正常使用 ; 正常工作温度范围在 -20 ~70 之间 单片机基于 RISC 架构, 仅有 35 条指令 除程序跳转需要两个周期外, 其余所有的指令都是单周期指令

More information

Microsoft Word - FM12232C.doc

Microsoft Word - FM12232C.doc SPEC NO YM2232C REV NO. : YM2232C : 22x32 SED52 LED : Dexun Zou HCC : Jingxi Yang : 22.8 目 录 ( 一 ) 基本特性 () ( 二 ) 限定参数 () ( 三 ) 直流特性 (2) ( 四 ) 交流特性 (2) ( 五 ) 机械尺寸图 (3) ( 六 ) 原理图 (3) ( 七 ) DDRAM 地址表 (4)

More information

Microsoft Word - IRFWX_A051_C_SyncMOS_with_STC_APN_SC_.doc

Microsoft Word - IRFWX_A051_C_SyncMOS_with_STC_APN_SC_.doc EEPROM 应用说明 1 适用产品 :SM59D03G2 SM59D04G2 series 2 应用范围 : 针对需使用 EEPORM 功能替换 STC 89C5X 的应用及汇编语言之范例程序 ( 使用内部扩充内存为暂存区 ) 3 功能说明 : 3.1 本公司上述产品 EEPORM 功能皆可替换 STC89C5X, 仅需对特殊功能缓存器定义 ( 详见表 1) 及 ISP 命令定义 ( 详见表 2)

More information

PIC16F87X基本指令說明

PIC16F87X基本指令說明 PIC16F87X 基本指令說明 ADDLW K 是 Add Literal and W 縮寫,ADDLW K 此指令是將 W 的內容與數值 K 相加, 並將加完結果存於 W 內 即 (W)+K (W) 例 :W=0X11,K=0X15, 執行 ADDLW K 之後, 結果為 W=0X26,K=0X15 ADDWF 是 Add W and f 縮寫,ADDWF F,d 此指令是將 W 之內容與 RAM(file)

More information

6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C C C51 C51 ANSI C MCS-51 C51 ANSI C C C51 bit Byte bit sbit

6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C C C51 C51 ANSI C MCS-51 C51 ANSI C C C51 bit Byte bit sbit 6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C51 6.1 C51 6.1.1 C51 C51 ANSI C MCS-51 C51 ANSI C C51 6.1 6.1 C51 bit Byte bit sbit 1 0 1 unsigned char 8 1 0 255 Signed char 8 11 128

More information

目录

目录 ALTERA_CPLD... 3 11SY_03091... 3 12SY_03091...4....5 21 5 22...8 23..10 24..12 25..13..17 3 1EPM7128SLC.......17 3 2EPM7032SLC.......18 33HT46R47......19..20 41..20 42. 43..26..27 5151DEMO I/O...27 52A/D89C51...28

More information

行业

行业 PCL-1800 PCL-1800 1.1...2 1.1.1 1K FIFO...2 1.1.2...2 1.1.3 16...3 1.1.4...3 1.1.5...3 1.1.6...3 1.2...3 1.3...4 1.4...5 2.1...5 2.2...6 2.2.1...6 2.2.2...6 2.2.3 D/A...7 2.2.4...7 2.2.5 TRIG0 GATE0...8

More information

Microsoft Word - mcu-an z-10.doc

Microsoft Word - mcu-an z-10.doc 富士通微电子 ( 上海 ) 有限公司应用笔记 MCU-AN-500004-Z-10 F²MC-8FX 家族 8 位微控制器 MB95200H/210H 系列 8/16 位多功能定时器 应用笔记 变更履历 变更履历 日期作者修正记录 2008-03-20 Levi Zhang V1.0, 第一版 本手册共 40 页 1. 本文档记载的产品信息及规格说明如有变动, 恕不预先通知 如需最新产品信息和 /

More information