B3B2B1B CA CB CC CD CE CF CG

Size: px
Start display at page:

Download "B3B2B1B CA CB CC CD CE CF CG"

Transcription

1 Lab6:7 段顯示器控制電路 [ 實驗說明 ] : 使用 ISE12.4 使用 VHDL 來設計 7 段顯示器控制電路 在這一個範例中, 你將利用 Seven_Segmenet.VHD 設計一個 HEX-to-seven-segment decoder 並且將資料透過 decoder 顯示到七節燈管上 開啟此專案所需 Test Bench(.tbw) 檔案, 並且利用 ISE12.4 的軟體完成整個設計流程, 同時利用 ISE12.4 的模擬功能來驗證結果的正確性! 此設計同時利用 Seven_Segment.ucf 來設定 I/O 腳的位置, 利用 Digilent Adept 軟體來燒錄 Seven_Segmene.bit 檔案到 FPGA 模擬板中, 驗證其設計結果是否正確 [ 實驗目地 ] : 當你完成整個專案之後, 你將學會以下的功能 (1). 利用 ISE12.4 的軟體開啟一個 Spartan3E FPGA 的專案. (2). 撰寫一個簡單的 VHDL 程式, 用 Syntax Check 來修正語法錯誤 (3). 使用 VHDL 來設計 7 段顯示器控制模組電路 (4). 產生測試型樣 Test Bench(.tbw) 來模擬你的設計. (5). 完成整個設計流程. 並產生 Seven_Segmene.bit 檔案 (6). 利用 FPGA Editor 來察看 FPGA 內部的結果, (7). 利用 Digilent Adept 來燒錄 Seven_Segmene.bit 檔案到 FPGA [ 系統設計說明 ] --HEX-to-seven-segment decoder -- Input: 4 bit Binary(B3 B2 B1 B) -- Segment_out: out (CA CB CG); a f b <- g -- e c d Binary(B3B2B1B) 7Seg Display(Seg1) 共陽極 Seg1 need CA CB CC CD CE CF CG

2 B3B2B1B CA CB CC CD CE CF CG CA=IN1+IN4+IN11+IN13 CB=IN5+IN6+IN11+IN12+IN14+IN15 CC=IN2+IN12+IN14+IN15 CD= IN1+IN4+IN7+IN1+IN15 CE= IN1+IN3+IN4+IN5+IN7+IN9 CF= IN1+IN2+IN3+IN7+IN13 CG= IN+IN1+IN7+IN12 IN1=1, IN2=1 以上使用 Schematic 非常複雜 S1 S AN AN1 AN2 AN3 7Seg AN=S1+S=(S1 S ), AN1=S1+S, AN2=S1 +S, AN3=S1 +S =>Lab1:decoder2to4 (enable: E=)

3 使用 VHDL 設計可減少設計複雜度 [ 操作步驟 ]: (1) 開啟 ISE12.4 軟體, 開啟一個新的專案 : [File] [New Project]->Lab6 (C:\Xilinx\project\Lab6) [Project Name] : Lab6 [Project Location]: c: \Xilinix\project\Lab6 ( 依使用者設定的目錄 ). [Top-Level Module Type] : HDL ( 代表最上層設計模組是以 HDL 輸入方式的模組 ) (1) -2. 設定硬體元件的參數 [ 元件系列 (Device Family)]:Spartan3E ( 請參考 Basys2_rm.pdf) [ 元件名稱 (Device)] : XC3S1E ( 請參考 Basys2_rm.pdf) [ 元件包裝 (Package)]:CP 132( 請參考 Basys2_rm.pdf) [ 元件速度等級 (Speed)]: -5 ( FPGA 速度等級 ) [ 合成器工具 (Synthesis Tool)]:XST VHDL(VHDL 合成器的種類 ) [ 模擬器 (Simulator)] : ISim(ISE12.4 內建的模擬器 ) [ 產生模擬器的語言 (Preferred Language)] : VHDL

4 (2)Design Entry: 加入新設計檔案 : [Project] [New Source]-> VHDL Module ->File name: Seven_Segment [Save] Seven_Segment.vhd Clockn : input ( 時脈振盪晶體的輸入信號 ). Reset : input ( 當 Reset = 時, 清除內部計數器 ) Segment_out : Output ( 七節燈管輸出腳 ) Sweep: Output( 七節燈管掃描腳位 )

5 Add Two Library: use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; Add VHDL Code: architecture Behavioral of Seven_Ssegment is signal count:std_logic_vector(25 downto ); signal HEX:std_logic_vector(3 downto ); begin process(clockn,reset) begin if Reset='1' then count<=(others => '');HEX<=(others => ''); elsif Clockn'event and Clockn='1' then if count < 5 then count<=count+'1'; else count<= (others => '');HEX<=HEX+'1'; end if; end if; end process; Sweep<=""; ---- 七節燈管掃描電路, 此時設定全部輸出 with HEX SELect Segment_out<= "11111" when "1", --1 "11" when "1", --2 "11" when "11", --3 "111" when "1", --4 "11" when "11", --5 "1" when "11", --6 "1111" when "111", --7 "" when "1", --8 "1" when "11", --9 "1" when "11", --A "11" when "111", --b "111" when "11", --C

6 end Behavioral; "11" when "111", --d "11" when "111", --E "111" when "1111", --F "1" when others; -- (3)Synthesis & Implement Design: [Process]->(double click) Generate Programming File <Three green Check: It s O.K.> Seven_Segment.bit (Download File)

7 (4)Simulation( 模擬 ) with Testbench Testbench :[Project] [New Source] [VHDL Testbench] Filename= Seven_Segment_tb [Select Source] Seven_Segment clockn :5Mhz ( 週期 2ns:1ns+1ns) -- Stimulus process Reset_proc: process begin Reset<='1'; wait for Clock_period*2; Reset<=''; wait for Clock_period*48; -- insert stimulus here end process;

8 (4)-2. Simulation: [Design]->view: Simulation [ISim Simulator] (double click) [Behavioral Check Syntax]-> (double click) [Simulate Behavioral Model]->Zoom to Full View ->Check Function is O.K.????

9 F=5Mhz, too high->modify: if count < 3 then 記得改回去 ;(redo simulation) modify: if count < b (5) 設定 I/O 腳位置, 利用 LED.UCF 來設定 I/O 腳的位置, 以得到正確輸出檔案. [Project] [New Source]-> [Implementation Constraints File] ->File Name: Seven_Segment (.ucf)-> [Save] -> Generate Programming File

10 NET "Clockn" LOC = "B8"; # Bank =, Signal name = MCLK NET "Reset" LOC = "G12"; # Bank =, Signal name = BTN NET "segment_out<>" LOC = "L14"; # Bank = 1, Signal name = CA NET "segment_out<1>" LOC = "H12"; # Bank = 1, Signal name = CB NET "segment_out<2>" LOC = "N14"; # Bank = 1, Signal name = CC NET "segment_out<3>" LOC = "N11"; # Bank = 2, Signal name = CD NET "segment_out<4>" LOC = "P12"; # Bank = 2, Signal name = CE NET "segment_out<5>" LOC = "L13"; # Bank = 1, Signal name = CF NET "segment_out<6>" LOC = "M12"; # Bank = 1, Signal name = CG NET "sweep<3>" LOC = "K14"; # Bank = 1, Signal name = AN3 NET "sweep<2>" LOC = "M13"; # Bank = 1, Signal name = AN2 NET "sweep<1>" LOC = "J12"; # Bank = 1, Signal name = AN1 NET "sweep<>" LOC = "F12"; # Bank = 1, Signal name = AN

11 (6) 下載與驗證 : 連接實驗板 (Digilent USB Cable)-> 連接到 USB -> 發展板 switch on-> PC:[Start]->digilent->Adept->Program-> 實驗板操作驗證 設計參考資料 : 多工掃描顯示使用多工掃描顯示時, 我們一次只讓一個七段顯示器的共同點得到驅動電壓而點亮, 也就是說同時間內只有一個七段顯示器可以顯示 ; 但當掃描速度夠快時, 由於人類視覺暫留的原理, 我們肉眼所看到的現象卻是所有的七段顯示器都穩定而且非閃爍的顯示 ( 每一個位數的七段顯示器之輸入掃描頻率至少要大於人眼的視覺暫留頻率 24Hz)

B3B2B1B0 CA CB CC CD CE CF CG

B3B2B1B0 CA CB CC CD CE CF CG Lab7:7 段顯示器控制電路 [ 實驗說明 ] : 這一個範例將分成兩階段 首先使用 ISE12.4 先進行叫用 Seven_Segmenet.vhd 模組建立 4bit HEX 轉換 7 段顯示器控制電路練習 接著再使用 Lab1 所設計的 2:4 解碼器與 Lab4 四位元加法器電路利用 Schematic 階層式設計快速建立加法器和輸出到 7 段顯示器的控制電路 你將開啟一個 Seven_Segmenet_Adder.sch

More information

a b c d e f g C2 C1 2

a b c d e f g C2 C1 2 a b c d e f g C2 C1 2 IN1 IN2 0 2 to 1 Mux 1 IN1 IN2 0 2 to 1 Mux 1 Sel= 0 M0 High C2 C1 Sel= 1 M0 Low C2 C1 1 to 2 decoder M1 Low 1 to 2 decoder M1 High 3 BCD 1Hz clk 64Hz BCD 4 4 0 1 2 to 1 Mux sel 4

More information

untitled

untitled 2004-2-16 (3-21) To Luo 207 Xilinx FPGA/CPLD ISE Xilinx Integrated Software Environment 6.1i FPGA VHDL VerilogHDL EDIF ModelSim FPGA FPGA ISE HDL FPGA ISE 7.1 7.1.1 ISE6.1i ISE6.1i ISE ModelSim ISE ModelSim

More information

(Microsoft Word - \245\274\244\300\246\250\301Z\260\252\247C13.doc)

(Microsoft Word - \245\274\244\300\246\250\301Z\260\252\247C13.doc) VHDL 實 習 報 告 四 資 工 二 指 導 教 授 : 徐 演 政 學 生 : 廖 雅 竹 B9515010 陳 緯 琪 B9515044 敗 LED 史 上 無 敵 超 級 賭 骰 子 模 擬 機 以 廖 雅 竹 陳 緯 琪 Project Title: 骰 硬 件 啟 動 後, 可 以 明 顯 的 觀 察 到 實 驗 板 上 方 的 兩 個 骰 子 器 高 速 地 跳 動 Participants:

More information

VHDL(Statements) (Sequential Statement) (Concurrent Statement) VHDL (Architecture)VHDL (PROCESS)(Sub-program) 2

VHDL(Statements) (Sequential Statement) (Concurrent Statement) VHDL (Architecture)VHDL (PROCESS)(Sub-program) 2 VHDL (Statements) VHDL(Statements) (Sequential Statement) (Concurrent Statement) VHDL (Architecture)VHDL (PROCESS)(Sub-program) 2 (Assignment Statement) (Signal Assignment Statement) (Variable Assignment

More information

USB - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - DES Module FSM CONTROLLER 8 6 8 Key ROM 8 8 Data_in RAM Data_out RAM 8 USB Board - 8 - - 9 - - 10 - - 11 - - 12 - USB device INF Windows INF Device Function

More information

2/80 2

2/80 2 2/80 2 3/80 3 DSP2400 is a high performance Digital Signal Processor (DSP) designed and developed by author s laboratory. It is designed for multimedia and wireless application. To develop application

More information

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor 1 4.1.1.1 (Load) 14 1.1 1 4.1.1.2 (Save) 14 1.1.1 1 4.1.2 (Buffer) 16 1.1.2 1 4.1.3 (Device) 16 1.1.3 1 4.1.3.1 (Select Device) 16 2 4.1.3.2 (Device Info) 16 2.1 2 4.1.3.3 (Adapter) 17 2.1.1 CD-ROM 2 4.1.4

More information

D-Type entity D_FF is D :in std_logic; CLK :in std_logic; Q :out std_logic); end D_FF; architecture a of D_FF is process(clk,d) if CLK'EVENT and CLK =

D-Type entity D_FF is D :in std_logic; CLK :in std_logic; Q :out std_logic); end D_FF; architecture a of D_FF is process(clk,d) if CLK'EVENT and CLK = VHDL (Sequential Logic) D-Type entity D_FF is D :in std_logic; CLK :in std_logic; Q :out std_logic); end D_FF; architecture a of D_FF is process(clk,d) if CLK'EVENT and CLK = '1' then Q

More information

(Microsoft Word - 103\300\347\267~\266\265\245\330\245N\275X)

(Microsoft Word - 103\300\347\267~\266\265\245\330\245N\275X) A 大 農 林 漁 牧 業 一 分 說 明 1. 獸 醫 業 非 屬 公 司 法 商 業 登 記 法 所 管 轄, 不 納 入 本 大 2. 各 依 性 質 包 括 其 從 事 業 務 產 品 之 批 發 零 售 二 分 示 意 圖 大 中 小 A A1 農 業 A101 農 藝 及 園 藝 A101011 種 苗 業 業 A101020 農 作 物 栽 培 業 A101030 特 用 作 物 栽

More information

mvc

mvc Build an application Tutor : Michael Pan Application Source codes - - Frameworks Xib files - - Resources - ( ) info.plist - UIKit Framework UIApplication Event status bar, icon... delegation [UIApplication

More information

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键 官 方 淘 宝 地 址 :http://metech.taobao.com/ MeTech verilog 典 型 例 程 讲 解 V1.0 笔 者 :MeTech 小 芯 技 术 支 持 QQ : 417765928 1026690567 技 术 支 持 QQ 群 :207186911 China AET 讨 论 组 http://group.chinaaet.com/293 笔 者 博 客 :http://blog.csdn.net/ywhfdl

More information

audiogram3 Owners Manual

audiogram3 Owners Manual USB AUDIO INTERFACE ZH 2 AUDIOGRAM 3 ( ) * Yamaha USB Yamaha USB ( ) ( ) USB Yamaha (5)-10 1/2 AUDIOGRAM 3 3 MIC / INST (XLR ) (IEC60268 ): 1 2 (+) 3 (-) 2 1 3 Yamaha USB Yamaha Yamaha Steinberg Media

More information

epub83-1

epub83-1 C++Builder 1 C + + B u i l d e r C + + B u i l d e r C + + B u i l d e r C + + B u i l d e r 1.1 1.1.1 1-1 1. 1-1 1 2. 1-1 2 A c c e s s P a r a d o x Visual FoxPro 3. / C / S 2 C + + B u i l d e r / C

More information

0 2 7 3 4 6 7 9 8 10 2 9 3 4 5 6 7 3 4 5 6 7 10 2 3 4 6 7 9 10 10 3 4 5 7 10 1 2 3 4 5 6 7 8 9 10 1 2 3 4 5 6 7 8 9 10 1 2 3 4 5 6 7 8 9 10 1 1. 2. 1. 2. 1. 2. 1. 2. 1. 2. 1. 2. 1. 2. 1. 2. 2 1. 2.

More information

小班上学期课程

小班上学期课程 1 2 3 4 5 1 1 2 2 3 3 4 4 5 5 6 6 7 7 8 8 9 9 10 10 6 7 8 9 10 11 12 13 : 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56

More information

untitled

untitled niosii H:\DB2005\project\niosDK\Example\NiosSmall QuartusII4.2 File -> New Project Wizard Diectory,Name,Top-Level Entity Add Files EDA Tools Setting Finish, OK H:\DB2005\project\niosDK\Example\NiosSmall

More information

<4D F736F F D20B3E6B4B9A4F930365F32A443AC71C5E3A5DCBEB9B1B1A8EE2E646F63>

<4D F736F F D20B3E6B4B9A4F930365F32A443AC71C5E3A5DCBEB9B1B1A8EE2E646F63> 七段顯示器控制電路四位數 _ 使用解碼器驅動 +5 V 10 uf 8.2 k 12 MHz 20 pf 1 2 3 4 5 6 7 8 9 P1.0 P1.1 P1.2 P1.3 P1.4 P1.5 P1.6 P1.7 RESET 10 P3.0 11 12 13 14 15 16 17 18 19 20 P3.1 P3.2 P3.3 P3.4 P3.5 P3.6 P3.7 XTAL2 XTAL1

More information

ICD ICD ICD ICD ICD

ICD ICD ICD ICD ICD MPLAB ICD2 MPLAB ICD2 PIC MPLAB-IDE V6.0 ICD2 usb PC RS232 MPLAB IDE PC PC 2.0 5.5V LED EEDATA MPLAB ICD2 Microchip MPLAB-IDE v6.0 Windows 95/98 Windows NT Windows 2000 www.elc-mcu.com 1 ICD2...4 1.1 ICD2...4

More information

B 6 A A N A S A +V B B B +V 2

B 6 A A N A S A +V B B B +V 2 B 6 A A N A S A +V B B B +V 2 V A A B B 3 C Vcc FT7 B B 1 C 1 V cc C 2 B 2 G G B 3 C 3V cc C B ND ND GND V A A B B C 1 C 3 C 2 C V cc V cc V 220Ωx B 1 B 2 B 3 B GND GND A B A B 1 1 0 0 0 2 0 1 0 0 3 0

More information

The golden pins of the PCI card can be oxidized after months or years

The golden pins of the PCI card can be oxidized after months or years Q. 如何在 LabWindows/CVI 編譯 DAQ Card 程式? A: 請參考至下列步驟 : 步驟 1: 安裝驅動程式 1. 安裝 UniDAQ 驅動程式 UniDAQ 驅動程式下載位置 : CD:\NAPDOS\PCI\UniDAQ\DLL\Driver\ ftp://ftp.icpdas.com/pub/cd/iocard/pci/napdos/pci/unidaq/dll/driver/

More information

2_dvdr3380_97_CT_21221b.indd

2_dvdr3380_97_CT_21221b.indd 64 65 66 ALL 3 67 a STANDBY-ON 2 a b c d e f g h i j k l b TIMER c SYSTEM-MENU d e SELECT f REC g. > h TOP MENU i ANGLE j RETURN k SUBTITLE l REC MODE 68 m n REC SOURCE o DISC-MENU p OK q EDIT r PLAYÉ

More information

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File 51 C 51 51 C C C C C C * 2003-3-30 pnzwzw@163.com C C C C KEIL uvision2 MCS51 PLM C VC++ 51 KEIL51 KEIL51 KEIL51 KEIL 2K DEMO C KEIL KEIL51 P 1 1 1 1-1 - 1 Project New Project 1 2 Windows 1 3 N C test

More information

混訊設計流程_04.PDF

混訊設計流程_04.PDF CIC Referenced Flow for Mixed-signal IC Design Version 1.0 (Date) (Description) (Version) V. 1.0 2010/11/ Abstract CIC IC (Mixed-signal Design Flow) IC (Front End) (Back End) Function Timing Power DRC

More information

untitled

untitled XILINX Platform Cbale USB www.hseda.com ...... Platform Cable USB Compatible.................. impact.........1 platform Cable USB Compatible.........1...1...1...1...1...1 Platform Cable USB Compatible

More information

南 京 信 息 工 程 大 学 滨 江 学 院 十 三 五 发 展 规 划 目 录 十 二 五 月 期 间 发 展 状 况 ( 一 ) 主 要 成 就 1. 社 会 声 誉 与 日 俱 增 2. 管 理 体 系 不 断 完 善 3. 师 资 队 伍 建 设 初 见 成 效 4. 专 业 建 设 进

南 京 信 息 工 程 大 学 滨 江 学 院 十 三 五 发 展 规 划 目 录 十 二 五 月 期 间 发 展 状 况 ( 一 ) 主 要 成 就 1. 社 会 声 誉 与 日 俱 增 2. 管 理 体 系 不 断 完 善 3. 师 资 队 伍 建 设 初 见 成 效 4. 专 业 建 设 进 2.3 教 师 专 业 发 展 本 专 业 十 分 重 视 教 师 专 业 发 展 在 师 资 队 伍 建 设 规 划 师 资 队 伍 建 设 举 措 青 年 教 师 的 引 进 与 培 养 以 及 教 师 发 展 中 心 建 设 等 方 面 开 展 了 卓 有 成 效 的 工 作 目 前 为 止 己 经 建 成 一 支 具 有 实 践 见 长 适 应 独 立 学 院 特 点 的 能 满 足 技 术

More information

KT-SOPCx开发套件简明教程

KT-SOPCx开发套件简明教程 V2.03 2005-9-1 FPGA SOC FPGA/SOPC IT QuartusII NiosII IDE FPGA/SOPC FPGA/SOPC FPGA/SOPC CT-SOPCx FPGA/SOPC CPLD/FPGA www.fpga.com.cn CPLD/FPGA FPGA QuartusII NiosII CPU SOPC SOPC Builder NiosII IDE 1 www.21control.com

More information

會 議 紀 錄 各 業 務 部 門 工 作 報 告 第 10 屆 第 2 次 定 期 大 會 教 育 委 員 會 第 1 次 工 作 報 告 會 議 紀 錄 時 間 : 中 華 民 國 96 年 10 月 2 日 ( 星 期 二 ) 上 午 10 時 16 分 至 12 時 35 分 地 點 : 本

會 議 紀 錄 各 業 務 部 門 工 作 報 告 第 10 屆 第 2 次 定 期 大 會 教 育 委 員 會 第 1 次 工 作 報 告 會 議 紀 錄 時 間 : 中 華 民 國 96 年 10 月 2 日 ( 星 期 二 ) 上 午 10 時 16 分 至 12 時 35 分 地 點 : 本 \/\/\/\/\/\/\/\/\/\/\/\/\/\/\/ \/\/\/\/\/\/\/\/\/\/\/\/\/\/\/ \ \/\/\/\/\/\/\/\/\/\/\/\/\/\/\/ \/\/\/\/\/\/\/\/\/\/\/\/\/\/\/ \ 要 目 會 議 紀 錄 ( 各 業 務 部 門 工 作 報 告 ) 第 10 屆 第 2 次 定 期 大 會 教 育 部 門 工 作 報 告 會

More information

51 C 51 isp 10 C PCB C C C C KEIL

51 C 51 isp 10   C   PCB C C C C KEIL http://wwwispdowncom 51 C " + + " 51 AT89S51 In-System-Programming ISP 10 io 244 CPLD ATMEL PIC CPLD/FPGA ARM9 ISP http://wwwispdowncom/showoneproductasp?productid=15 51 C C C C C ispdown http://wwwispdowncom

More information

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC MODEL 61509/61508/61507/ 61609/61608/61607 PROGRAMMABLE AC POWER SOURCE MODEL 61509/61508/61507/ 61609/61608/61607 61509/61609: 6kVA 61508/61608: 4.5kVA 61507/61607: 3kVA : 0-175V/0-350V/Auto : DC, 15Hz-2kHz

More information

PIC16F F MPLAB 08 16F LED 15 LED

PIC16F F MPLAB 08 16F LED 15 LED PIC16F877 PIC16F877 03 16F877 05 06 MPLAB 08 16F877 13 LED 15 LED 17 20 24 2 PIC16F877 PIC16F877 DIP VDD VSS CLOCK CPU :,AND,OR,XOR ROM: CPU ROM RAM: CPU,CPU I/O:CPU, CPU,, 16F877 RAM 512 128 Bank Bank

More information

2371 O O ............................. 2............................. 3.................................... 4.................................... 8.................................... 10....................................

More information

CC213

CC213 : (Ken-Yi Lee), E-mail: feis.tw@gmail.com 9 [P.11] : Dev C++ [P.12] : http://c.feis.tw [P.13] [P.14] [P.15] [P.17] [P.23] Dev C++ [P.24] [P.27] [P.34] C / C++ [P.35] 10 C / C++ C C++ C C++ C++ C ( ) C++

More information

Microsoft PowerPoint - Lecture7II.ppt

Microsoft PowerPoint - Lecture7II.ppt Lecture 8II SUDOKU PUZZLE SUDOKU New Play Check 軟體實作與計算實驗 1 4x4 Sudoku row column 3 2 } 4 } block 1 4 軟體實作與計算實驗 2 Sudoku Puzzle Numbers in the puzzle belong {1,2,3,4} Constraints Each column must contain

More information

Microsoft Word - 第二次香港培?班新?稿_reduced_

Microsoft Word - 第二次香港培?班新?稿_reduced_ 香 港 委 员 会 成 功 培 养 首 100 位 GBL Manager 2011 年 11 月 6 日, 由 中 国 城 市 科 学 研 究 会 城 科 会 绿 色 建 筑 与 节 能 专 业 委 员 会 城 科 会 绿 色 建 筑 研 究 中 心 和 中 国 绿 色 建 筑 与 节 能 ( 香 港 ) 委 员 会 共 同 举 办 的 第 二 期 绿 色 建 筑 宣 贯 培 训 香 港 特 区

More information

目 录

目 录 1 Quick51...1 1.1 SmartSOPC Quick51...1 1.2 Quick51...1 1.3 Quick51...2 2 Keil C51 Quick51...4 2.1 Keil C51...4 2.2 Keil C51...4 2.3 1 Keil C51...4 2.4 Flash Magic...9 2.5 ISP...9 2.6...10 2.7 Keil C51...12

More information

IC芯片自主创新设计实验

IC芯片自主创新设计实验 IC 芯片自主创新设计实验 设计报告 设计题目 : 格雷码计数器芯片设计 设计学生 : 吴东生 ( 集成电路 ) 景国新 ( 固体电子 ) 林道明 ( 集成电路 ) 连维重 ( 集成电路 ) 施望 ( 集成电路 ) 刘锦秀 ( 集成电路 ) 刘中伟 ( 集成电路 ) 李梦宁 ( 集成电路 ) 指导教师 : 阮爱武 杜涛 指导单位 : 电子设计自动化技术 课程组 一 格雷码计数器芯片设计概述 功能描述

More information

enews174_2

enews174_2 103 CMOS Seal-Ring 104 e-learning 104 104 / http://www.cic.org.tw/login/login.jsp CIC Introduction to Conversational French - Syllabus Summer 2004 1 4 21 CMOS MorSensor MorFPGA DUO 2 MorSensor 3 103 (

More information

Spyder Anaconda Spyder Python Spyder Python Spyder Spyder Spyder 開始 \ 所有程式 \ Anaconda3 (64-bit) \ Spyder Spyder IPython Python IPython Sp

Spyder Anaconda Spyder Python Spyder Python Spyder Spyder Spyder 開始 \ 所有程式 \ Anaconda3 (64-bit) \ Spyder Spyder IPython Python IPython Sp 01 1.6 Spyder Anaconda Spyder Python Spyder Python Spyder Spyder 1.6.1 Spyder 開始 \ 所有程式 \ Anaconda3 (64-bit) \ Spyder Spyder IPython Python IPython Spyder Python File

More information

1 1

1 1 1 1 2 Idea Architecture Design IC Fabrication Wafer (hundreds of dies) Sawing & Packaging Block diagram Final chips Circuit & Layout Design Testing Layout Bad chips Good chips customers 3 2 4 IC Fabless

More information

68369 (ppp quickstart guide)

68369 (ppp quickstart guide) Printed in USA 04/02 P/N 68369 rev. B PresencePLUS Pro PC PresencePLUS Pro PresencePLUS Pro CD Pass/Fails page 2 1 1. C-PPCAM 2. PPC.. PPCAMPPCTL 3. DB9D.. STPX.. STP.. 01 Trigger Ready Power 02 03 TRIGGER

More information

EK-STM32F

EK-STM32F STMEVKIT-STM32F10xx8 软 件 开 发 入 门 指 南 目 录 1 EWARM 安 装... 1 1.1 第 一 步 : 在 线 注 册... 1 1.2 第 二 步 : 下 载 软 件... 2 1.3 第 三 步 : 安 装 EWARM... 3 2 基 于 STMEVKIT-STM32F10xx8 的 示 例 代 码 运 行... 6 2.1 GPIO Demo... 6 2.2

More information

保母人員丙級應檢資料第二部份 doc

保母人員丙級應檢資料第二部份 doc 15400903018 9 09 15 95 01 10 95 11 16 ...-3...4-9... 10...11-1...13-16...17-54... 55...56-64 1 5 3 154-90301154-9030 1 1 3 1 4 60 1 180 L 5 1 6 1 7 1 8 1 9 90 70 1 10 1 11 1 1 1 13 1 14 1 15 1 16 1 17

More information

第 15 章 程 式 編 写 語 言 15.1 程 式 編 写 語 言 的 角 色 程 式 編 寫 語 言 是 程 式 編 寫 員 與 電 腦 溝 通 的 界 面 語 法 是 一 組 規 則 讓 程 式 編 寫 員 將 字 詞 集 合 起 來 電 腦 是 處 理 位 元 和 字 節 的 機 器, 與

第 15 章 程 式 編 写 語 言 15.1 程 式 編 写 語 言 的 角 色 程 式 編 寫 語 言 是 程 式 編 寫 員 與 電 腦 溝 通 的 界 面 語 法 是 一 組 規 則 讓 程 式 編 寫 員 將 字 詞 集 合 起 來 電 腦 是 處 理 位 元 和 字 節 的 機 器, 與 程 式 編 写 語 言 在 完 成 這 章 後, 你 將 能 夠 了 解 程 式 編 写 語 言 的 功 能 了 解 高 階 語 言 和 低 階 語 言 之 間 的 分 別 知 道 翻 譯 程 式 的 意 義 和 能 夠 把 翻 譯 程 式 分 類 為 : 匯 編 程 式 編 譯 程 式 和 解 譯 程 式 認 識 不 同 翻 譯 程 式 的 優 點 和 缺 點 程 式 是 指 揮 電 腦 的 指

More information

CDMA扫频仪测试说明

CDMA扫频仪测试说明 PCTEL CDMA 扫 频 仪 测 试 指 导 书 珠 海 世 纪 鼎 利 通 信 科 技 股 份 有 限 公 司 Copyright Dingli Commnunications Inc.,All rights reserved 版 权 所 有, 侵 权 必 究 1 目 录 一 PCTEL CDMA 扫 频 仪 介 绍... 3 二 测 试 前 的 准 备 工 作 ( 扫 频 仪 硬 件 连 接

More information

BC04 Module_antenna__ doc

BC04 Module_antenna__ doc http://www.infobluetooth.com TEL:+86-23-68798999 Fax: +86-23-68889515 Page 1 of 10 http://www.infobluetooth.com TEL:+86-23-68798999 Fax: +86-23-68889515 Page 2 of 10 http://www.infobluetooth.com TEL:+86-23-68798999

More information

!""# $!""%!"&" #

!# $!%!& # !""# $!""%!"&" # !""# +!""(!""#!""$!""$ %"" &!""$ &( ) %# )"# )!!""#!""$!""#!""$ )*"!! !"#" $ % !" #$$%! #" #$$%& " #$$(!% %" #$$(#! (" #$$)#& )" #$$) # *" #$$)%$ &" #$$)%% +" #$$)%&!$" #$$)(#!" #$$%(&

More information

Bus Hound 5

Bus Hound 5 Bus Hound 5.0 ( 1.0) 21IC 2007 7 BusHound perisoft PC hound Bus Hound 6.0 5.0 5.0 Bus Hound, IDE SCSI USB 1394 DVD Windows9X,WindowsMe,NT4.0,2000,2003,XP XP IRP Html ZIP SCSI sense USB Bus Hound 1 Bus

More information

2 2 3 DLight CPU I/O DLight Oracle Solaris (DTrace) C/C++ Solaris DLight DTrace DLight DLight DLight C C++ Fortran CPU I/O DLight AM

2 2 3 DLight CPU I/O DLight Oracle Solaris (DTrace) C/C++ Solaris DLight DTrace DLight DLight DLight C C++ Fortran CPU I/O DLight AM Oracle Solaris Studio 12.2 DLight 2010 9 2 2 3 DLight 3 3 6 13 CPU 16 18 21 I/O DLight Oracle Solaris (DTrace) C/C++ Solaris DLight DTrace DLight DLight DLight C C++ Fortran CPU I/O DLight AMP Apache MySQL

More information

Microsoft Word - Book9

Microsoft Word - Book9 葬 書 ( 下 ) 佈 陣 十 方 成 立 指 揮 中 心 層 巒 疊 障 千 山 翠 微, 紓 回 連 綿 的 重 山 復 重 山, 侍 朝 衛 迎, 前 後 有 序, 巋 巘 隱 逸 著 一 片 風 水 寶 地, 牛 臥 馬 馳, 鸞 飛 鳳 舞, 滕 蛇 委 蛇, 縈 藟 纏 繞 在 葺 襲 的 斷 續 峰 巒 之 間! 離 正 午 十 二 時 整 還 有 半 個 鐘 頭, 接 近 天 頂 的

More information

标题,黑体18号

标题,黑体18号 从 商 业 用 地 供 应 看 各 城 市 商 业 地 产 市 场 泡 沫 VIEW 近 几 年, 房 地 产 商 涉 足 商 业 地 产 领 域 的 现 象 越 来 越 普 遍, 包 括 万 科 龙 湖 招 商 等 典 型 房 企 先 后 专 门 设 立 了 商 业 地 产 管 理 部 门, 并 逐 步 加 大 了 对 商 业 地 产 的 投 入 比 例 放 眼 全 国 重 点 城 市, 短 短

More information

A Preliminary Implementation of Linux Kernel Virus and Process Hiding

A Preliminary Implementation of Linux Kernel Virus and Process Hiding 邵 俊 儒 翁 健 吉 妍 年 月 日 学 号 学 号 学 号 摘 要 结 合 课 堂 知 识 我 们 设 计 了 一 个 内 核 病 毒 该 病 毒 同 时 具 有 木 马 的 自 动 性 的 隐 蔽 性 和 蠕 虫 的 感 染 能 力 该 病 毒 获 得 权 限 后 会 自 动 将 自 身 加 入 内 核 模 块 中 劫 持 的 系 统 调 用 并 通 过 简 单 的 方 法 实 现 自 身 的

More information

ebook140-9

ebook140-9 9 VPN VPN Novell BorderManager Windows NT PPTP V P N L A V P N V N P I n t e r n e t V P N 9.1 V P N Windows 98 Windows PPTP VPN Novell BorderManager T M I P s e c Wi n d o w s I n t e r n e t I S P I

More information

您 對 本 產 品 的 選 擇 充 分 顯 示 了 您 對 音 響 設 備 的 精 通, 我 們 十 分 感 謝 您 的 惠 顧, 並 為 本 公 司 提 供 優 質 產 品 一 貫 傳 統 而 感 到 無 比 的 自 豪 為 使 您 的 裝 置 與 使 用 能 得 到 最 好 的 發 揮, 我 們

您 對 本 產 品 的 選 擇 充 分 顯 示 了 您 對 音 響 設 備 的 精 通, 我 們 十 分 感 謝 您 的 惠 顧, 並 為 本 公 司 提 供 優 質 產 品 一 貫 傳 統 而 感 到 無 比 的 自 豪 為 使 您 的 裝 置 與 使 用 能 得 到 最 好 的 發 揮, 我 們 NT-503 USB DAC/Network Player 關 於 此 機 器 的 網 路 功 能, 請 看 網 路 說 明 書 使 用 者 說 明 書 與 網 路 說 明 書 可 以 從 TEAC Global Site (http://www.teac-global.com/) 下 載 USB D/A 轉 換 器 / 網 路 播 放 機 使 用 說 明 書 欲 播 放 USB 快 閃 記 憶 體

More information

民國八十九年台灣地區在校學生性知識、態度與行為研究調查

民國八十九年台灣地區在校學生性知識、態度與行為研究調查 84 年 台 灣 地 區 在 校 學 生 性 知 識 態 度 與 行 為 研 究 調 查 過 錄 編 碼 簿 題 號 變 項 名 稱 變 項 說 明 選 項 數 值 說 明 備 註 i_no 學 生 編 號 問 卷 流 水 號 location 學 校 所 在 縣 市 編 號 1 台 北 市 2 基 隆 市 3 台 中 市 4 台 南 市 5 高 雄 市 6 新 竹 市 7 嘉 義 市 21 宜 蘭

More information

Oracle Solaris Studio makefile C C++ Fortran IDE Solaris Linux C/C++/Fortran IDE "Project Properties" IDE makefile 1.

Oracle Solaris Studio makefile C C++ Fortran IDE Solaris Linux C/C++/Fortran IDE Project Properties IDE makefile 1. Oracle Solaris Studio 12.2 IDE 2010 9 2 8 9 10 11 13 20 26 28 30 32 33 Oracle Solaris Studio makefile C C++ Fortran IDE Solaris Linux C/C++/Fortran IDE "Project Properties" IDE makefile 1. "File" > "New

More information

Microsoft Word - 鄂卫办函[2009]64号.doc

Microsoft Word - 鄂卫办函[2009]64号.doc 湖 北 省 卫 生 厅 鄂 卫 办 函 2009 64 号 省 卫 生 厅 关 于 建 立 湖 北 省 职 业 卫 生 技 术 评 审 专 家 库 的 通 知 各 市 州 直 管 市 林 区 卫 生 局, 部 省 属 医 疗 卫 生 单 位, 各 有 关 大 专 院 校, 有 关 科 研 企 事 业 单 位 : 为 加 强 我 省 职 业 病 防 治 技 术 队 伍 建 设, 推 进 全 省 职 业

More information

全宋词1

全宋词1 ( ) ...1...1...1...2...2...2...3...4...4...4...4...5...5...5...6...6...7...7...7...8...8...9...9 1 ... 10... 10... 11... 11... 11... 11... 12... 13... 13... 14... 14... 14... 14... 15... 16... 16... 16...

More information

& ((& ) ((

& ((& ) (( & ( ) * * & + & ((& ) (( 1 * & /1 * & ( 1 0 ; * & ) 0 ) & * * +, & - / & & ) * (1 ( & 2 & 3 * +1 * &,1 * +1,1 & 1 & /1 ) 1 0 & 0 + 0, 0 / +1 & 0 & 2 *,1 0 & & 2 * 0 * ) ) 0 ) * 1 0 & ) 2 * /1 & 0 ) 2 *

More information

TPM BIOS Infineon TPM Smart TPM Infineon TPM Smart TPM TPM Smart TPM TPM Advanced Mode...8

TPM BIOS Infineon TPM Smart TPM Infineon TPM Smart TPM TPM Smart TPM TPM Advanced Mode...8 Smart TPM Rev. 1001 Smart TPM Ultra TPM Smart TPM TPM...3 1. BIOS... 3 2. Infineon TPM Smart TPM... 4 2.1. Infineon TPM...4 2.2. Smart TPM...4 3. TPM... 5 3.1. Smart TPM TPM...5 3.2. Advanced Mode...8

More information

从 化 仙 娘 溪 & 乐 明 村 民 小 组 与 汤 物 臣 共 同 打 造 新 龙 围 空 间 新 龙 围 初 衷 是 打 造 成 一 个 集 村 民 聚 会 文 化 展 览 产 品 展 示 培 训 会 议 等 多 功 能 的 村 民 活 动 中 心, 在 2015 年 7 月 15 日 开 张

从 化 仙 娘 溪 & 乐 明 村 民 小 组 与 汤 物 臣 共 同 打 造 新 龙 围 空 间 新 龙 围 初 衷 是 打 造 成 一 个 集 村 民 聚 会 文 化 展 览 产 品 展 示 培 训 会 议 等 多 功 能 的 村 民 活 动 中 心, 在 2015 年 7 月 15 日 开 张 绿 耕 简 报 二 〇 一 五 年 八 月 第 一 期 广 东 绿 耕 社 会 工 作 发 展 中 心 城 乡 合 作 公 平 贸 易 共 创 生 态 文 明 与 可 持 续 生 活 新 龙 围 重 生 之 旅, 仍 在 继 续 从 化 连 南 四 川 云 南 沙 东 培 训 中 山 大 学 - 香 港 理 工 大 学 从 化 仙 娘 溪 & 乐 明 村 民 小 组 与 汤 物 臣 共 同 打 造

More information

PowerPoint Presentation

PowerPoint Presentation TOEFL Practice Online User Guide Revised September 2009 In This Guide General Tips for Using TOEFL Practice Online Directions for New Users Directions for Returning Users 2 General Tips To use TOEFL Practice

More information

Microsoft Word - 3D手册2.doc

Microsoft Word - 3D手册2.doc 第 一 章 BLOCK 前 处 理 本 章 纲 要 : 1. BLOCK 前 处 理 1.1. 创 建 新 作 业 1.2. 设 定 模 拟 控 制 参 数 1.3. 输 入 对 象 数 据 1.4. 视 图 操 作 1.5. 选 择 点 1.6. 其 他 显 示 窗 口 图 标 钮 1.7. 保 存 作 业 1.8. 退 出 DEFORMTM3D 1 1. BLOCK 前 处 理 1.1. 创 建

More information

第一章

第一章 1 2 3 4 5 6 7 8 9 10 11 12 13 14 1500 1450 1400 1350 1300 1250 1200 15 16 17 18 19 20 21 22 23 24 25 26 27 28 INPUT2006 29 30 31 32 33 34 35 9000 8500 8000 7500 7000 6500 6000 5500 5000 4500 4000 3500

More information

科学计算的语言-FORTRAN95

科学计算的语言-FORTRAN95 科 学 计 算 的 语 言 -FORTRAN95 目 录 第 一 篇 闲 话 第 1 章 目 的 是 计 算 第 2 章 FORTRAN95 如 何 描 述 计 算 第 3 章 FORTRAN 的 编 译 系 统 第 二 篇 计 算 的 叙 述 第 4 章 FORTRAN95 语 言 的 形 貌 第 5 章 准 备 数 据 第 6 章 构 造 数 据 第 7 章 声 明 数 据 第 8 章 构 造

More information

ebook140-8

ebook140-8 8 Microsoft VPN Windows NT 4 V P N Windows 98 Client 7 Vintage Air V P N 7 Wi n d o w s NT V P N 7 VPN ( ) 7 Novell NetWare VPN 8.1 PPTP NT4 VPN Q 154091 M i c r o s o f t Windows NT RAS [ ] Windows NT4

More information

untitled

untitled 立 法 會 CB(2)2292/04-05(01) 號 文 件 ( 立 法 會 秘 書 處 撮 譯 本, 只 供 參 考 用 ) ( 香 港 律 師 會 用 箋 ) 民 政 事 務 局 許 鄔 芸 芸 女 士 : 閣 下 2005 年 7 月 5 日 來 函 收 悉 2005 年 收 入 ( 取 消 遺 產 稅 ) 條 例 草 案 律 師 會 遺 產 事 務 委 員 會 研 究 了 政 府 當 局

More information

《美国名将全传——德怀特·戴维·艾森豪威尔》

《美国名将全传——德怀特·戴维·艾森豪威尔》 !! " !! "#$%& ( #)*%+,%-./ 0$.1 "2345625627824946:6;3 " " < = > " " " = = " "!! "; "247; =? 2477 9 @ A "B C=B C=B C=B C A " D @ A (.(! "#$% "% "& ( ( ) ) * + )! ) ) )",-. ) ) ) ) ) ) "### ) "% ) ( ( )

More information

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual Subject LD5515 Demo Board Model Name (5V/1.5A) Key Features Built-In Pump Express TM Operation Flyback topology with PSR Control Constant Voltage Constant Current High Efficiency with QR Operation (Meet

More information

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如 FPGA 工 程 师 面 试 试 题 一 1 同 步 电 路 和 异 步 电 路 的 区 别 是 什 么?( 仕 兰 微 电 子 ) 2 什 么 是 同 步 逻 辑 和 异 步 逻 辑?( 汉 王 笔 试 ) 同 步 逻 辑 是 时 钟 之 间 有 固 定 的 因 果 关 系 异 步 逻 辑 是 各 时 钟 之 间 没 有 固 定 的 因 果 关 系 3 什 么 是 " 线 与 " 逻 辑, 要 实

More information

untitled

untitled 2006 6 Geoframe Geoframe 4.0.3 Geoframe 1.2 1 Project Manager Project Management Create a new project Create a new project ( ) OK storage setting OK (Create charisma project extension) NO OK 2 Edit project

More information

Microsoft Word - 三峽鎮衛生所_3_-張家宸.李永繁.doc

Microsoft Word - 三峽鎮衛生所_3_-張家宸.李永繁.doc 暑 期 社 區 醫 學 見 習 報 告 見 習 單 位 : 台 北 縣 三 峽 鎮 衛 生 所 見 習 日 期 :8/22~8/26 見 習 組 員 姓 名 ( 學 號 ):491940011 張 家 宸 491940499 李 永 繁 一 前 言 : 衛 生 所 是 推 行 公 共 衛 生 的 第 一 線, 也 是 最 基 層 的 醫 療 照 護 機 構, 尤 其 是 在 一 些 偏 遠 的 地

More information

Microsoft Word - 武漢大學交流營心得_黃莉云_.doc

Microsoft Word - 武漢大學交流營心得_黃莉云_.doc 武 漢 大 學 交 流 營 心 得 貿 三 丙 黃 莉 云 2010.7.11 懷 著 期 待 又 有 點 害 怕 的 心 情 踏 上 往 大 陸 的 旅 程, 這 是 我 人 生 頭 一 次 到 大 陸 去, 因 此 對 於 即 將 遇 上 的 事 物 和 人, 都 抱 著 非 常 好 奇 和 冒 險 的 心 態, 加 上 說 明 會 得 知 我 們 是 要 自 己 搭 飛 機 過 去 到 武 漢

More information

els0xu_zh_nf_v8.book Page Wednesday, June, 009 9:5 AM ELS-0/0C.8

els0xu_zh_nf_v8.book Page Wednesday, June, 009 9:5 AM ELS-0/0C.8 els0xu_zh_nf_v8.book Page Wednesday, June, 009 9:5 AM ELS-0/0C.8 Yamaha ELS-0/0C..8 LCD ELS-0/0C v. typeu LCD ELS-0/0C typeu / -6 / [SEARCH] / - ZH ELS-0/0C.8 els0xu_zh_nf_v8.book Page Wednesday, June,

More information

Microsoft Word - ch8 七段顯示器實習.doc

Microsoft Word - ch8 七段顯示器實習.doc 0 入門 - 如圖 - 所示七段顯示器, 是以 個 LED 排列組合而成, 由順時針方向依序命名為 及小數點, 因為七段顯示器是由 個 LED 所組成, 所以電氣特性與 LED 完全相同 另外在上 下各有一支 COM 腳, 以方便電路板佈線 COM () 元件 () 正面接腳圖 圖 - 七段顯示器 如圖 - 所示為七段顯示器的內部結構, 可分成兩種 : 一為共陽極 (ommon no, 簡記 CA)

More information

K7VT2_QIG_v3

K7VT2_QIG_v3 ............ 1 2 3 4 5 [R] : Enter Raid setup utility 6 Press[A]keytocreateRAID RAID Type: JBOD RAID 0 RAID 1: 2 7 RAID 0 Auto Create Manual Create: 2 RAID 0 Block Size: 16K 32K

More information

信息

信息 信 息 与 算 机 工 院 算 机 科 与 技 术 人 才 培 养 方 案 ( 代 码 :080901) 一 培 养 目 标 培 养 适 应 国 家 建 设 发 展 需 要, 德 智 体 全 面 发 展, 具 有 良 好 的 科 素 养 和 丰 厚 的 人 文 底 蕴, 掌 握 扎 的 算 机 科 核 心 基 础 理 论 知 识 和 主 流 工 技 术, 了 解 本 的 前 沿 发 展 现 状 和

More information

麻 省 理 工 學 院 是 在 西 元 2013 年 12 月 3 日 推 出 MIT App Inventor 2 網 站, 提 供 免 費 的 雲 端 服 務, 使 用 者 可 以 透 過 瀏 覽 器 來 開 發 Android 裝 置 應 用 程 式, 該 網 站 的 網 址 為 : http

麻 省 理 工 學 院 是 在 西 元 2013 年 12 月 3 日 推 出 MIT App Inventor 2 網 站, 提 供 免 費 的 雲 端 服 務, 使 用 者 可 以 透 過 瀏 覽 器 來 開 發 Android 裝 置 應 用 程 式, 該 網 站 的 網 址 為 : http 資 訊 學 科 中 心 6 月 份 電 子 報 用 MIT App Inventor2 程 式 拼 圖 來 開 發 Android 裝 置 應 用 程 式 李 啟 龍 學 習 Android 裝 置 程 式 設 計, 可 以 不 必 學 習 較 為 艱 澀 的 Java 語 法, 只 要 使 用 拼 圖 模 式 來 組 合 程 式, 就 可 以 完 成 Android 裝 置 的 應 用 程 式 MIT

More information

Abstract / / B-ISDN ATM Crossbar Batcher banyan N DPA Modelsim Verilog Synopsys Design Analyzer Modelsim FPGA ISE FPGA ATM ii

Abstract / / B-ISDN ATM Crossbar Batcher banyan N DPA Modelsim Verilog Synopsys Design Analyzer Modelsim FPGA ISE FPGA ATM ii 10384 200024024 UDC 2003 5 2003 6 2003 2003 5 i Abstract / / B-ISDN ATM Crossbar Batcher banyan N DPA Modelsim Verilog Synopsys Design Analyzer Modelsim FPGA ISE FPGA ATM ii System On-Chip Design and Performance

More information

逢甲大學

逢甲大學 逢 甲 大 學 資 訊 工 程 學 系 專 題 研 究 報 告 Altera DE2-70 搭 配 LTM 實 作 遊 戲 - 小 蜜 蜂 指 導 教 授 : 陳 德 生 學 生 : 林 桂 廷 ( 資 訊 四 丙 ) 張 育 祥 ( 資 訊 四 丙 ) 中 華 民 國 壹 百 年 十 一 月 摘 要 本 專 題 是 利 用 Altera DE2-70 開 發 板 和 TRDB_LTM 觸 控 面

More information

User’s Manual

User’s Manual SNAP 500 打 印 机 用 户 手 册 1 用 户 手 册 SNAP TM 500 打 印 机 2015 年 8 月 24 日 AVERY DENNISON Manual Edition 2.5 2 SNAP 500 打 印 机 用 户 手 册 WARNING This device complies with Part 15 of the FCC Rules. Operation is subject

More information

z x / +/- < >< >< >< >< > 3 b10x b10x 0~9,a~f,A~F, 0~9,a~f,A~F, x,x,z,z,?,_ x,x,z,z,?,_ h H 0~9,_ 0~9,_ d D 0~7,x,X,z,Z

z x / +/- < >< >< >< >< > 3 b10x b10x 0~9,a~f,A~F, 0~9,a~f,A~F, x,x,z,z,?,_ x,x,z,z,?,_ h H 0~9,_ 0~9,_ d D 0~7,x,X,z,Z Verilog Verilog HDL HDL Verilog Verilog 1. 1. 1.1 1.1 TAB TAB VerilogHDL VerilogHDL C 1.2 1.2 C // // /* /* /* /* SYNOPSY SYNOPSY Design Compiler Design Compiler // //synopsys synopsys /* /*synopsys synopsys

More information

K301Q-D VRT中英文说明书141009

K301Q-D VRT中英文说明书141009 THE INSTALLING INSTRUCTION FOR CONCEALED TANK Important instuction:.. Please confirm the structure and shape before installing the toilet bowl. Meanwhile measure the exact size H between outfall and infall

More information

言1.PDF

言1.PDF MSP430 WINDOWS WORKBENCH MSP430 Flash Green MCU Flash Flash MCU MSP430 16 RISC 27 125ns 1.8V~3.6V A/D 6 s MSP430 10 ESD MSP430 MSP430 10 MSP430 2001 MSP430 Windows Workbench Interface Guide Windows Workbench

More information

Microsoft Word - 澎湖田調報告_璉謙組.doc

Microsoft Word - 澎湖田調報告_璉謙組.doc 越 籍 新 住 民 妊 娠 醫 療 照 護 : 訪 談 李 亞 梅 女 士 組 長 : 郭 璉 謙 成 大 中 文 所 博 二 組 員 : 阮 壽 德 成 大 中 文 所 博 一 黃 榆 惠 成 大 中 文 所 碩 一 許 愷 容 成 大 中 文 所 碩 一 何 珍 儀 成 大 中 文 所 碩 一 指 導 老 師 : 陳 益 源 教 授 前 言 2009 年 03 月 21 日, 下 午 2 時 30

More information

untitled

untitled Verilog HDL Verilog HDL 邏 令 列邏 路 例 練 數 度 (top-down design) 行 (concurrency) 2.1 Verilog HDL (module) 邏 HDL 理 HDL 邏 料 數 邏 邏 路 module module_name (port_list) // 列 //

More information

行业

行业 PCL-818HD/HG/L PCL-818HD/HG/L 1.1...2 1.1.1 /...2 1.1.2 ID...2 1.2...3 1.3...3 2.1...3 2.2...3 2.2.1...4 2.2.2...4 2.2.3 DMA...5 2.2.4...5 2.2.5 D/A...5 2.2.6...6 2.2.7 EXE.trigger GATE0...6 2.2.8 FIFO

More information

untitled

untitled http://www.embedded-soc.com/ J-LINK J-Link Rev2.1 http://www.embedded-soc.com/ 2007-11-11 http://www.embedded-soc.com/ J-LINK J-Link ARM JTAG J-LINK J-LINKJLINK J-FLASH ARM F.A.Q jlink GDBserver J-Flash

More information

untitled

untitled 2016 160 8 14 8:00 14:00 1 http://zj.sceea.cn www.sceea.cn APP 1 190 180 2 2 6 6 8 15 2016 2016 8 13 3 2016 2016 2016 0382 2 06 1 3300 14 1 3300 0451 5 01 2 7500 02 2 7500 05 ( ) 1 7500 1156 4 15 2 15000

More information

目次 

目次  軟 體 工 程 期 末 報 告 網 路 麻 將 91703014 資 科 三 黃 偉 嘉 91703024 資 科 三 丘 祐 瑋 91703030 資 科 三 江 致 廣 1 目 次 壹 前 言 (Preface) P.4 貳 計 畫 簡 述 及 預 期 效 益 (Project Description and Expected Results) P.4 參 系 統 開 發 需 求 (System

More information

热设计网

热设计网 例 例 Agenda Popular Simulation software in PC industry * CFD software -- Flotherm * Advantage of Flotherm Flotherm apply to Cooler design * How to build up the model * Optimal parameter in cooler design

More information

r_09hr_practical_guide_kor.pdf

r_09hr_practical_guide_kor.pdf PRACTICAL GUIDE TO THE EDIROL R-09HR 3 4 PRACTICAL GUIDE TO THE EDIROL R-09HR 5 Situation 1 6 1 2 3 PRACTICAL GUIDE TO THE EDIROL R-09HR WAV MP3 WAV 24 bit/96 khz WAV 16 bit/44.1 khz MP3 128 kbps/44.1

More information

ebook111-4

ebook111-4 Flash 4 Flash 4 F l a s h 5 Flash 4 Flash Flash 4 Flash 4 Flash 4 4.1 Flash 4 Flash 4 Flash 4 Flash Flash 4 Flash 4 4.2 Flash 4 Flash 4 A Flash 4 S h i f t F i l e P r e f e r e n c e s > > Flash 4 Flash

More information

Embargoed until May 4, 2004 EXPRESS 40 NI HQ 3000 1000 5000 ~ 500 10% / 500 85% NI LabVIEW 7 Express Express EXPRESS : #1 GPS Navigation PC/WWW/Email CD+RW Mobile Phone PDA DVD+RW Satellite Car Alarm/Radio

More information

Guide to Install SATA Hard Disks

Guide to Install SATA Hard Disks SATA RAID 1. SATA. 2 1.1 SATA. 2 1.2 SATA 2 2. RAID (RAID 0 / RAID 1 / JBOD).. 4 2.1 RAID. 4 2.2 RAID 5 2.3 RAID 0 6 2.4 RAID 1.. 10 2.5 JBOD.. 16 3. Windows 2000 / Windows XP 20 1. SATA 1.1 SATA Serial

More information

Microsoft Word - template.doc

Microsoft Word - template.doc HGC efax Service User Guide I. Getting Started Page 1 II. Fax Forward Page 2 4 III. Web Viewing Page 5 7 IV. General Management Page 8 12 V. Help Desk Page 13 VI. Logout Page 13 Page 0 I. Getting Started

More information

今天 2011年春季号 总 92 期

今天   2011年春季号 总 92 期 今 天 2011 年 春 季 号 总 92 期 目 录 业 余 诗 人 专 辑 这 些 业 余 诗 人 赵 野 海 波 的 诗 ( 七 首 ) 凄 凉 犯 简 史 海 波 吉 木 狼 格 的 诗 ( 六 首 ) 我 的 诗 歌 吉 木 朗 格 李 亚 伟 的 诗 ( 十 三 首 ) 口 语 和 八 十 年 代 李 亚 伟 默 默 的 诗 ( 十 三 首 ) 我 们 就 是 海 市 蜃 楼 一 个 人

More information

*

* (1982.2 1987.12) 1982 2 20 6 23 6 4 7 14 20 7 28 [1982]148 670 20 9 10 12 10037 1581 126 ( 1 ) 1983 1 17 2 4 2 25 83 20 3 21 4 70 9 11 4 3 11 21 [1983]127 12 1984 8 4 20 3 5 5 7 12 29 12 1985 1 14 1 4

More information

(2000 7 24 ) / / / / / / /

(2000 7 24 ) / / / / / / / (2000 7 24 ) / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / / 19 (2000 7 24 ) (2000 7 24 ) ! 250 348 ! ! 0 1 (2000 7 25 ) 1952 1959 1926-1927 1988

More information