版本控制页 版本号发布日期更改章节更改说明备注 V

Size: px
Start display at page:

Download "版本控制页 版本号发布日期更改章节更改说明备注 V"

Transcription

1 Ver 1.0 千万门级宇航用 FPGA 产品手册 产品型号 :BQR5VSX95T

2 版本控制页 版本号发布日期更改章节更改说明备注 V

3 目录 1 产品特性 产品概述 BQR5V 系列模块描述 输入 / 输出模块 (SelectIO) 可配置逻辑块 (CLB) Block RAM 全局时钟 DSP48E Slice 布线资源 边界扫描 配置 三态 (10/100/1000 Mb/s) 以太网 MAC PCI Express 集成端点模块 RocketIO GTP 收发器 系统监视器 配置 串行配置接口 SelectMAP 并行配置接口 SPI 配置接口 字节外设接口并行 Flash 模式 封装 应用注意事项 电装注意事项 产品防护 研制生产单位联系方式... 51

4 1 产品特性 SXT 平台, 与 Xilinx 的 Virtex 5 系列 XQ5VSX95T 全兼容 BQR5V SXT: 具有高级串行连接功能的高性能信号处理应用 跨平台兼容性 SXT 器件使用可调稳压器, 同样封装中引脚兼容 最先进的最佳利用率高性能 FPGA 架构 真 6 输入查找表 (LUT) 技术 双 5-LUT 选项 改进的布线减少了中间连线 64 位分布式 RAM 选项 SRL32/ 双 SRL16 选项 强大的时钟管理模块 (CMT) 时钟控制 具有零延迟缓冲 频率综合和时钟相移功能的数字时钟管理器模块 具有输入抖动滤波 零延迟缓冲 频率综合和相位匹配时钟分频功能的 PLL 模块 36 Kb Block RAM/FIFO 真双端口 RAM 模块 增强的可选可编程 FIFO 逻辑 可编程 高达 36 倍的真双端口宽度 高达 72 倍的简单双端口宽度 内置可选纠错电路 可选择将每个模块作为两个独立的 18 Kb 模块进行编程 高性能并行 SelectIO 技术 1.2 到 3.3V I/O 运行 使用 ChipSync 技术的源同步接口连接 数控阻抗 (DCI) 有效终端 灵活的细粒度 I/O 分组 支持高速存储器接口 高级 DSP48E Slice 25 x 18 补码乘法运算 可选加法器 减法器和累加器 可选流水线功能 可选按位逻辑功能 专用的级联连接 灵活的配置选项 SPI 和并行 FLASH 接口 专用的回读重新配置逻辑, 可支持多比特流 自动总线宽度检测功能 所有器件都有系统监视功能 片上 / 片外热特性监视 片上 / 片外电源监视 通过 JTAG 端口访问所有监视量 PCI Express 集成端点模块 SXT 平台 符合 PCI Express 基本规范 1.1 每模块支持 1 倍 4 倍或 8 倍通道宽度 与 RocketIO 收发器配合使用 三态 10/100/1000 Mb/s 以太网 MAC SXT 平台 可以将 RocketIO 收发器用作 PHY, 也可以用多种软 MII( 媒体独立接口 ) 方案将其连接到外部 PHY 100 Mb/s 到 3.75 Gb/s 的 RocketIO GTP 收发器 SXT 平台 65 nm 铜 CMOS 工艺技术 1.0V 内核电压 可靠性指标 工作温度 :-55 ~ +125 抗静电能力 ( 人体模型 ):2000V 总剂量 : 不小于 150Krad(si) 单粒子闩锁阈值 (LET ): 90 MeV cm2/mg:

5 2 产品概述 BQR5V 系列 FPGA 采用第二代 ASMBL ( 高级硅片组合模块 ) 列式架构, 比此前任何 FPGA 系列提供的选择范围都大 除了先进的高性能逻辑架构,BQR5V 系列 FPGA 还包含多种硬 IP 系统级模块, 包括强大的 36 Kb Block RAM/FIFO 第二代 25 x 18 DSP Slice 带有内置数控阻抗的 SelectIO 技术 ChipSync 源同步接口模块 系统监视器功能 带有集成 DCM ( 数字时钟管理器 ) 和锁相环 (PLL) 时钟发生器的增强型时钟管理模块以及高级配置选项 其他基于平台的功能包括针对增强型串行连接的电源优化高速串行收发器模块 兼容 PCI Express 的集成端点模块和三态以太网 MAC( 媒体访问控制器 ) 模块 这些功能使高级逻辑设计人员能够在其基于 FPGA 的系统中体现更高档次的性能和功能 BQR5V 系列 FPGA 以先进的 65 nm 工艺技术为基础, 是自定义 ASIC 技术的可编程替代方案, 为大多数高级系统设计提供可编程能力 器件具体规模如表 2-1 所示 表 2-1 BQR5V 系列 FPGA 器件规模 器件 兼容型号 (Xilinx) 等效系 统门 Slice 数 BRAM 容 量 CMT PCI Express MAC GTP 最大用 户 IO 封装 BQR5VSX95T XQ5VSX95T 9.5M Kb CCGA BQR5V 系列模块描述 3.1 输入 / 输出模块 (SelectIO) IOB 可编程, 并可分类如下 : 可编程单端或差分 (LVDS) 运行 具有可选单倍数据速率 (SDR) 或双倍数据速率 (DDR) 寄存器的输入模块 具有可选 SDR 或 DDR 寄存器的输出模块 双向模块 逐比特去歪斜电路 专用 I/O 和区域时钟资源 内置数据串行器 / 解串器

6 IOB 寄存器为边沿触发 D 型触发器或电平敏感锁存器 IOB 支持以下单端标准 : LVTTL LVCMOS (3.3V 2.5V 1.8V 1.5V 和 1.2V) PCI (33 和 66 MHz) PCI-X GTL 和 GTLP HSTL 1.5V 和 1.8V (I II III 和 IV 级 ) HSTL 1.2V ( 一级 ) SSTL 1.8V 和 2.5V(I 和 II 级 ) 数控阻抗 (DCI) I/O 功能可配置成为每个单端 I/O 标准和某些差分 I/O 标准提供片上终端 IOB 元件还支持以下差分信令 I/O 标准 : LVDS 和扩展 LVDS( 仅 2.5V) BLVDS( 总线 LVDS) ULVDS Hypertransport 差分 HSTL 1.5V 和 1.8V (I 和 II 级 ) 差分 SSTL 1.8V 和 2.5V (I 和 II 级 ) RSDS(2.5V 点对点 ) 每个差分对使用两个相邻的焊盘 两个或四个 IOB 模块连接到一个接入布线资源的开关矩阵 逐比特去歪斜电路允许 FPGA 内部的可编程信号延迟 逐比特去歪斜灵活地提供细粒度延迟增量, 以精细地生成一系列信号延迟 这对于在源同步接口中同步信号边沿尤其有用 对于位置选择得当的通用 I/O( 每 Bank 八个 ), 应该为同一局部区域内的 I/O 添加特殊的硬件连接, 从而将其设计成具有 区域 Clock-capable 的 I/O 这些区域时钟输入分布在限定的区域内, 以尽量减轻各 IOB 之间的时钟歪斜 区域 I/O 时钟是对全局时钟资源的补充 为每个 I/O 增加了数据串行器 / 解串器功能, 以支持源同步接口 输入通路包含一个附有时间分频器的串并转换器, 而输出通路则包含一个并串转换器

7 3.2 可配置逻辑块 (CLB) 一个 BQR5V 系列 FPGA 的 CLB 资源由两个 Slice 组成 每个 Slice 包含并等效于 : 四个函数发生器 四个存储元件 算术逻辑门 大型多路复用器 快速进位前瞻链函数发生器可配置为 6 输入 LUT 或双输出 5 输入 LUT 某些 CLB 中的 SLICEM 可配置成作为一个 32 位移位寄存器 ( 或两个 16 位移位寄存器 ) 或 64 位分布式 RAM 运行 另外, 可将四个存储元件配置成边沿触发的 D 型触发器或电平敏感型锁存器 每个 CLB 具有内部快速互连, 并且连接到一个接入通用布线资源的开关矩阵 3.3 Block RAM 36 Kb 真双端口 RAM 模块资源可以编程为从 32K x 1 到 512 x 72 的各种深度和宽度配置 另外, 每个 36 Kb 模块也可配置成作为两个独立的 18 Kb 双端口 RAM 模块运行 每个端口都完全同步并独立, 提供三种 边写边读 模式 Block RAM 可以级联, 以实现大型嵌入式存储模块 此外, 作为选项还提供了后端流水线寄存器 时钟控制电路 内置 FIFO 支持 ECC 和字节写使能功能 3.4 全局时钟 CMT 和全局时钟多路复用器缓冲器为设计高速时钟网络提供了完善的解决方案 每个 CMT 包含两个 DCM 和一个 PLL DCM 和 PLL 可独立使用, 也可广泛级联 最多可使用六个 CMT 模块, 总共可提供 18 个时钟发生器元件 每个 DCM 都提供常用的时钟生成功能 为了生成无歪斜的内部或外部时钟, 可以把每个 DCM 都用于消除时钟分配延迟 DCM 还提供输出时钟的 和 270 相移版本 细粒度相移以数分之一时钟周期的增量提供更高分辨率的相位调整 灵活的频率综合提供等于输入时钟频率分数或整数倍的时钟输出频率 为增强 DCM 功能,BQR5V 系列 FPGA CMT 还包含一个 PLL 该模块提供参考时钟抖动滤

8 波和更多频率综合选项 BQR5V 系列器件具有 32 个全局时钟 MUX 缓冲器 时钟树设计为差分式 差分时钟可帮 助减少抖动和占空比失真 3.5 DSP48E Slice DSP48E Slice 资源包含一个 25 x 18 补码乘法器和一个 48 位加法器 / 减法器 / 累加器 每个 DSP48E Slice 还含有广泛的级联功能, 可有效实现高速 DSP 算法 3.6 布线资源 BQR5V 系列 FPGA 中的所有部件都使用相同的互连方案以及相同的全局布线矩阵接入方 式 另外,CLB 到 CLB 的布线设计成以尽可能少的中间连线提供一整套连接功能 时序模 型是共享的, 可大大提高高速设计性能的可预测性 3.7 边界扫描 边界扫描指令和相关的数据寄存器支持接入和配置 BQR5V 系列 FPGA 的标准方法, 符合 IEEE 标准 和 配置 BQR5V 系列 FPGA 的配置方法是用下列模式之一将比特流载入内部配置存储器 : 从串模式 主串模式 从动 SelectMAP 模式 主动 SelectMAP 模式 边界扫描模式 (IEEE-1532 和 IEEE-1149) SPI 模式 ( 串行外设接口标准 Flash) BPI 上 /BPI 下模式 ( 字节宽度外设接口标准 x8 或 x16 NOR Flash) 另外,BQR5V 系列 FPGA 还支持下列配置选项 : 用于 IP 保护的 256 位 AES 比特流解密 支持冷 / 热启动的多比特流管理 (MBM)

9 并行配置总线宽度自动检测 并行菊花链 配置 CRC 和 ECC 支持, 可实现最强大 最灵活的器件完整性校验 3.9 三态 (10/100/1000 Mb/s) 以太网 MAC BQR5V 系列 FPGA 包含嵌入式以太网 MAC 这些模块具有以下特点 : 符合 IEEE 规范 经过 UNH 一致性测试 使用 SelectIO 技术的 RGMII/GMII 接口, 或者当与 RocketIO 收发器配合使用时的 SGMII 接口 半双工或全双工 支持巨型帧 1000 Base-X PCS/PMA: 当与 RocketIO GTP 收发器配合使用时, 可提供完全 1000 Base-X 片上实现 3.10 PCI Express 集成端点模块 BQR5V 系列 FPGA 最多包含四个集成端点模块 这些模块实现事务层 数据链路层和物理层功能, 在尽量少用 FPGA 逻辑的情况下可提供完整的 PCI Express 端点功能 这些模块具有以下特点 : 符合 PCI Express 基本规范 1.1 与 RocketIO 收发器配合使用可提供完整的端点功能 每模块支持 1 倍 4 倍或 8 倍通道宽度 3.11 RocketIO GTP 收发器 4-24 通道 RocketIO GTP 收发器, 能够以 100 Mb/s 到 3.75 Gb/s 的速度运行 完全时钟和数据恢复功能 支持 8/16 位或 10/20 位数据通路 可选 8B/10B 或基于 FPGA 的编码 / 解码功能 集成 FIFO/ 弹性缓冲器

10 支持通道绑定和时钟校正 嵌入式 32 位 CRC 生成 / 检查 集成的间隔符检测或 A1/A2 检测功能 可编程预加重 (AKA 发射器均衡 ) 可编程发射器输出摆幅 可编程接收器均衡 可编程接收器终端 嵌入式支持 : 带外 (OOB) 信令 : 串行 ATA 信标 电气闲置和 PCI Express 接收器检测 内置式 PRBS 生成器 / 校验器 3.12 系统监视器 FPGA 是高可用性 / 可靠性基础架构的重要构建模块 因此, 有必要更好地监测 FPGA 的 片上物理环境及其在系统内紧邻的周边环境 BQR5V 系列系统监视器首次为 FPGA 及其外部环境提供了更简单的监测 BQR5V 系列的都包含一个系统监视器模块 系统监视器是围绕一个 10 位 200kSPS ADC( 模数转换器 ) 构建的 这个 ADC 用于对若干片上传感器进行数字化, 以提供有关 FPGA 内部物理环境的信息 片上传感器包括一个温度传感器和几个电源传感器 对外部环境的访问是通过若干外部模拟输入通道提供的 这些模拟输入是通用的, 可用于对种类繁多的电压信号类型进行数字化 提供了对单极 双极和真差分输入方案的支持 可以通过 JTAG TAP 全面访问片上传感器和外部通道, 从而可以将 PC 板上现有的 JTAG 基础架构用于开发期间或现场部署后的模拟测试和高级诊断 系统监视器在 FPGA 通电之后和配置之前完全可运行 系统监视器在设计中不需要显式实例化即可获得对其基本功能的访问权 这使得即使在设计周期的最后阶段也可以使用系统监视器 4 配置 BQ5V 系列有六种配置接口, 每种接口对应一种或多种位宽的配置模式 表 4-1 BQ5V 配置模式

11 配置模式 M[2:0] 总线宽度 CCLK 时钟方向 串行主模式 Output SPI 主模式 Output BPI-Up 主模式 010 8, 16 Output BPI-Down 主模式 011 8, 16 Output SelectMAP 主模式 100 8, 16 Output JTAG 模式 Input (TCK) SelectMAP 从模式 110 8, 16, 32 Input 串行从模式 Input 4.1 串行配置接口 串行配置模式下,FPGA 在每个 CCLK 加载一个 bit 的配置数据 : 主串模式下,CCLK 是输出. 从串模式下,,CCLK 是输入. 图 4-1 展示了串行配置的接口, 对应此接口的有四种应用方式 : 主串配置 从串配置 串行菊花链 成组串行配置 图 4-1 BQ5V 的串行配置接口 表 4-2 BQ5V FPGA 串行配置模式引脚 引脚名称类型专用 / 复用功能描述 M[2:0] 输入专用用来设置配置模式的引脚 CCLK 输入和输出 专用配置时钟源 (JTAG 除外 ) D_IN 输入复用配置数据输入, 在 CCLK 上升沿采样

12 DOUT_BUSY 三态输出专用菊花链中对下一级器件的配置数据输出端口 双向, 开漏指示配置是否完成 : DONE 专用或 active 0 = FPGA 配置未完成 1 = FPGA 配置完成在 M[2:0] 被采样之前,INIT_B 可以作为输入, 接收到低电平时可以推迟配置时间 输入或输出, 在 M[2:0] 被采样之后,INIT_B 是一个开漏 低电 INIT_B 专用平有效的输出, 指示 CRC 校验结果 : 开漏 0 = CRC 错误 1 = 没有 CRC 错误在 SEU 探测功能开启之后,INIT_B 可以设置为回读 CRC 出错时是否拉低 PROGRAM_B 输入专用低有效全片异步复位 主串模式 如图 4-2 所示, 主串模式的配置码流从可编程 PROM 进行加载 图 4-2 主串配置模式 从串配置模式从串模式的典型应用场景包括串行菊花链配置或使用外部 MCU 或 CPLD 来配置单个 FPGA 器件 硬件设计与主串配置相似, 但应注意 CCLK 应由外部时钟源提供 串行菊花链在对多个 BQ5V 进行配置时可以采用菊花链的方式 在串行菊花链下, 每个器件通过 D_IN 引脚接收配置数据, 并通过 DOUT 引脚将配置数据传入下一级, 接近配置数据源的器件被称作上游器件, 离数据源远的器件被称作下游器件 在串行菊花链中, 配置时钟通常由工作在主串模式的最上游的器件提供, 其他所有的器件被设置在从串模式 图 4-3 展示了这一配置方式 另一种可替代的方式是在最上游使用 SPI 接口进行配置 SPI 模式下数据依旧会从 DOUT

13 输出 图 4-3 串行菊花链配置第一个器件会在最后一个被配置 CRC 校验只覆盖当前器件, 不会对整个菊花链进行校验 串行菊花链的混合应用 BQ5V 器件可以和 Virtex, Spartan -II, Virtex-E, Spartan-IIE, Virtex-II, Virtex-II Pro, Spartan-3, 以及 Virtex-4 等其他器件组成菊花链 在应用时需要注意 4 点 较老的型号可能无法兼容 BQ5V 输出的较快的配置时钟 BQ5V 应该保持在菊花链的最上游 所有的 Virtex/BMTI 器件具有相似的 BitGen 选项, 所有的器件 BitGen 设置应与 BQ5V 保持一致 从 DOUT 输出的配置码流大小是有上限的, 并且会根据不同的器件而异 具体细节请看表 4-3 表 4-3 不同系列所支持的菊花链输出大小架构 DOUT 输出最大 bit 数 BQ5V, BQ2V, Virtex-5, Virtex-4, Virtex-II Pro, 32 x (2 27 1) = 4,294,967,264 and Virtex-II Devices Spartan-3 Devices 32 x (2 27 1) = 4,294,967,264 BQVR, Virtex, Virtex-E, Spartan-II, and 32 x (2 20 1) = 33,554,216 Spartan-IIE Devices 成组的串行配置使用图 4-4 所示的配置方法, 可以利用串行接口同时对多个器件进行配置 在这种组织形式下, 所有的配置输入引脚都接到了一起 一般会将其中的一个器件设置为主串模式来驱

14 动 CCLK, 其他的器件都设置为从串模式 配置数据可以来自与 PROM 或外部配置控制器 图 4-4 成组的穿行配置方式 4.2 SelectMAP 并行配置接口 图 4-5 所示的 SelectMAP 配置接口提供了 8bit 16bit 和 32bit 三种双向数据传输总线, 可以在配置和回读功能之间复用, 端口的宽度是 FPGA 自动检测的 CCLK 在主并模式下作为输出, 在从并模式下作为输入 SelectMAP 接口有四种配置方法 : 单器件主模式 单器件从模式 多器件 SelectMAP 总线 多器件成组 SelectMAP

15 图 4-5 BQ5V SelectMAP 配置端口 表 4-4 描述了 SelectMAP 的配置接口引脚. 表 4-4 BQ5V SelectMAP 配置接口引脚 引脚名称类型专用 / 复用功能描述 M[2:0] 输入专用用来设置配置模式的引脚 CCLK D[31:0] 输入和输出 三态双向 专用配置时钟源 (JTAG 除外 ) 复用 BUSY 三态输出专用 DONE 双向, 开漏 或 active 专用 配置和回读总线, 在 CCLK 上升沿采样 指示目前不能进行回读 对 BQ5V 器件, 该信号 仅在回读时有意义, 不适用于配置 指示配置是否完成 : 0 = FPGA 配置未完成 1 = FPGA 配置完成 在 M[2:0] 被采样之前,INIT_B 可以作为输入, 接 收到低电平时可以推迟配置时间 输入或输出, 在 M[2:0] 被采样之后,INIT_B 是一个开漏 低电 INIT_B 专用平有效的输出, 指示 CRC 校验结果 : 开漏 0 = CRC 错误 1 = 没有 CRC 错误 在 SEU 探测功能开启之后,INIT_B 可以设置为 回读 CRC 出错时是否拉低 PROGRAM_B 输入 专用 低有效全片异步复位 CS_B 输入 专用 低有效片选信号, 使能 SelectMAP 端口 : 0 = SelectMAP 使能 1 = SelectMAP 失能 RDWR_B 输入 专用 修改 SelectMAP 端口的数据流向 0 = 输入 1 = 输出只能在 CS_B 为高时更改, 否则会触发 ABORT CSO_B 输出 复用 并行菊花链配置片选引脚, 低有效 单器件的 SelectMap 配置 高性能 Flash XL 平台 Selectmap 平台配置 Flash XL 平台视为高性能的 BQ5VFPGA 优化设计的 它在 FT64 封装内集成了 128Mb 的 在系统编程 flash 存储和足以支撑配置的性能 上电突发读取模式和专用电源 I/O 可以使它

16 与 BQ5VFPGA 的 SelectMAP 配置接口无缝配合 16bit 位宽时可以达到 800Mb/s, 并且不需要 等待时间 图 4-6 为使用该平台的硬件示意图 图 4-6 高性能 Flash XL 平台 Selectmap 平台配置 Flash PROM 平台的 SelectMap 配置对于单个使用 SelectMAP 进行配置的器件, 最简单的方式就是直接与 PROM 相连, 如图 4-7 所示 在这种架构下,FPGA 被设定为主模式,RDWR_B 和 CS_B 都接地以对应连续的数据加载 图 4-7 MCU 驱动的 SelectMap 配置 单器件 SelectMAP 主配置模式 在某些应用下,MCU 或 CPLD 也可以用来胚子单个的 BQ5V FPGA, 时钟由 FPGA 自身或外 部提供均可, 如图 4-8 所示 使用中我们更倾向图使 SelectMAP 从配置模式

17 图 4-8 使用 MCU 或 CPLD 通过 SelectMAP 配置单个器件 多器件的 SelectMap 配置多个处于 SelectMAP 配置模式的器件可以共用一条 SelectMAP 总线 ( 图 4-9) DATA, CCLK, RDWR_B, BUSY, PROGRAM_B, DONE, 和 INIT_B 引脚均是共用的, 但是 CS_B 需要分别控制, 该工作一般是由 CPLD 或 MCU 来进行 并行菊花链 图 4-9 使用 8bit 位宽的 SlectMAP 总线配置多个器件 BQ5V FPGA 的 SlectMAP 还支持并行菊花链的配置模式 图 4-10 为该应用示例, 最上游器件工作在 BPI 模式, 工作在 SelectMAP 主模式或从模式的 FPGA 也可以作为最上游器件 D[15:0], CCLK, RDWR_B, PROGRAM_B, DONE, 和 INIT_B 是共用的,CS_B 引脚之间采用菊花链连接

18 成组的 SelectMap 图 4-10 并行菊花链 使用 SelectMAP 接口也是可以用同一个码流同时配置多个器件的 在成组的 SelectMAP 配置中,CS_B 引脚是共用的, 如果有外部时钟源, 所有的器件都可以设置为从模式, 或者 将其中一个器件设置为主模式提供时钟源 图 4-11 成组的 SelectMAP 配置 SelectMAP 数据加载 SelectMAP 端口支持连续的数据加载和非连续的数据加载 数据加载的控制信号有 CS_B RDWR_B CCLK 和 BUSY

19 CS_B 片选信号 CS_B 是 SelecctMAP 的使能信号 当 CS_B 为高时,BQ5V 无视端口上的所有操作 RDWR_B RDWR_B 是该端口的读写控制信号, 当为低电平时该端口为写状态 当更改读写状态时, 必须撤销片选信号, 否这会触发 ABORT 进程 CCLK 所有 SelectMAP 上的有效操作都是以 CCLK 为时钟源的同步操作 端口输入数据是会在 CCLK 上升沿采样, 当端口输出数据时会在 CCLK 上升沿更新 从模式时可以通过停止 CCLK 来暂停配置 BUSY BUSY 是一个 FPGA 的输出信号, 指示着器件是否输出回读数据 不同于早期的 Virtex 系列,BQ5V 在配置过程中从来不对 BUSY 做出驱动 在回读过程中当 BUSY 为低电平时指示着已经在输出有效的回读数据 当片选信号置为 1 时,BUSY 信号被置为三态 SelectMAP 的数据加载模式当配置控制器可以提供一个不中断的配置码流时我们可以使用连续的加载模式, 当无法满足这一条件时, 可以选用非连续的加载模式 实现非连续的数据加载有两种方式, 一个是拉高 CS_B 关闭 SelectMAP 端口, 另一种方式是暂停 CCLK 时钟 SelectMAP ABORT ABORT 是在 SelectMAP 的回读或配置过程中因为在改变读写状态时没有拉高 CS_B 信号产生的中断 在配置过程中产生 ABORT, 内部的状态会在接下来的四个 CCLK 周期由 D[7:4] 输出, 其他的 D 引脚会一直保持高电平 在 ABORT 序列结束之后, 用户可以重性能同步配置逻辑并恢复配置 ABORT 状态字在配置 ABORT 过程中,FPGA 会在 D[7:0] 输出状态字,D 的其他引脚一直保持高电平, 状态字每位的信息在表 4-5 中有所描述 表 4-5 ABORT 状态字位置名称意义 D7 CFGERR_B 配置错误 ( 低有效 ) D6 DALIGN 接收到同步字 ( 高有效 )

20 D5 RIP 回读进程中 ( 高有效 ) D4 IN_ABORT_B ABORT 进程中 ( 低有效 ) D3-D 恒为 1111 在触发 ABORT 后有两种方式恢复配置或者回读 : 器件可以在 ABORT 完成后重新同步 器件可以通过拉低 PROGRAM_B 复位 SelectMAP 重配置重配置值得是 DONE 引脚被拉高之后对 FPGA 重新编程 重配置可以拉低 PROGRAM_B 引脚或者重新同步 FPGA 来开始 在不用 PROGRAM_B 复位的前提下使用 SelectMAP 进行重配置,BitGen 选项中的 persist 选项必须勾选 CONFIG_MODE 约束语句用来设置 SelectMAP 端口在配置完成后保留的宽度, 默认情况下保留 8 位宽 4.3 SPI 配置接口 在 SPI 串行闪存模式,M[2:0]=001.BQ5V FPGA 从一个符合 SPI 标准的串行 Flash PROM 读取数据对自己进行配置 虽然 SPI 是四线标准接口, 不同的 SPI 可能使用不同的 SPI 读命 令 FS[2:0] 可以选定 FPGA 内预置的读命令, 或者配合 RCMD 引脚自定义命令 图 4-12 BQ5V SPI 配置接口 表 4-6 描述了 SPI 接口的相关引脚 表 4-6 BQ5V SPI 配置接口引脚 引脚名称 类型 专用 / 复用 功能描述 M[2:0] 输入 专用 用来设置配置模式的引脚 HSWAPEN 输入 专用 在配置期间控制除 bank0 中的专用 IO 之外的 IO 的上拉 ( 引脚内部有一个弱上拉电阻 ) 0 = 配置期间上拉

21 1 = 配置期间三态 CCLK 输入和 专用 配置时钟源 (JTAG 除外 ) 输出 DOUT 三态 专用 在串行菊花链中使用 输出 FS[2:0] 输入 复用 SPI 读命令选择引脚 DONE 双向, 开漏 或 active 专用 指示配置是否完成 : 0 = FPGA 配置未完成 1 = FPGA 配置完成 在 M[2:0] 被采样之前,INIT_B 可以作为输入, 接 收到低电平时可以推迟配置时间 输入或输出, 在 M[2:0] 被采样之后,INIT_B 是一个开漏 低电 INIT_B 专用平有效的输出, 指示 CRC 校验结果 : 开漏 0 = CRC 错误 1 = 没有 CRC 错误 在 SEU 探测功能开启之后,INIT_B 可以设置为 回读 CRC 出错时是否拉低 PROGRAM_B 输入 专用 低有效全片异步复位 FCS_B 输出 复用 SPI 片选引脚, 低电平有效 MOSI 输出 复用 FPGA 串行输出,CCLK 下降沿变化 D_IN 输入 复用 FPGA 串行输入,CCLK 上升沿采样 RCMD[7:0] 输入 复用 SPI 读命令自定义引脚 表 4-7 描述了有 FS 引脚可选择的 SPI 读命令 表 4-7 BQ5V SPI 读命令 FS[2:0] SPI 备注 读命令 000 0xFF 001 RCMD[7:0] 通过这组引脚可以自定义任何读命令 010 0x 保留 100 0x x xE x0B SPI 串行菊花链 在串行菊花链应用中, 最上游的器件可以工作在 SPI 模式而其他的下游器件工作在串行 从配置模式 在这种情况下, 所有的配置码流都可以存储在 SPI 器件当中 4.4 字节外设接口并行 Flash 模式 在 BPI-Up (M[2:0]=010) 或者 BPI-Down (M[2:0] = 011) 模式,BQ5V FPGA 从一个工 业标准的并行 NOR Flash PROM 对自己进行配置, 如图 13 所示 FPGA 用最高多达 26 位的

22 地址驱动并行 Flash 对于配置应用, 值用到了 Flash 的异步读取模式 8 或 16 位的数据宽度可以得到 FPGA 的兼容 在 BPI 模式下 CCLK 的输出并没有与 Flash 相连, 但是 CCLK 依然是采样时钟 在 BPI_UP 模式, 地址从 0 开始递增, 如果地址溢出之前还没有完成配置, 状态寄存器会做出标记, 并且触发 Fallback 重配置 在 BPI_DOWN 模式下, 地址从 26 h3ffffff 递减 其余功能与 UP 模式相同 图 4-13 表 4-8 表述了 BPI 配置接口的各引脚功能 表 4-8 BQ5V BPI 配置接口 BQ5V BPI 配置引脚 引脚名称类型专用 / 复用功能描述 M[2:0] 输入 专用 用来设置配置模式的引脚 HSWAPEN 输入 专用 在配置期间控制除 bank0 中的专用 IO 之外的 IO 的上拉 ( 引脚内部有一个弱上拉电阻 ) 0 = 配置期间上拉 1 = 配置期间三态 CCLK 输入和 专用 配置时钟源 (JTAG 除外 ) 输出 DOUT 三态 专用 在串行菊花链中使用 输出 FS[2:0] 输入 复用 SPI 读命令选择引脚 指示配置是否完成 : DONE 双向, 开漏 0 = FPGA 配置未完成专用或 active 1 = FPGA 配置完成 在 M[2:0] 被采样之前,INIT_B 可以作为输入, 接 收到低电平时可以推迟配置时间 输入或输出, 在 M[2:0] 被采样之后,INIT_B 是一个开漏 低电 INIT_B 专用平有效的输出, 指示 CRC 校验结果 : 开漏 0 = CRC 错误 1 = 没有 CRC 错误 在 SEU 探测功能开启之后,INIT_B 可以设置为 回读 CRC 出错时是否拉低 PROGRAM_B 输入 专用 低有效全片异步复位 FCS_B 输出 复用 Flash 片选引脚, 低电平有效 FOE_B 输出 复用 Flash 输出使能, 低电平有效 FWE_B 输出 复用 Flash 写使能, 低电平有效

23 ADDR[25:0] 输出 复用 地址输出 D[15:0] 输入 复用 数据输入 RS[1:0] 输出 复用 版本选择引脚, 用于多个多个版本的 bitstream 之间的切换 当 fallback 被触发时,RS[1:0]=00. CSO_B 输出 复用 用于并行菊花链配置的片选信号 对页模式的支持很多 NOR Flash 支持异步页读 每页的第一次读取通常会占用最长的时间 (~100ns), 接下来对同一页的读取所用的时间会较短 (~25ns) 下面是 BQ5V 可以和 BPI Flash 配合设置的参数 页大小 : 每页第一次读取的 CCLK 周期 : 当页大小为 1 时只支持一个 CCLK 周期 CCLK 频率 5 封装 BQR5VSX95T 为 CCGA1136 封装, 具体封装信息如下

24 表 4-1 BQR5VSX95T 管脚信息表 Bank 管脚描述 引出端序号 0 DXP_0 W18 0 DXN_0 W17 0 AVDD_0 T18 0 AVSS_0 T17 0 VP_0 U18 0 VN_0 V17 0 VREFP_0 V18 0 VREFN_0 U17 0 VBATT_0 L23 0 PROGRAM_B_0 M22 0 HSWAPEN_0 M23 0 D_IN_0 P15

25 0 DONE_0 M15 0 CCLK_0 N15 0 INIT_B_0 N14 0 CS_B_0 N22 0 RDWR_B_0 N23 0 RSVD (3) AB23 0 RSVD (3) AC23 0 TCK_0 AB15 0 M0_0 AD21 0 M2_0 AD22 0 M1_0 AC22 0 TMS_0 AC14 0 TDI_0 AC15 0 D_OUT_BUSY_0 AD15 0 TDO_0 AD14 1 IO_L0P_A19_1 L21 1 IO_L0N_A18_1 L20 1 IO_L1P_A17_1 L15 1 IO_L1N_A16_1 L16 1 IO_L2P_A15_D31_1 J22 1 IO_L2N_A14_D30_1 K21 1 IO_L3P_A13_D29_1 K16 1 IO_L3N_A12_D28_1 J15 1 IO_L4P_A11_D27_1 G22 1 IO_L4N_VREF_A10_D26_1 H22 1 IO_L5P_A9_D25_1 L14 1 IO_L5N_A8_D24_1 K14 1 IO_L6P_A7_D23_1 K23 1 IO_L6N_A6_D22_1 K22 1 IO_L7P_A5_D21_1 J12 1 IO_L7N_A4_D20_1 H12 1 IO_L8P_CC_A3_D19_1 G23 1 IO_L8N_CC_A2_D18_1 (2) H23 1 IO_L9P_CC_A1_D17_1 K13 1 IO_L9N_CC_A0_D16_1 (2) K12 2 IO_L0P_CC_RS1_2 AE13 2 IO_L0N_CC_RS0_2 (2) AE12 2 IO_L1P_CC_A25_2 AF23 2 IO_L1N_CC_A24_2 (2) AG23 2 IO_L2P_A23_2 AF13 2 IO_L2N_A22_2 AG12 2 IO_L3P_A21_2 AE22

26 2 IO_L3N_A20_2 AE23 2 IO_L4P_FCS_B_2 AE14 2 IO_L4N_VREF_FOE_B_MOSI_2 AF14 2 IO_L5P_FWE_B_2 AF20 2 IO_L5N_CSO_B_2 AF21 2 IO_L6P_D7_2 AF15 2 IO_L6N_D6_2 AE16 2 IO_L7P_D5_2 AE21 2 IO_L7N_D4_2 AD20 2 IO_L8P_D3_2 AF16 2 IO_L8N_D2_FS2_2 AE17 2 IO_L9P_D1_FS1_2 AE19 2 IO_L9N_D0_FS0_2 AD19 3 IO_L0P_CC_GC_3 H17 3 IO_L0N_CC_GC_3 (1)(2) H18 3 IO_L1P_CC_GC_3 K17 3 IO_L1N_CC_GC_3 (1)(2) L18 3 IO_L2P_GC_VRN_3 G15 3 IO_L2N_GC_VRP_3 (1) G16 3 IO_L3P_GC_3 K18 3 IO_L3N_GC_3 (1) J19 3 IO_L4P_GC_3 J16 3 IO_L4N_GC_VREF_3 (1) J17 3 IO_L5P_GC_3 L19 3 IO_L5N_GC_3 (1) K19 3 IO_L6P_GC_3 H14 3 IO_L6N_GC_3 (1) H15 3 IO_L7P_GC_3 J20 3 IO_L7N_GC_3 (1) J21 3 IO_L8P_GC_3 J14 3 IO_L8N_GC_3 (1) H13 3 IO_L9P_GC_3 H19 3 IO_L9N_GC_3 (1) H20 4 IO_L0P_GC_D15_4 AG22 4 IO_L0N_GC_D14_4 (1) AH22 4 IO_L1P_GC_D13_4 AH12 4 IO_L1N_GC_D12_4 (1) AG13 4 IO_L2P_GC_D11_4 AH20 4 IO_L2N_GC_D10_4 (1) AH19 4 IO_L3P_GC_D9_4 AH14 4 IO_L3N_GC_D8_4 (1) AH13 4 IO_L4P_GC_4 AG21

27 4 IO_L4N_GC_VREF_4 (1) AG20 4 IO_L5P_GC_4 AH15 4 IO_L5N_GC_4 (1) AG15 4 IO_L6P_GC_4 AG18 4 IO_L6N_GC_4 (1) AF19 4 IO_L7P_GC_VRN_4 AH17 4 IO_L7N_GC_VRP_4 (1) AG16 4 IO_L8P_CC_GC_4 AF18 4 IO_L8N_CC_GC_4 (1)(2) AE18 4 IO_L9P_CC_GC_4 AH18 4 IO_L9N_CC_GC_4 (1)(2) AG17 5 IO_L0P_5 B16 5 IO_L0N_5 B15 5 IO_L1P_5 A15 5 IO_L1N_5 A14 5 IO_L2P_5 B17 5 IO_L2N_5 A16 5 IO_L3P_5 C14 5 IO_L3N_5 C15 5 IO_L4P_5 E19 5 IO_L4N_VREF_5 F19 5 IO_L5P_5 C17 5 IO_L5N_5 D17 5 IO_L6P_5 E21 5 IO_L6N_5 D20 5 IO_L7P_5 D16 5 IO_L7N_5 D15 5 IO_L8P_CC_5 G20 5 IO_L8N_CC_5 (2) F20 5 IO_L9P_CC_5 D14 5 IO_L9N_CC_5 (2) E14 5 IO_L10P_CC_5 E17 5 IO_L10N_CC_5 (2) E16 5 IO_L11P_CC_5 F21 5 IO_L11N_CC_5 (2) G21 5 IO_L12P_VRN_5 E18 5 IO_L12N_VRP_5 D19 5 IO_L13P_5 D21 5 IO_L13N_5 D22 5 IO_L14P_5 F18 5 IO_L14N_VREF_5 G18 5 IO_L15P_5 E22

28 5 IO_L15N_5 F23 5 IO_L16P_5 G17 5 IO_L16N_5 F16 5 IO_L17P_5 D24 5 IO_L17N_5 E23 5 IO_L18P_5 F14 5 IO_L18N_5 F15 5 IO_L19P_5 F24 5 IO_L19N_5 E24 6 IO_L0P_6 AH24 6 IO_L0N_6 AJ24 6 IO_L1P_6 AK12 6 IO_L1N_6 AJ12 6 IO_L2P_6 AH23 6 IO_L2N_6 AJ22 6 IO_L3P_6 AL13 6 IO_L3N_6 AK13 6 IO_L4P_6 AK24 6 IO_L4N_VREF_6 AL23 6 IO_L5P_6 AJ14 6 IO_L5N_6 AK14 6 IO_L6P_6 AK23 6 IO_L6N_6 AK22 6 IO_L7P_6 AL15 6 IO_L7N_6 AL14 6 IO_L8P_CC_6 AJ21 6 IO_L8N_CC_6 (2) AJ20 6 IO_L9P_CC_6 AJ16 6 IO_L9N_CC_6 (2) AJ15 6 IO_L10P_CC_6 AK16 6 IO_L10N_CC_6 (2) AL16 6 IO_L11P_CC_6 AL21 6 IO_L11N_CC_6 (2) AK21 6 IO_L12P_VRN_6 AK17 6 IO_L12N_VRP_6 AJ17 6 IO_L13P_6 AL19 6 IO_L13N_6 AL20 6 IO_L14P_6 AK18 6 IO_L14N_VREF_6 AL18 6 IO_L15P_6 AJ19 6 IO_L15N_6 AK19 6 IO_L16P_6 AM15

29 6 IO_L16N_6 AM16 6 IO_L17P_6 AP16 6 IO_L17N_6 AP17 6 IO_L18P_6 AN15 6 IO_L18N_6 AP15 6 IO_L19P_6 AM17 6 IO_L19N_6 AN17 11 IO_L0P_11 B32 11 IO_L0N_11 A33 11 IO_L1P_11 B33 11 IO_L1N_11 C33 11 IO_L2P_11 C32 11 IO_L2N_11 D32 11 IO_L3P_11 C34 11 IO_L3N_11 D34 11 IO_L4P_11 G32 11 IO_L4N_VREF_11 H32 11 IO_L5P_11 F33 11 IO_L5N_11 E34 11 IO_L6P_11 E32 11 IO_L6N_11 E33 11 IO_L7P_11 G33 11 IO_L7N_11 F34 11 IO_L8P_CC_11 J32 11 IO_L8N_CC_11 (2) H33 11 IO_L9P_CC_11 H34 11 IO_L9N_CC_11 (2) J34 11 IO_L10P_CC_SM15P_11 L34 11 IO_L10N_CC_SM15N_11 (2) K34 11 IO_L11P_CC_SM14P_11 K33 11 IO_L11N_CC_SM14N_11 (2) K32 11 IO_L12P_VRN_11 N33 11 IO_L12N_VRP_11 M33 11 IO_L13P_11 L33 11 IO_L13N_11 M32 11 IO_L14P_11 P34 11 IO_L14N_VREF_11 N34 11 IO_L15P_SM13P_11 P32 11 IO_L15N_SM13N_11 N32 11 IO_L16P_SM12P_11 T33 11 IO_L16N_SM12N_11 R34 11 IO_L17P_SM11P_11 R33

30 11 IO_L17N_SM11N_11 R32 11 IO_L18P_SM10P_11 U33 11 IO_L18N_SM10N_11 T34 11 IO_L19P_SM9P_11 U32 11 IO_L19N_SM9N_11 U31 12 IO_L0P_12 M6 12 IO_L0N_12 M5 12 IO_L1P_12 N8 12 IO_L1N_12 N7 12 IO_L2P_12 M7 12 IO_L2N_12 L6 12 IO_L3P_12 N5 12 IO_L3N_12 P5 12 IO_L4P_12 L4 12 IO_L4N_VREF_12 L5 12 IO_L5P_12 P7 12 IO_L5N_12 P6 12 IO_L6P_12 K7 12 IO_L6N_12 K6 12 IO_L7P_12 R6 12 IO_L7N_12 T6 12 IO_L8P_CC_12 J6 12 IO_L8N_CC_12 (2) J5 12 IO_L9P_CC_12 R7 12 IO_L9N_CC_12 (2) R8 12 IO_L10P_CC_12 T8 12 IO_L10N_CC_12 (2) U7 12 IO_L11P_CC_12 H7 12 IO_L11N_CC_12 (2) J7 12 IO_L12P_VRN_12 R9 12 IO_L12N_VRP_12 P9 12 IO_L13P_12 H5 12 IO_L13N_12 G5 12 IO_L14P_12 R11 12 IO_L14N_VREF_12 P10 12 IO_L15P_12 F5 12 IO_L15N_12 F6 12 IO_L16P_12 T10 12 IO_L16N_12 T11 12 IO_L17P_12 G6 12 IO_L17N_12 G7 12 IO_L18P_12 T9

31 12 IO_L18N_12 U10 12 IO_L19P_12 E6 12 IO_L19N_12 E7 13 IO_L0P_SM8P_13 V32 13 IO_L0N_SM8N_13 V33 13 IO_L1P_SM7P_13 W34 13 IO_L1N_SM7N_13 V34 13 IO_L2P_SM6P_13 Y33 13 IO_L2N_SM6N_13 AA33 13 IO_L3P_SM5P_13 AA34 13 IO_L3N_SM5N_13 Y34 13 IO_L4P_13 Y32 13 IO_L4N_VREF_13 W32 13 IO_L5P_SM4P_13 AC34 13 IO_L5N_SM4N_13 AD34 13 IO_L6P_SM3P_13 AC32 13 IO_L6N_SM3N_13 AB32 13 IO_L7P_SM2P_13 AC33 13 IO_L7N_SM2N_13 AB33 13 IO_L8P_CC_SM1P_13 AF33 13 IO_L8N_CC_SM1N_13 (2) AE33 13 IO_L9P_CC_SM0P_13 AF34 13 IO_L9N_CC_SM0N_13 (2) AE34 13 IO_L10P_CC_13 AH34 13 IO_L10N_CC_13 (2) AJ34 13 IO_L11P_CC_13 AD32 13 IO_L11N_CC_13 (2) AE32 13 IO_L12P_VRN_13 AG33 13 IO_L12N_VRP_13 AH33 13 IO_L13P_13 AK34 13 IO_L13N_13 AK33 13 IO_L14P_13 AG32 13 IO_L14N_VREF_13 AH32 13 IO_L15P_13 AJ32 13 IO_L15N_13 AK32 13 IO_L16P_13 AL34 13 IO_L16N_13 AL33 13 IO_L17P_13 AM33 13 IO_L17N_13 AM32 13 IO_L18P_13 AN34 13 IO_L18N_13 AN33 13 IO_L19P_13 AN32

32 13 IO_L19N_13 AP32 15 IO_L0P_15 E29 15 IO_L0N_15 F29 15 IO_L1P_15 G30 15 IO_L1N_15 F30 15 IO_L2P_15 H29 15 IO_L2N_15 J29 15 IO_L3P_15 F31 15 IO_L3N_15 E31 15 IO_L4P_15 L29 15 IO_L4N_VREF_15 K29 15 IO_L5P_15 H30 15 IO_L5N_15 G31 15 IO_L6P_15 J30 15 IO_L6N_15 J31 15 IO_L7P_15 L30 15 IO_L7N_15 M30 15 IO_L8P_CC_15 N29 15 IO_L8N_CC_15 (2) P29 15 IO_L9P_CC_15 K31 15 IO_L9N_CC_15 (2) L31 15 IO_L10P_CC_15 P31 15 IO_L10N_CC_15 (2) P30 15 IO_L11P_CC_15 M31 15 IO_L11N_CC_15 (2) N30 15 IO_L12P_VRN_15 R28 15 IO_L12N_VRP_15 R29 15 IO_L13P_15 T31 15 IO_L13N_15 R31 15 IO_L14P_15 U30 15 IO_L14N_VREF_15 T30 15 IO_L15P_15 T28 15 IO_L15N_15 T29 15 IO_L16P_15 U27 15 IO_L16N_15 U28 15 IO_L17P_15 R26 15 IO_L17N_15 R27 15 IO_L18P_15 U26 15 IO_L18N_15 T26 15 IO_L19P_15 U25 15 IO_L19N_15 T25 17 IO_L0P_17 W24

33 17 IO_L0N_17 V24 17 IO_L1P_17 Y26 17 IO_L1N_17 W26 17 IO_L2P_17 V25 17 IO_L2N_17 W25 17 IO_L3P_17 Y27 17 IO_L3N_17 W27 17 IO_L4P_17 V30 17 IO_L4N_VREF_17 W30 17 IO_L5P_17 V28 17 IO_L5N_17 V27 17 IO_L6P_17 W31 17 IO_L6N_17 Y31 17 IO_L7P_17 W29 17 IO_L7N_17 V29 17 IO_L8P_CC_17 Y28 17 IO_L8N_CC_17 (2) Y29 17 IO_L9P_CC_17 AB31 17 IO_L9N_CC_17 (2) AA31 17 IO_L10P_CC_17 AB30 17 IO_L10N_CC_17 (2) AC30 17 IO_L11P_CC_17 AA29 17 IO_L11N_CC_17 (2) AA30 17 IO_L12P_VRN_17 AD31 17 IO_L12N_VRP_17 AE31 17 IO_L13P_17 AD30 17 IO_L13N_17 AC29 17 IO_L14P_17 AF31 17 IO_L14N_VREF_17 AG31 17 IO_L15P_17 AE29 17 IO_L15N_17 AD29 17 IO_L16P_17 AJ31 17 IO_L16N_17 AK31 17 IO_L17P_17 AF29 17 IO_L17N_17 AF30 17 IO_L18P_17 AJ30 17 IO_L18N_17 AH30 17 IO_L19P_17 AH29 17 IO_L19N_17 AG30 18 IO_L0P_18 AC4 18 IO_L0N_18 AC5 18 IO_L1P_18 AB6

34 18 IO_L1N_18 AB7 18 IO_L2P_18 AA5 18 IO_L2N_18 AB5 18 IO_L3P_18 AC7 18 IO_L3N_18 AD7 18 IO_L4P_18 Y8 18 IO_L4N_VREF_18 Y9 18 IO_L5P_18 AD4 18 IO_L5N_18 AD5 18 IO_L6P_18 AA6 18 IO_L6N_18 Y7 18 IO_L7P_18 AD6 18 IO_L7N_18 AE6 18 IO_L8P_CC_18 W6 18 IO_L8N_CC_18 (2) Y6 18 IO_L9P_CC_18 AE7 18 IO_L9N_CC_18 (2) AF6 18 IO_L10P_CC_18 AG5 18 IO_L10N_CC_18 (2) AF5 18 IO_L11P_CC_18 W7 18 IO_L11N_CC_18 (2) V7 18 IO_L12P_VRN_18 AH5 18 IO_L12N_VRP_18 AG6 18 IO_L13P_18 Y11 18 IO_L13N_18 W11 18 IO_L14P_18 AH7 18 IO_L14N_VREF_18 AG7 18 IO_L15P_18 W10 18 IO_L15N_18 W9 18 IO_L16P_18 AJ7 18 IO_L16N_18 AJ6 18 IO_L17P_18 V8 18 IO_L17N_18 U8 18 IO_L18P_18 AK7 18 IO_L18N_18 AK6 18 IO_L19P_18 V10 18 IO_L19N_18 V9 19 IO_L0P_19 K24 19 IO_L0N_19 L24 19 IO_L1P_19 L25 19 IO_L1N_19 L26 19 IO_L2P_19 J24

35 19 IO_L2N_19 J25 19 IO_L3P_19 M25 19 IO_L3N_19 M26 19 IO_L4P_19 J27 19 IO_L4N_VREF_19 J26 19 IO_L5P_19 G25 19 IO_L5N_19 G26 19 IO_L6P_19 H25 19 IO_L6N_19 H24 19 IO_L7P_19 F25 19 IO_L7N_19 F26 19 IO_L8P_CC_19 G27 19 IO_L8N_CC_19 (2) H27 19 IO_L9P_CC_19 H28 19 IO_L9N_CC_19 (2) G28 19 IO_L10P_CC_19 E28 19 IO_L10N_CC_19 (2) F28 19 IO_L11P_CC_19 E26 19 IO_L11N_CC_19 (2) E27 19 IO_L12P_VRN_19 N27 19 IO_L12N_VRP_19 M27 19 IO_L13P_19 K28 19 IO_L13N_19 L28 19 IO_L14P_19 K27 19 IO_L14N_VREF_19 K26 19 IO_L15P_19 M28 19 IO_L15N_19 N28 19 IO_L16P_19 P26 19 IO_L16N_19 P27 19 IO_L17P_19 N24 19 IO_L17N_19 P24 19 IO_L18P_19 P25 19 IO_L18N_19 N25 19 IO_L19P_19 R24 19 IO_L19N_19 T24 20 IO_L0P_20 E9 20 IO_L0N_20 E8 20 IO_L1P_20 F9 20 IO_L1N_20 F8 20 IO_L2P_20 F10 20 IO_L2N_20 G10 20 IO_L3P_20 G8

36 20 IO_L3N_20 H8 20 IO_L4P_20 D11 20 IO_L4N_VREF_20 D10 20 IO_L5P_20 K11 20 IO_L5N_20 J11 20 IO_L6P_20 D12 20 IO_L6N_20 C12 20 IO_L7P_20 H10 20 IO_L7N_20 H9 20 IO_L8P_CC_20 A13 20 IO_L8N_CC_20 (2) B12 20 IO_L9P_CC_20 J10 20 IO_L9N_CC_20 (2) J9 20 IO_L10P_CC_20 K8 20 IO_L10N_CC_20 (2) K9 20 IO_L11P_CC_20 B13 20 IO_L11N_CC_20 (2) C13 20 IO_L12P_VRN_20 L10 20 IO_L12N_VRP_20 L11 20 IO_L13P_20 G11 20 IO_L13N_20 G12 20 IO_L14P_20 M8 20 IO_L14N_VREF_20 L8 20 IO_L15P_20 F11 20 IO_L15N_20 E11 20 IO_L16P_20 M10 20 IO_L16N_20 L9 20 IO_L17P_20 E12 20 IO_L17N_20 E13 20 IO_L18P_20 N10 20 IO_L18N_20 N9 20 IO_L19P_20 F13 20 IO_L19N_20 G13 21 IO_L0P_21 AA25 21 IO_L0N_21 AA26 21 IO_L1P_21 AB27 21 IO_L1N_21 AC27 21 IO_L2P_21 Y24 21 IO_L2N_21 AA24 21 IO_L3P_21 AB25 21 IO_L3N_21 AB26 21 IO_L4P_21 AC28

37 21 IO_L4N_VREF_21 AD27 21 IO_L5P_21 AB28 21 IO_L5N_21 AA28 21 IO_L6P_21 AG28 21 IO_L6N_21 AH28 21 IO_L7P_21 AE28 21 IO_L7N_21 AF28 21 IO_L8P_CC_21 AK26 21 IO_L8N_CC_21 (2) AJ27 21 IO_L9P_CC_21 AK29 21 IO_L9N_CC_21 (2) AJ29 21 IO_L10P_CC_21 AK28 21 IO_L10N_CC_21 (2) AK27 21 IO_L11P_CC_21 AH27 21 IO_L11N_CC_21 (2) AJ26 21 IO_L12P_VRN_21 AJ25 21 IO_L12N_VRP_21 AH25 21 IO_L13P_21 AF24 21 IO_L13N_21 AG25 21 IO_L14P_21 AG27 21 IO_L14N_VREF_21 AG26 21 IO_L15P_21 AF25 21 IO_L15N_21 AF26 21 IO_L16P_21 AE27 21 IO_L16N_21 AE26 21 IO_L17P_21 AC25 21 IO_L17N_21 AC24 21 IO_L18P_21 AD26 21 IO_L18N_21 AD25 21 IO_L19P_21 AD24 21 IO_L19N_21 AE24 22 IO_L0P_22 AN14 22 IO_L0N_22 AP14 22 IO_L1P_22 AB10 22 IO_L1N_22 AA10 22 IO_L2P_22 AN13 22 IO_L2N_22 AM13 22 IO_L3P_22 AA8 22 IO_L3N_22 AA9 22 IO_L4P_22 AP12 22 IO_L4N_VREF_22 AN12 22 IO_L5P_22 AC8

38 22 IO_L5N_22 AB8 22 IO_L6P_22 AM12 22 IO_L6N_22 AM11 22 IO_L7P_22 AC10 22 IO_L7N_22 AC9 22 IO_L8P_CC_22 AL11 22 IO_L8N_CC_22 (2) AL10 22 IO_L9P_CC_22 AE8 22 IO_L9N_CC_22 (2) AD9 22 IO_L10P_CC_22 AD10 22 IO_L10N_CC_22 (2) AD11 22 IO_L11P_CC_22 AK11 22 IO_L11N_CC_22 (2) AJ11 22 IO_L12P_VRN_22 AF8 22 IO_L12N_VRP_22 AE9 22 IO_L13P_22 AK8 22 IO_L13N_22 AK9 22 IO_L14P_22 AF9 22 IO_L14N_VREF_22 AF10 22 IO_L15P_22 AJ9 22 IO_L15N_22 AJ10 22 IO_L16P_22 AF11 22 IO_L16N_22 AE11 22 IO_L17P_22 AH9 22 IO_L17N_22 AH10 22 IO_L18P_22 AG8 22 IO_L18N_22 AH8 22 IO_L19P_22 AG10 22 IO_L19N_22 AG11 23 IO_L0P_23 C20 23 IO_L0N_23 B20 23 IO_L1P_23 B21 23 IO_L1N_23 A21 23 IO_L2P_23 C19 23 IO_L2N_23 C18 23 IO_L3P_23 C22 23 IO_L3N_23 B22 23 IO_L4P_23 B18 23 IO_L4N_VREF_23 A18 23 IO_L5P_23 C23 23 IO_L5N_23 B23 23 IO_L6P_23 A19

39 23 IO_L6N_23 A20 23 IO_L7P_23 A23 23 IO_L7N_23 A24 23 IO_L8P_CC_23 C24 23 IO_L8N_CC_23 (2) D25 23 IO_L9P_CC_23 B26 23 IO_L9N_CC_23 (2) A25 23 IO_L10P_CC_23 B27 23 IO_L10N_CC_23 (2) A26 23 IO_L11P_CC_23 B25 23 IO_L11N_CC_23 (2) C25 23 IO_L12P_VRN_23 C29 23 IO_L12N_VRP_23 B28 23 IO_L13P_23 D26 23 IO_L13N_23 C27 23 IO_L14P_23 A29 23 IO_L14N_VREF_23 A28 23 IO_L15P_23 C28 23 IO_L15N_23 D27 23 IO_L16P_23 B31 23 IO_L16N_23 A31 23 IO_L17P_23 C30 23 IO_L17N_23 D29 23 IO_L18P_23 D31 23 IO_L18N_23 D30 23 IO_L19P_23 A30 23 IO_L19N_23 B30 25 IO_L0P_25 AL29 25 IO_L0N_25 AL30 25 IO_L1P_25 AM31 25 IO_L1N_25 AL31 25 IO_L2P_25 AN30 25 IO_L2N_25 AM30 25 IO_L3P_25 AP30 25 IO_L3N_25 AP31 25 IO_L4P_25 AM27 25 IO_L4N_VREF_25 AL28 25 IO_L5P_25 AP29 25 IO_L5N_25 AN29 25 IO_L6P_25 AP27 25 IO_L6N_25 AN27 25 IO_L7P_25 AN28

40 25 IO_L7N_25 AM28 25 IO_L8P_CC_25 AN25 25 IO_L8N_CC_25 (2) AM25 25 IO_L9P_CC_25 AM26 25 IO_L9N_CC_25 (2) AL26 25 IO_L10P_CC_25 AP26 25 IO_L10N_CC_25 (2) AP25 25 IO_L11P_CC_25 AL25 25 IO_L11N_CC_25 (2) AL24 25 IO_L12P_VRN_25 AN24 25 IO_L12N_VRP_25 AP24 25 IO_L13P_25 AM21 25 IO_L13N_25 AM20 25 IO_L14P_25 AN23 25 IO_L14N_VREF_25 AM23 25 IO_L15P_25 AN20 25 IO_L15N_25 AP20 25 IO_L16P_25 AN22 25 IO_L16N_25 AM22 25 IO_L17P_25 AN18 25 IO_L17N_25 AM18 25 IO_L18P_25 AP22 25 IO_L18N_25 AP21 25 IO_L19P_25 AN19 25 IO_L19N_25 AP19 NA MGTTXP0_112 M2 NA MGTAVTTTX_112 M3 NA MGTTXN0_112 N2 NA MGTRXP0_112 N1 NA MGTAVTTRX_112 N3 NA MGTRXN0_112 P1 NA MGTAVCCPLL_112 T3 NA MGTRXN1_112 R1 NA MGTREFCLKN_112 P3 NA MGTRXP1_112 T1 NA MGTREFCLKP_112 P4 NA MGTTXN1_112 T2 NA MGTAVTTTX_112 U3 NA MGTTXP1_112 U2 NA MGTAVTTRXC V5 NA MGTRREF_112 V4 NA MGTTXP0_114 V2

41 NA MGTAVTTTX_114 AC3 NA MGTTXN0_114 W2 NA MGTRXP0_114 W1 NA MGTAVTTRX_114 W3 NA MGTRXN0_114 Y1 NA MGTAVCCPLL_114 AB3 NA MGTRXN1_114 AA1 NA MGTREFCLKN_114 Y3 NA MGTRXP1_114 AB1 NA MGTREFCLKP_114 Y4 NA MGTTXN1_114 AB2 NA MGTAVTTTX_114 V3 NA MGTTXP1_114 AC2 NA MGTTXP0_116 F2 NA MGTAVTTTX_116 F3 NA MGTTXN0_116 G2 NA MGTRXP0_116 G1 NA MGTAVTTRX_116 G3 NA MGTRXN0_116 H1 NA MGTAVCCPLL_116 K3 NA MGTRXN1_116 J1 NA MGTREFCLKN_116 H3 NA MGTRXP1_116 K1 NA MGTREFCLKP_116 H4 NA MGTTXN1_116 K2 NA MGTAVTTTX_116 L3 NA MGTTXP1_116 L2 NA MGTTXP0_118 AD2 NA MGTAVTTTX_118 AD3 NA MGTTXN0_118 AE2 NA MGTRXP0_118 AE1 NA MGTAVTTRX_118 AE3 NA MGTRXN0_118 AF1 NA MGTAVCCPLL_118 AH3 NA MGTRXN1_118 AG1 NA MGTREFCLKN_118 AF3 NA MGTRXP1_118 AH1 NA MGTREFCLKP_118 AF4 NA MGTTXN1_118 AH2 NA MGTAVTTTX_118 AJ3 NA MGTTXP1_118 AJ2 NA MGTTXP0_120 B4

42 NA MGTAVTTTX_120 C4 NA MGTTXN0_120 B3 NA MGTRXP0_120 A3 NA MGTAVTTRX_120 C3 NA MGTRXN0_120 A2 NA MGTAVCCPLL_120 D3 NA MGTRXN1_120 C1 NA MGTREFCLKN_120 D4 NA MGTRXP1_120 D1 NA MGTREFCLKP_120 E4 NA MGTTXN1_120 D2 NA MGTAVTTTX_120 E3 NA MGTTXP1_120 E2 NA MGTTXP0_122 AK2 NA MGTAVTTTX_122 AK3 NA MGTTXN0_122 AL2 NA MGTRXP0_122 AL1 NA MGTAVTTRX_122 AL3 NA MGTRXN0_122 AM1 NA MGTAVCCPLL_122 AM4 NA MGTRXN1_122 AP2 NA MGTREFCLKN_122 AL4 NA MGTRXP1_122 AP3 NA MGTREFCLKP_122 AL5 NA MGTTXN1_122 AN3 NA MGTAVTTTX_122 AM3 NA MGTTXP1_122 AN4 NA MGTTXP0_124 B10 NA MGTAVTTTX_124 C10 NA MGTTXN0_124 B9 NA MGTRXP0_124 A9 NA MGTAVTTRX_124 C9 NA MGTRXN0_124 A8 NA MGTAVCCPLL_124 C6 NA MGTRXN1_124 A7 NA MGTREFCLKN_124 C8 NA MGTRXP1_124 A6 NA MGTREFCLKP_124 D8 NA MGTTXN1_124 B6 NA MGTAVTTTX_124 C5 NA MGTTXP1_124 B5 NA MGTTXP0_126 AN5

43 NA MGTAVTTTX_126 AM10 NA MGTTXN0_126 AN6 NA MGTRXP0_126 AP6 NA MGTAVTTRX_126 AM6 NA MGTRXN0_126 AP7 NA MGTAVCCPLL_126 AM9 NA MGTRXN1_126 AP8 NA MGTREFCLKN_126 AM7 NA MGTRXP1_126 AP9 NA MGTREFCLKP_126 AL7 NA MGTTXN1_126 AN9 NA MGTAVTTTX_126 AM5 NA MGTTXP1_126 AN10 NA GND B1 NA GND AN1 NA GND B2 NA GND C2 NA GND H2 NA GND J2 NA GND P2 NA GND R2 NA GND Y2 NA GND AA2 NA GND AF2 NA GND AG2 NA GND AM2 NA GND AN2 NA GND G4 NA GND K4 NA GND M4 NA GND N4 NA GND T4 NA GND W4 NA GND AB4 NA GND AE4 NA GND AH4 NA GND AK4 NA GND E5 NA GND K5 NA GND R5 NA GND T5 NA GND W5

44 NA GND Y5 NA GND AE5 NA GND AJ5 NA GND D6 NA GND H6 NA GND U6 NA GND V6 NA GND AH6 NA GND AL6 NA GND B7 NA GND F7 NA GND L7 NA GND AA7 NA GND AN7 NA GND B8 NA GND P8 NA GND AD8 NA GND AN8 NA GND D9 NA GND G9 NA GND U9 NA GND AG9 NA GND AL9 NA GND K10 NA GND R10 NA GND Y10 NA GND AE10 NA GND AK10 NA GND A11 NA GND B11 NA GND C11 NA GND N11 NA GND U11 NA GND AA11 NA GND AC11 NA GND AN11 NA GND AP11 NA GND A12 NA GND F12 NA GND M12 NA GND P12 NA GND T12

45 NA GND V12 NA GND Y12 NA GND AB12 NA GND AD12 NA GND AF12 NA GND J13 NA GND L13 NA GND N13 NA GND R13 NA GND U13 NA GND W13 NA GND AA13 NA GND AC13 NA GND AJ13 NA GND AP13 NA GND B14 NA GND M14 NA GND P14 NA GND T14 NA GND V14 NA GND Y14 NA GND AB14 NA GND AM14 NA GND E15 NA GND K15 NA GND R15 NA GND U15 NA GND W15 NA GND AA15 NA GND AE15 NA GND H16 NA GND M16 NA GND P16 NA GND T16 NA GND V16 NA GND Y16 NA GND AB16 NA GND AD16 NA GND AH16 NA GND A17 NA GND L17 NA GND N17

46 NA GND R17 NA GND AA17 NA GND AC17 NA GND AF17 NA GND AL17 NA GND D18 NA GND J18 NA GND M18 NA GND P18 NA GND Y18 NA GND AB18 NA GND AD18 NA GND AP18 NA GND G19 NA GND N19 NA GND R19 NA GND U19 NA GND W19 NA GND AA19 NA GND AC19 NA GND AG19 NA GND K20 NA GND M20 NA GND P20 NA GND T20 NA GND V20 NA GND Y20 NA GND AB20 NA GND AE20 NA GND AK20 NA GND C21 NA GND H21 NA GND N21 NA GND R21 NA GND U21 NA GND W21 NA GND AA21 NA GND AC21 NA GND AN21 NA GND A22 NA GND F22 NA GND L22

47 NA GND P22 NA GND T22 NA GND V22 NA GND Y22 NA GND AB22 NA GND AF22 NA GND J23 NA GND R23 NA GND U23 NA GND W23 NA GND AA23 NA GND AJ23 NA GND AP23 NA GND B24 NA GND M24 NA GND AB24 NA GND AG24 NA GND AM24 NA GND E25 NA GND K25 NA GND R25 NA GND Y25 NA GND AE25 NA GND H26 NA GND N26 NA GND V26 NA GND AC26 NA GND AH26 NA GND A27 NA GND L27 NA GND AA27 NA GND AF27 NA GND AL27 NA GND D28 NA GND P28 NA GND AD28 NA GND AP28 NA GND B29 NA GND G29 NA GND U29 NA GND AG29 NA GND K30

48 NA GND Y30 NA GND AK30 NA GND C31 NA GND N31 NA GND AC31 NA GND AN31 NA GND A32 NA GND F32 NA GND T32 NA GND AF32 NA GND D33 NA GND J33 NA GND W33 NA GND AJ33 NA GND AP33 NA GND B34 NA GND G34 NA GND M34 NA GND U34 NA GND AB34 NA GND AG34 NA GND AM34 NA VCCAUX M11 NA VCCAUX P11 NA VCCAUX V11 NA VCCAUX AB11 NA VCCAUX L12 NA VCCAUX AC12 NA VCCAUX M21 NA VCCAUX P23 NA VCCAUX T23 NA VCCAUX V23 NA VCCAUX Y23 NA VCCAUX U24 NA VCCINT N12 NA VCCINT R12 NA VCCINT U12 NA VCCINT W12 NA VCCINT AA12 NA VCCINT M13 NA VCCINT P13 NA VCCINT T13

49 NA VCCINT V13 NA VCCINT Y13 NA VCCINT AB13 NA VCCINT AD13 NA VCCINT R14 NA VCCINT U14 NA VCCINT W14 NA VCCINT AA14 NA VCCINT T15 NA VCCINT V15 NA VCCINT Y15 NA VCCINT N16 NA VCCINT R16 NA VCCINT U16 NA VCCINT W16 NA VCCINT AA16 NA VCCINT AC16 NA VCCINT M17 NA VCCINT P17 NA VCCINT Y17 NA VCCINT AB17 NA VCCINT AD17 NA VCCINT N18 NA VCCINT R18 NA VCCINT AA18 NA VCCINT AC18 NA VCCINT M19 NA VCCINT P19 NA VCCINT T19 NA VCCINT V19 NA VCCINT Y19 NA VCCINT AB19 NA VCCINT N20 NA VCCINT R20 NA VCCINT U20 NA VCCINT W20 NA VCCINT AA20 NA VCCINT AC20 NA VCCINT P21 NA VCCINT T21 NA VCCINT V21 NA VCCINT Y21

50 NA VCCINT AB21 NA VCCINT R22 NA VCCINT U22 NA VCCINT W22 0 VCCO_0 AA22 0 VCCO_0 AD23 1 VCCO_1 D13 1 VCCO_1 G14 2 VCCO_2 AM19 2 VCCO_2 AH21 3 VCCO_3 E20 3 VCCO_3 D23 4 VCCO_4 AL12 4 VCCO_4 AG14 5 VCCO_5 C16 5 VCCO_5 F17 5 VCCO_5 B19 6 VCCO_6 AK15 6 VCCO_6 AN16 6 VCCO_6 AJ18 11 VCCO_11 T27 11 VCCO_11 R30 11 VCCO_11 V31 12 VCCO_12 N6 12 VCCO_12 T7 12 VCCO_12 M9 13 VCCO_13 W28 13 VCCO_13 AB29 13 VCCO_13 AA32 15 VCCO_15 M29 15 VCCO_15 L32 15 VCCO_15 P33 17 VCCO_17 AE30 17 VCCO_17 AH31 17 VCCO_17 AD33 18 VCCO_18 AC6 18 VCCO_18 W8 18 VCCO_18 AB9 19 VCCO_19 J28 19 VCCO_19 E30 19 VCCO_19 H31 20 VCCO_20 J8

51 20 VCCO_20 E10 20 VCCO_20 H11 21 VCCO_21 AJ28 21 VCCO_21 AM29 21 VCCO_21 AL32 22 VCCO_22 AF7 22 VCCO_22 AJ8 22 VCCO_22 AH11 23 VCCO_23 G24 23 VCCO_23 C26 23 VCCO_23 F27 25 VCCO_25 AL22 25 VCCO_25 AK25 25 VCCO_25 AN26 NA MGTAVCC_112 R3 NA MGTAVCC_112 R4 NA MGTAVCC_114 AA3 NA MGTAVCC_114 AA4 NA MGTAVCC_116 J3 NA MGTAVCC_116 J4 NA MGTAVCC_118 AG3 NA MGTAVCC_118 AG4 NA MGTAVCC_120 D5 NA MGTAVCC_120 F4 NA MGTAVCC_122 AJ4 NA MGTAVCC_122 AK5 NA MGTAVCC_124 C7 NA MGTAVCC_124 D7 NA MGTAVCC_126 AL8 NA MGTAVCC_126 AM8 NA FLOAT U4 6 应用注意事项 6.1 电装注意事项 1) 植柱 CCGA 封装的电路产品, 需要确认是否已经完成植柱 若未植柱, 需要选取符合芯片 CCGA 球尺寸要求的焊柱, 然后选取符合芯片自身尺寸的网板, 进而将焊柱填充到选取的网板上,

52 最后通过刷膏处理将焊柱移植到芯片上 此过程一定要保证植柱的平整性, 如果植柱后 CCGA 表面凹凸不平, 在芯片使用时很容易造成芯片脱落, 严重降低电装工艺的可靠性 若已完成植球或植柱, 则需要检查焊球 焊柱的状态, 确认未因为挤压 碰撞导致变形 残缺 脱落 2) 电装前烘植球 植柱完成后需进行电装前烘 某些用户会在空气环境中进行电装前烘, 这样易导致焊盘氧化, 降低焊接质量 通常建议要经过三步 : 酒精擦拭 预烘 2h 等离子清洗 之后再将其贴装到 PCB 基板上 3)PCB 基板刷膏电装前, 需对 PCB 基板进行刷膏处理 焊膏主要依照用户自身需求进行选择, 如有铅 / 无铅 锡 / 铅含量 含银量 粘力强度 印刷精度等, 焊膏选取应符合电装行业标准要求 PCB 基本刷膏过程中需注意 : 焊膏用量需适当, 用量过多, 会造成球或柱与 PCB 基板焊接平面不平整 焊膏堆积 基板短路等问题 ; 用量过少, 会使电装焊点长期可靠性低 4) 回流焊通常使用智能回流焊炉的热风回流技术来进行焊接 用户应根据该类型器件的特性设置峰值温度和回流时间 峰值温度过低和回流时间过少, 焊点焊接强度不够, 严重降低电装焊接稳定性 峰值温度过高和回流时间过多, 容易使芯片本身受损 控制电路基板与 PCB 板之间的间距, 尽量减少电路基板在板级装联回流焊过程中经过高温时发生翘曲, 导致个别焊球与 PCB 板焊盘无法形成良好互连的风险 5) 电装生产线电装生产线应具备相应行业资质, 工艺成熟稳定, 人员操作规范, 原材料需严格检验并符合行业标准要求, 静电防护设施齐备, 器件取放 传递 储存均有静电和安全防护措施 6.2 产品防护 产品包装由无腐蚀的材料制成, 能导电或用抗静电材料涂敷过或浸渍过, 具备足够的抗静电能力 在避免雨 雪直接影响的条件下, 装有产品的包装箱可以用任何运输工具运输 但不能和带有酸性 碱性和其它腐蚀性物体堆放在一起

53 包装好的产品贮存环境应满足 Q/W 657A-2007 第 8.1 规定的 I 类库房条件要求 ( 温度 : 15 ~25, 湿度 :25%~65%), 周围没有酸 碱或其它腐蚀性气体, 通风良好, 且具备 相应防静电措施 6.3 研制生产单位联系方式 通信地址 : 北京市丰台区东高地四营门北路 2 号邮政编码 : 联系部门 : 市场二部电话 / 传真 : / FPGA 部谷羽电话 : /

版本控制页 版本号发布日期更改章节更改说明备注 V.. BQV 系列 FPG

版本控制页 版本号发布日期更改章节更改说明备注 V.. BQV 系列 FPG Ver. BQV 系列 FPG 产品使用手册 产品型号 :BQVSXT/ BQVSXT/ BQVSX9T/ BQVLXT/ BQVSXT BQV 系列 FPG 版本控制页 版本号发布日期更改章节更改说明备注 V.. BQV 系列 FPG 目录 产品特性... 产品概述... BQV 系列模块描述.... 输入 / 输出模块 (SelectIO).... 可配置逻辑块 (CLB).... Block

More information

Virtex-5 Family Overview

Virtex-5 Family Overview DS100 (v1.0) 2009 年 2 月 6 日 概述 Virtex -5 系列可提供 FPGA 市场中最新最强大的功能 Virtex-5 系列采用第二代高级芯片组合模块 (ASMBLTM) 列式架构, 包含 5 个截然不同的平台 ( 子系列 ), 是 FPGA 系列中选择最为丰富的系列 每个平台都拥有独特特性, 以满足诸多高级逻辑设计的需求 除最先进的高性能逻辑结构外,Vritex-5 FPGA

More information

EC(2013-1 4)13 第 2 頁 (b) 把 總 目 100 在 2013-14 年 度 常 額 編 制 內 所 有 非 首 長 級 職 位 按 薪 級 中 點 估 計 的 年 薪 總 值 上 限 提 高 12,480,540 元, 即 由 461,070,000 元 增 至 473,550

EC(2013-1 4)13 第 2 頁 (b) 把 總 目 100 在 2013-14 年 度 常 額 編 制 內 所 有 非 首 長 級 職 位 按 薪 級 中 點 估 計 的 年 薪 總 值 上 限 提 高 12,480,540 元, 即 由 461,070,000 元 增 至 473,550 EC(2013-1 4)13 財 務 委 員 會 人 事 編 制 小 組 委 員 會 討 論 文 件 2014 年 1 月 8 日 總 目 100- 海 事 處 分 目 000 運 作 開 支 總 目 92- 律 政 司 分 目 000 運 作 開 支 總 目 158- 政 府 總 部 : 運 輸 及 房 屋 局 ( 運 輸 科 ) 分 目 000 運 作 開 支 請 各 委 員 向 財 務 委 員

More information

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8 Version History 日期 版本 2016/8/4 1.00 2016/9/2 1.01 2016/11/29 1.02 2017/2/16 1.03 2017/6/9 1.04 2018/6/27 1.05 2018/8/8 1.06 新增 MG196 PBGA256S 封装信息 ; 修改 SSPI 模式的配置功能 ; 增加 QN88 封装信息 2019/3/27 1.07 增加电源供电要求

More information

全 國 教 育 實 習 資 訊 平 臺 師 資 培 育 之 大 學 操 作 手 冊 目 錄 壹 在 校 師 資 生 使 用 全 國 教 育 實 習 資 訊 平 臺 之 前 置 作 業... 1 貳 使 用 者 註 冊 登 入 說 明... 4 一 加 入 會 員... 4 二 使 用 者 登 錄... 7 參 承 辦 人 員 資 料 管 理... 8 肆 校 內 公 布 欄... 9 伍 各 項 資

More information

要 求 服 装 统 一 各 队 自 带 比 赛 球 槌 队 长 及 教 练 标 志 大 会 提 供 比 赛 用 球 和 号 码 布 ( 五 ) 比 赛 所 用 球 槌 须 为 中 国 门 球 协 会 2016 年 度 专 业 器 材 供 应 商 企 业 的 产 品, 企 业 名 称 和 品 牌 请

要 求 服 装 统 一 各 队 自 带 比 赛 球 槌 队 长 及 教 练 标 志 大 会 提 供 比 赛 用 球 和 号 码 布 ( 五 ) 比 赛 所 用 球 槌 须 为 中 国 门 球 协 会 2016 年 度 专 业 器 材 供 应 商 企 业 的 产 品, 企 业 名 称 和 品 牌 请 竞 赛 规 程 一 比 赛 时 间 和 地 点 时 间 :2016 年 8 月 7 日 至 13 日 地 点 : 湖 北 省 利 川 市 二 竞 赛 织 指 导 单 位 : 中 国 门 球 协 会 主 办 单 位 : 中 国 门 球 协 会 门 球 之 苑 编 辑 部 利 川 市 人 民 政 府 承 办 单 位 : 湖 北 省 门 球 协 会 恩 施 州 老 年 人 体 育 协 会 利 川 市 文

More information

untitled

untitled XILINX Platform Cbale USB www.hseda.com ...... Platform Cable USB Compatible.................. impact.........1 platform Cable USB Compatible.........1...1...1...1...1...1 Platform Cable USB Compatible

More information

才俊學校課程設計 _總目_.PDF

才俊學校課程設計 _總目_.PDF ( 2002.1.4) 1 2 3 / [ ] 4 0-2 2-7 7-11 11-15 1) 2)3) 4) / / / 6-7 7-8 8-9 9-10 10-11 11-12 12-13 13-14 14-15 15-16 3 3.5 4 4.5 5 5.5 6 6.5 7 7.5 20 ] 50-53,133-166 5 1. ( ) 2. ( ) 3. ( ) 4. ( / / / / )

More information

Basic Virtex-II Architecture

Basic Virtex-II Architecture Virtex-II 基本架构 目标 完成此模块的学习后 你将会 了解 Virtex-II FPGA 的基本架构资源 Virtex-II 基本架构 - 2-3 概览 综述 CLB 资源 I/O 资源 Virtex-II 的其它特性 Virtex-II Pro 的特性 总结 附录 Virtex-II 基本架构 - 2-4 FPGA 架构的综述 Xilinx 所有的 FPGA 都包含有相同的基本资源 可配置逻辑块

More information

版本控制页 版本号发布日期更改章节更改说明备注 V V 添加上电顺序和上电时间的描述 2. 更新 BQ2V3000-BGA728 图纸 V 补充塑封电装注意事项 V 附录 1 1.

版本控制页 版本号发布日期更改章节更改说明备注 V V 添加上电顺序和上电时间的描述 2. 更新 BQ2V3000-BGA728 图纸 V 补充塑封电装注意事项 V 附录 1 1. Ver 2.8 BQ2V 系列军用 PGA 产品使用手册 产品型号 : 版本控制页 版本号发布日期更改章节更改说明备注 V1.0 2014.7 V2.0 2015.10 4 7.4 1. 添加上电顺序和上电时间的描述 2. 更新 BQ2V3000-BGA728 图纸 V2.1 2016.9 8.3 1. 补充塑封电装注意事项 V2.2 2017.6 2 附录 1 1. 修正最大用户 IO 数 2.

More information

杭州言曼科技有限公司 CB01 子卡说明文档 ( 对外发布 ) CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别 CB01 标配版本和低成本区别 CB01 结构信息

杭州言曼科技有限公司 CB01 子卡说明文档 ( 对外发布 )   CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别 CB01 标配版本和低成本区别 CB01 结构信息 CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别... 2 2. CB01 标配版本和低成本区别... 2 3. CB01 结构信息... 3 4. CB01 功耗信息... 3 5. LED 显示... 3 6. 按键... 4 7. 拨码开关... 4 8. USB 串口 - 型号 :CP2102... 4 9. 输入时钟... 4 10. I2C

More information

<313034A4BDB67DA4C0B56FBA5DB3E65FBD64A5BB2E786C7378>

<313034A4BDB67DA4C0B56FBA5DB3E65FBD64A5BB2E786C7378> 科 別 : 國 文 科 (A 區 ) 分 發 16 名 1 600110129 黃 毅 潔 國 立 豐 原 高 級 商 業 職 業 學 校 2 600110446 鄭 安 芸 國 立 南 投 高 級 中 學 3 600110632 李 孟 毓 桃 園 市 立 大 園 國 際 高 級 中 學 4 600110492 洪 珮 甄 南 投 縣 立 旭 光 高 級 中 學 5 600110262 柯 懿 芝

More information

半导体集成电路——TTL电路产品手册模板

半导体集成电路——TTL电路产品手册模板 Ver 1.4 通用智能刷新控制电路 产品使用手册 产品型号 :BSV1CQRH 版本控制页 版本号 发布日期 更改章节 更改说明 备注 1.0 2016.4 1.1 2016.6 附录 1 增加注意事项 1.2 2017.7 应用说明 修订 i_done_fpga 说明及 JTAG 链路设 计说明 1.3 2018.2 更换格式 1.4 2018.8 七. 应用注 意事项 增加电源要求 2 目录

More information

目 录 表 1.A01: 农 业 ; 林 业 ; 畜 牧 业 ; 狩 猎 ; 诱 捕 ; 捕 鱼 (253 件 )... 5 表 2.A21: 焙 烤 ; 制 作 或 处 理 面 团 的 设 备 ; 焙 烤 用 面 团 (5 件 )... 14 表 3.A22: 屠 宰 ; 肉 品 处 理 ; 家

目 录 表 1.A01: 农 业 ; 林 业 ; 畜 牧 业 ; 狩 猎 ; 诱 捕 ; 捕 鱼 (253 件 )... 5 表 2.A21: 焙 烤 ; 制 作 或 处 理 面 团 的 设 备 ; 焙 烤 用 面 团 (5 件 )... 14 表 3.A22: 屠 宰 ; 肉 品 处 理 ; 家 2015 年 底 甘 肃 省 有 效 发 明 专 利 简 明 信 息 前 言 十 二 五 期 间 我 省 实 施 知 识 产 权 战 略 成 效 显 著, 五 年 专 利 申 请 受 理 量 51128 件, 是 十 一 五 期 间 11480 件 的 4.45 倍 ;2015 年 底 有 效 发 明 实 用 新 型 和 外 观 设 计 专 利 18327 件, 是 2010 年 5318 件 的

More information

j_xilinx-training-courses_2012.pdf

j_xilinx-training-courses_2012.pdf Xilinx Training Catalog ... 2... 3-7 FPGA ISE... 8 FPGA... 9 FPGA... 10 FPGA... 11 Spartan-6... 12 Virtex-6... 13 7 FPGA... 14 PlanAhead... 15 PlanAhead... 16 ChipScope Pro... 17... 18... 19... 20 LogiCORE

More information

FPGAs in Next Generation Wireless Networks WPChinese

FPGAs in Next Generation Wireless Networks WPChinese FPGA 2010 3 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 FPGAs in Next Generation Wireless Networks GSM GSM-EDGE 384kbps CDMA2000

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

Electrical and Optical Clock Data Recovery Solutions - Data Sheet

Electrical and Optical Clock Data Recovery Solutions - Data Sheet 32 GBd N1076A 32 GBd N1077A / 64 GBd N1076B 64 GBd N1078A / 64 GBd NRZ PAM4 O/E < 100 fs RMS JSA PLL ...3...4...4...5 /...6...8...11 N1076A...12 N1076B DCA-M...13 N1077A...15 N1078A DCA-M...17...21...

More information

小儿疾病防治(四).doc

小儿疾病防治(四).doc ...1...3...6...10...12...13...14...15...17...20...21...22...23...23...24...25 B...28...31...32 I ...33...35...37...40...41...43 X...44...45...47...49...50...52...52...54...56...57...59...61...62...62...63...66

More information

(1) (2) (3) 1. (1) 2

(1) (2) (3) 1. (1) 2 0386 71.32% 14A 1 (1) (2) (3) 1. (1) 2 (a) (b) (i) (ii) (iii) 3 (iv) (a) (b) (c) (d) 6% 4 2013 3 26 [2013]624 10 5 2013 6 28 [2013]1246 2015 3 [2015]351 0.2 6 [2015]748 180C 7 * * 8 14A (2) 417,800,000

More information

(1) 64 15 2062 50 8 818 60 41606 63 8305 53 3 11201 38 10 216C 2012815 2012815 2012815 2012815 2012815 201464 200211 20128 20128 20128 20128 20146 4 2

(1) 64 15 2062 50 8 818 60 41606 63 8305 53 3 11201 38 10 216C 2012815 2012815 2012815 2012815 2012815 201464 200211 20128 20128 20128 20128 20146 4 2 (1) 51 41 49 6 6 7 161 4 27 338 2012815 2012815 2012815 200712 20093 20086 211 (1) 64 15 2062 50 8 818 60 41606 63 8305 53 3 11201 38 10 216C 2012815 2012815 2012815 2012815 2012815 201464 200211 20128

More information

HWD900参数手册

HWD900参数手册 C S M T HWDF16P HWDF16P 参数手册 1. 概述 华微公司 HWDF16P 全仿国外 Xilinx 公司 XCF16P 产品 华微公司推出的军品级 HWDF16P 是一款 16Mbit 在系统可编程可配置 Flash 它是 1.8V 可重写的 PROM, 可以满足在全军范围工作的系统以可靠的非挥发性方式来存储大量 FPGA 配置数据流的要求 当 FPGA 工作在主串行模式 (Master

More information

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010)

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010) ,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN 7-5635-1099-0...............TP36 CIP (2005)076733 : ( 10 ) :100876 : (010 )62282185 : (010)62283578 : publish@bupt.edu.cn : : : 787 mm960 mm 1/

More information

PCI Express

PCI Express PCI Express 1-Gigabit Wall Chip-to-Chip Line Card Interconnect PL2 PCI PL3 SFI-4/SPI-4 SFI-5/SPI-5 2.488-3.125 Gbps 16 ch 3GIO I/O Interconnect Rapid I/O (Parallel) HyperTransport Rapid I/O (Serial) 1.25,

More information

untitled

untitled 2016 148 1 8 7 08:00 16:00 http://zj.sceea.cn www.sceea.cn APP 1 2 2 6 6 2016 2016 8 6 3 2016 2016 2016 0366 1 03 1 0391 2 54 ( ) 2 1256 7 02 1 03 1 07 2 18 2 21 1 1314 1 36 1 14000 / 20 1316 7 00 1 09

More information

版本控制页 版本号发布日期更改章节更改说明备注 V V V 附录 3 完善上电说明,CCLK 说明, 上电以及配 置过程中 I/O 端口状态说明 V 区分最大可用 IO 数与用户可用 IO 数 V

版本控制页 版本号发布日期更改章节更改说明备注 V V V 附录 3 完善上电说明,CCLK 说明, 上电以及配 置过程中 I/O 端口状态说明 V 区分最大可用 IO 数与用户可用 IO 数 V Ver 3.4 抗辐照 30 万门单粒子加固 FPGA 产品使用手册 产品型号 :BQVR300RH 版本控制页 版本号发布日期更改章节更改说明备注 V1.0 2014.7.27 V2.0 2015.10 V3.0 2016.10 附录 3 完善上电说明,CCLK 说明, 上电以及配 置过程中 I/O 端口状态说明 V3.1 2017.7 区分最大可用 IO 数与用户可用 IO 数 V3.2 2018.2

More information

二零零六年一月二十三日會議

二零零六年一月二十三日會議 附 件 B 有 关 政 策 局 推 行 或 正 在 策 划 的 纾 缓 及 预 防 贫 穷 措 施 下 文 载 述 有 关 政 策 局 / 部 门 为 加 强 纾 缓 及 预 防 贫 穷 的 工 作, 以 及 为 配 合 委 员 会 工 作, 在 过 去 十 一 个 月 公 布 及 正 在 策 划 的 新 政 策 和 措 施 生 福 利 及 食 物 局 (i) 综 合 儿 童 发 展 服 务 2.

More information

马太亨利完整圣经注释—雅歌

马太亨利完整圣经注释—雅歌 第 1 页 目 录 雅 歌 简 介... 2 雅 歌 第 一 章... 2 雅 歌 第 二 章... 10 雅 歌 第 三 章... 16 雅 歌 第 四 章... 20 雅 歌 第 五 章... 25 雅 歌 第 六 章... 32 雅 歌 第 七 章... 36 雅 歌 第 八 章... 39 第 2 页 雅 歌 简 介 我 们 坚 信 圣 经 都 是 神 所 默 示 的 ( 提 摩 太 后 书

More information

厨房小知识(四)

厨房小知识(四) I...1...2...3...4...4...5...6...6...7...9...10... 11...12...12...13...14...15...16...17...18...18...19...22...22 II...23...24...25...26...27...27...28...29...29...30...31...31?...32...32...33?...33...34...34...35...36...36...37...37...38...38...40

More information

妇女更年期保健.doc

妇女更年期保健.doc ...1...2...3...5...6...7 40...8... 11...13...14...16...17...19...20...21...26...29...30...32 I ...34...35...37...41...46...50...51...52...53...54...55...58...64...65 X...67...68...70...70...74...76...78...79

More information

小儿传染病防治(上)

小儿传染病防治(上) ...1...2...3...5...7...7...9... 11...13...14...15...16...32...34...34...36...37...39 I ...39...40...41...42...43...48...50...54...56...57...59...59...60...61...63...65...66...66...68...68...70...70 II

More information

<4D6963726F736F667420576F7264202D2031303430333234B875B9B5A448ADFBBADEB27AA740B77EA4E2A5555FA95EAED6A641ADD75F2E646F63>

<4D6963726F736F667420576F7264202D2031303430333234B875B9B5A448ADFBBADEB27AA740B77EA4E2A5555FA95EAED6A641ADD75F2E646F63> 聘 僱 人 員 管 理 作 業 參 考 手 冊 行 政 院 人 事 行 政 總 處 編 印 中 華 民 國 104 年 3 月 序 人 事 是 政 通 人 和 的 關 鍵 是 百 事 俱 興 的 基 礎, 也 是 追 求 卓 越 的 張 本 唯 有 人 事 健 全, 業 務 才 能 順 利 推 動, 政 府 施 政 自 然 績 效 斐 然 本 總 處 做 為 行 政 院 人 事 政 策 幕 僚 機

More information

女性青春期保健(下).doc

女性青春期保健(下).doc ...1...4...10... 11...13...14...15...17...18...19...20...21...22...23...24...26...27...30...31 I ...32...33...36...37...38...40...41...43...44...45...46...47...50...51...51...53...54...55...56...58...59

More information

避孕知识(下).doc

避孕知识(下).doc ...1...3...6...13...13...14...15...16...17...17...18...19...19...20...20...23...24...24...25 I ...25...26...26...27...28...28...29...30...30...31...32...34...35 11...36...37...38...40...42...43...44...44...46

More information

孕妇饮食调养(下).doc

孕妇饮食调养(下).doc ...1...2...5...9 7...9...14...15...16...18...22...23...24...25...27...29...31...32...34 I ...35...36...37...39...40...40...42...44...46...48...51...52...53...53...54...55...56...56...58...61...64 II ...65...66...67...68...69...70...71...72...73...74...75...76...77...80...83...85...87...88

More information

禽畜饲料配制技术(一).doc

禽畜饲料配制技术(一).doc ( ) ...1...1...4...5...6...7...8...9...10... 11...13...14...17...18...21...23...24...26 I ...28 70...30...33...35...36...37...39...40...41...49...50...52...53...54...56...58...59...60...67...68...70...71

More information

中老年保健必读(十一).doc

中老年保健必读(十一).doc ...1...2...4...6...8...9...10...12...14...15...17...18...20...22...23...25...27...29 I ...30...32...35...38...40...42...43...45...46...48...52...55...56...59...62...63...66...67...69...71...74 II ...76...78...79...81...84...86...87...88...89...90...91...93...96...99...

More information

i

i i ii iii iv v vi 1 2 3 4 5 (b) (a) (b) (c) = 100% (a) 6 7 (b) (a) (b) (c) = 100% (a) 2 456 329 13% 12 120 7.1 0.06% 8 9 10 11 12 13 14 15 16 17 18 19 20 (a) (b) (c) 21 22 23 24 25 26 27 28 29 30 31 =

More information

怎样使孩子更加聪明健康(七).doc

怎样使孩子更加聪明健康(七).doc ...1...2...2...4...5 7 8...6...7...9 1 3... 11...12...14...15...16...17...18...19...20...21...22 I II...23...24...26 1 3...27...29...31...31...33...33...35...35...37...39...41...43...44...45 3 4...47...48...49...51...52

More information

i

i i ii iii iv v vi 1 g j 2 3 4 ==== ==== ==== 5 ==== ======= 6 ==== ======= 7 ==== ==== ==== 8 [(d) = (a) (b)] [(e) = (c) (b)] 9 ===== ===== ===== ===== ===== ===== 10 11 12 13 14 15 16 17 ===== [ ] 18 19

More information

(Microsoft Word -

(Microsoft Word - 摘 要 芶 芡 在 食 物 的 烹 調 上 經 常 被 使 用, 而 芶 芡 就 是 澱 粉 類 的 一 種 糊 化 過 程, 芶 芡 可 以 提 高 菜 類 的 持 水 能 力, 有 柔 軟 滑 嫩 爽 口 的 功 用, 本 實 驗 主 要 研 究 影 響 芶 芡 的 濃 稠 度 因 素, 實 驗 大 致 上 分 三 段, 一 找 出 市 面 上 可 以 芶 芡 的 物 質 以 及 不 同 品 牌

More information

Intel® Cyclone® 10 LP器件概述

Intel®  Cyclone® 10 LP器件概述 订阅 反馈 官网最新文档 :PDF HTML 内容 内容 Cyclone 10 LP 器件概述...3 Cyclone 10 LP 特性汇总... 4 Cyclone 10 LP 可用选项... 5 Cyclone 10 LP 最大资源...6 Cyclone 10 LP 封装规划... 6 Cyclone 10 LP I/O 纵向移植...7 逻辑单元和逻辑阵列模块...7 嵌入式乘法器...8

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63> 嵌 入 式 系 统 设 计 师 考 试 大 纲 一 考 试 说 明 1 考 试 要 求 : (1) 掌 握 科 学 基 础 知 识 ; (2) 掌 握 嵌 入 式 系 统 的 硬 件 软 件 知 识 ; (3) 掌 握 嵌 入 式 系 统 分 析 的 方 法 ; (4) 掌 握 嵌 入 式 系 统 设 计 与 开 发 的 方 法 及 步 骤 ; (5) 掌 握 嵌 入 式 系 统 实 施 的 方 法

More information

Xilinx XAPP453 Spartan-3 FPGA 的 3.3V 配置应用指南

Xilinx XAPP453 Spartan-3 FPGA 的 3.3V 配置应用指南 应用指南 : 系列 XAPP453 (v1.1) 2006 年 4 月 3 日 的 配置作者 : Kim Goldblatt 提要 本应用指南提供了一种方法可从 接口对 Spartan -3 和 L 进行配置 它针对每种配置模式都提供了一组经验证的连接框图 这些框图是完整且可直接使用的解决方案 简介 配置和 JTAG 引脚 通过将位图数据写进配置端口, 配置过程将用户的设计写进 就 和 Spartan-

More information

Word Pro - FPGA设计高级技巧(Xilinx篇).lwp

Word Pro - FPGA设计高级技巧(Xilinx篇).lwp V1.0 FPGA 62 FPGA ( ) 2001/09/15 yyyy/mm/dd yyyy/mm/dd FPGA 2001/09/1 5 1.00 2001-9-19 263 FPGA 1... 8 2... 8 2.1... 9 2.2... 10 2.3 Coding Style... 10 3 FPGA VirtexII... 10 3.1 Coding Style... 11 3.1.1

More information

Ps22Pdf

Ps22Pdf ( ) ( 150 ) 25 15 20 40 ( 25, 1, 25 ), 1. A. B. C. D. 2. A. B. C. D. 3., J = 1 H = 1 ( A B, J', J, H ) A. A = B = 1, J' =0 B. A = B = J' =1 C. A = J' =1, B =0 D. B = J' = 1, A = 0 4. AB + AB A. AB B. AB

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

Ps22Pdf

Ps22Pdf : : : ( CIP) /. :, 2007. 1 ( ) ISBN 978-7 - 80178-435 - 3......... - -. K892 CIP ( 2007 ) 000250 : : : : : 2007 1 1 2007 1 1 : 880 1230 : 100 : 1500 : 3000 : 268. 00 ( : 26. 80 ) : 41 : 100009 : 84044445

More information

专科疾病诊治(二十)

专科疾病诊治(二十) ...1... 11...19...32...43...50...52...53...58...61...64...66...69...84...89...92...95 I ...97... 100... 103... 107... 109 AD...111... 125... 128... 131... 135... 138... 140... 143... 146... 149... 152...

More information

1 2 / 3 1 A (2-1) (2-2) A4 6 A4 7 A4 8 A4 9 A ( () 4 A4, A4 7 ) 1 (2-1) (2-2) ()

1 2 / 3 1 A (2-1) (2-2) A4 6 A4 7 A4 8 A4 9 A ( () 4 A4, A4 7 ) 1 (2-1) (2-2) () (39mm E-Mail ( )( ), : : 1 1 ( ) 2 2 ( ) 29mm) WSK ( 1 2 / 3 1 A4 2 1 3 (2-1) 2-1 4 (2-2) 2-2 5 A4 6 A4 7 A4 8 A4 9 A4 10 11 ( () 4 A4, 5 6 7 8 A4 7 ) 1 (2-1) (2-2) () 1 2 (2-1) 3 (2-2) 4 5 6 7 (8 ) 9

More information

儿童饮食营养与健康(五).doc

儿童饮食营养与健康(五).doc ...1 A...1...3...4...5...6...10...13...15...17...19...21...22...24...26...27...28...30...31 I ...32...33...35...36...37 S...39...40 1...41 1 3...42 1 3...43 1 1...44...45...47...47...49...51...54...55...56...57...59...62

More information

untitled

untitled 2016 134 1 7 28 19:00 29 16:00 http://zj.sceea.cn www.sceea.cn APP 1 2 2 6 6 2016 2016 7 28 3 2016 2016 2016 4266 1 02 1 5059 1 01 1 5161 1 08 1 5179 64 05 64 5188 31 23 31 5651 63 47 63 3654 5 D0 5 3699

More information

Quidway® S8500系列万兆核心路由交换机

Quidway®  S8500系列万兆核心路由交换机 Quidway NetEngine 20/20E 系列路由器订购信息 1 NetEngine 20-8/4/2 订购信息表 主机系统 RT-NE20-8-CHASSIS 5U 高度路由器机箱 必配 RT-NE20-4-CHASSIS 3U 高度路由器机箱 (NE20-4) 必配 RT-NE20-2-CHASSIS 3U 高度路由器机箱 (NE20-2) 必配 RT-NE20-RPU-512M 路由处理单元

More information

Copyright 2009 Hewlett-Packard Development Company, L.P. 本 文 档 中 包 含 的 信 息 如 有 更 改, 恕 不 另 行 通 知 Microsoft Windows 和 Windows Vista 是 Microsoft Corporat

Copyright 2009 Hewlett-Packard Development Company, L.P. 本 文 档 中 包 含 的 信 息 如 有 更 改, 恕 不 另 行 通 知 Microsoft Windows 和 Windows Vista 是 Microsoft Corporat 硬 件 参 考 指 南 HP Compaq 8100 Elite 可 转 换 小 型 立 式 商 用 PC 和 HP Z200 可 转 换 小 型 立 式 工 作 站 Copyright 2009 Hewlett-Packard Development Company, L.P. 本 文 档 中 包 含 的 信 息 如 有 更 改, 恕 不 另 行 通 知 Microsoft Windows 和 Windows

More information

国防常识

国防常识 ...1...14...14...18...19...26...28...30...31 97...40...40...41...42 ()...43...43...44...44...45...46 I ...47...47...48...49...49...52...53...54...54...55...57...58...59...61...62...62...64...66...68...69...72

More information

4 / ( / / 5 / / ( / 6 ( / / 7 1 2 / 3 ( 4 ( 2003 8 ( 2

4 / ( / / 5 / / ( / 6 ( / / 7 1 2 / 3 ( 4 ( 2003 8 ( 2 : / ( 6 (2003 8 : ( 1 ( ( / / (,, ( ( - ( - (39mm 29mm 2 ( 1 2 3-6 3 6-24 6-48 12-24 8-12 WSK / WSK WSK 1 4 / ( / / 5 / / ( / 6 ( / / 7 1 2 / 3 ( 4 ( 2003 8 ( 2 9 5 ( 10 3 11 / (600 4 5 AA 710 AB 720 730

More information

72075(BOC A Share)_入cover同back cover.indb

72075(BOC A Share)_入cover同back cover.indb 2015 32 3 20142014 2015630 201516 2014 1 2015630 20141231 73,858 85,123 (1) 1,651,951 1,727,805 (2) 126,744 158,224 (3) 396,199 420,059 2,248,752 2,391,211 (1) 2015630 18.5%2014123120.0%5.0%2014 12315.0%

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

untitled

untitled 19.01 19.02 19.03 [ 2009 1 1 ] 19.04 (1) 19.29 19.73 19.72 (c) (d) 200% (e) 1/13 19 1 (i) 20.06(3) 19.04(8) 20.06(17) (ii) (iii) 19.04(8) 20.06(17) (A) (aa) 1 (bb) (B) (f) (i) 19.04(1)(g) (ii) (iii) (A)

More information

Virtex-5 ——业界最高性能的平台 FPGA

Virtex-5 ——业界最高性能的平台 FPGA Virtex-5 业界最高性能的平台 FPGA 全球首批 65 纳米 FPGA 重新界定性能 功耗 容量以及价值标杆 作者 :Steve Douglass Xilinx 公司高级产品部产品开发副总裁 为了适应科技发展和市场竞争的需要, 系统设计者不断寻求更短的上市时间, 更高的性能和更低的成本 尤其是对于 FPGA 用户而言, 更短的上市时间 更丰富的功能 支持各种不断发展的标准 更低的风险 现场可升级性以及更低的系统成本等,

More information

新婚夫妇必读(二).doc

新婚夫妇必读(二).doc ... 1...1...2...5...5...6...9...10...12...14...15...17...21...25...27...33...35...36...42 I II...45...47...49...52...54...56...63...70...73...74...77...81...82...86...88...95...97...99... 103... 108...111

More information

United Nations Convention Against Transnational Organized Crime and the Protocols thereto

United Nations Convention Against Transnational Organized Crime and the Protocols thereto 联 合 国 打 击 跨 国 有 组 织 犯 罪 公 约 及 其 议 定 书 联 合 国 联 合 国 毒 品 和 犯 罪 问 题 办 事 处 维 也 纳 联 合 国 打 击 跨 国 有 组 织 犯 罪 公 约 及 其 议 定 书 联 合 国 2004 年, 纽 约 前 言 通 过 于 2000 年 12 月 在 意 大 利 巴 勒 莫 签 署 联 合 国 打 击 跨 国 有 组 织 犯 罪 公 约,

More information

FPGA核心板 用户手册

FPGA核心板 用户手册 FPGA 核心板用户手册 AC7100 REV 1.0 版 芯驿电子科技 ( 上海 ) 有限公司 黑金动力社区 目录 ( 一 ) 简介... 3 ( 二 ) FPGA... 5 ( 三 ) 有源差分晶振... 6 ( 四 ) DDR3... 8 ( 五 ) QSPI Flash... 12 ( 六 ) LED 灯... 14 ( 七 ) 复位按键... 15 ( 八 ) JTAG 接口... 16

More information

ARM JTAG实时仿真器安装使用指南

ARM JTAG实时仿真器安装使用指南 ARM JTAG Version 1.31 2003. 11. 12 ARM JTAG ARM JTAG.3 ARM 2.1.4 2.2.4 ARM JTAG 3.1 18 3.2 18 3.2.1 Multi-ICE Server.18 3.2.2 ADS..21 ARM JTAG 4.1 Multi-ICE Server 33 4.1.1 Multi-ICE Server..... 33 4.1.2

More information

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas 目录 1 IPv6 快速转发 1-1 1.1 IPv6 快速转发配置命令 1-1 1.1.1 display ipv6 fast-forwarding aging-time 1-1 1.1.2 display ipv6 fast-forwarding cache 1-1 1.1.3 ipv6 fast-forwarding aging-time 1-3 1.1.4 ipv6 fast-forwarding

More information

Xilinx Alliance Program Certified GJVZsIPb3 IPb3pg(lwE & by2eh;[d)y IP ROM

Xilinx Alliance Program Certified GJVZsIPb3 IPb3pg(lwE & by2eh;[d)y IP ROM Xilinx Alliance Program Certified IPb3pg(lwE & by2eh;[d)y IP ROM NVMe SSD FPGA!! NVMe-IP 32G bps Gen3 x 4Lane IP CPUNVMe PCIe SSD 4GB/sec, PCIe Gen3 2ch RAID CPU FAT32 PLDAPCIe Soft IP!! Linux Gen3 PCIe

More information

一 敬 拜 诗 歌 二 灵 修 读 经 - 传 道 书 第 五 章 在 神 前 存 敬 畏 的 心 Ecc 5:1 你 到 神 的 殿 要 谨 慎 脚 步 ; 因 为 近 前 听, 胜 过 愚 昧 人 献 祭 ( 或 作 : 胜 过 献 愚 昧 人 的 祭 ), 他 们 本 不 知 道 所 做 的

一 敬 拜 诗 歌 二 灵 修 读 经 - 传 道 书 第 五 章 在 神 前 存 敬 畏 的 心 Ecc 5:1 你 到 神 的 殿 要 谨 慎 脚 步 ; 因 为 近 前 听, 胜 过 愚 昧 人 献 祭 ( 或 作 : 胜 过 献 愚 昧 人 的 祭 ), 他 们 本 不 知 道 所 做 的 第 一 九 三 天 2015-08-19 一 敬 拜 诗 歌 给 我 清 洁 的 心 二 灵 修 读 经 传 道 书 第 5 章 三 旧 约 行 程 约 伯 记 第 38-40 章 四 新 约 行 程 歌 罗 西 书 第 1 章 五 每 日 灵 粮 第 1 页 一 敬 拜 诗 歌 二 灵 修 读 经 - 传 道 书 第 五 章 在 神 前 存 敬 畏 的 心 Ecc 5:1 你 到 神 的 殿 要

More information

CG250englisch (Page 1)

CG250englisch (Page 1) 2 ZF-Duoplan 2K ZF-Servoplan PG ZF-Servoplan CG ZF-Tiratron ZF-Ecolift ZF-Servoplan PGE ZF-Servoplan WT 3 Servoplan SWG SERVOPLAN SWG 4 1. 2. 3. 4. 5. 6. 7. 8. SWG 5 5 1 3 4 6 2 7 SWG 30 N1 6000 i S5 4000

More information

(Chi)_.indb

(Chi)_.indb 1,000,000 4,000,000 1,000,000 10,000,000 30,000,000 V-1 1,000,000 2,000,000 20,000,00010,000,0005,000,000 3,000,000 30 20% 35% 20%30% V-2 1) 2)3) 171 10,000,00050% 35% 171 V-3 30 V-4 50,000100,000 1) 2)

More information

14A 0.1%5% 14A 14A.52 1 2 3 30 2

14A 0.1%5% 14A 14A.52 1 2 3 30 2 2389 30 1 14A 0.1%5% 14A 14A.52 1 2 3 30 2 (a) (b) (c) (d) (e) 3 (i) (ii) (iii) (iv) (v) (vi) (vii) 4 (1) (2) (3) (4) (5) 400,000 (a) 400,000300,000 100,000 5 (b) 30% (i)(ii) 200,000 400,000 400,000 30,000,000

More information

穨_2_.PDF

穨_2_.PDF 6 7.... 9.. 11.. 12... 14.. 15.... 3 .. 17 18.. 20... 25... 27... 29 30.. 4 31 32 34-35 36-38 39 40 5 6 : 1. 2. 1. 55 (2) 2. : 2.1 2.2 2.3 3. 4. ( ) 5. 6. ( ) 7. ( ) 8. ( ) 9. ( ) 10. 7 ( ) 1. 2. 3. 4.

More information

2005.book

2005.book ...4... 4... 7...10... 10... 10... 10... 10... 11... 11 PCC... 11 TB170... 12 /... 12...13... 13 BP150 / BP151 / BP152 / BP155... 14...15... 15... 15... 15... 15... 15... 15... 16 PS465 / PS477... 17 PS692

More information

女性减肥健身(四).doc

女性减肥健身(四).doc ...1...2...3...4...6...7...8...10... 11...14...16...17...23...25...26...28...30...30 I ...31 10...33...36...39...40...42...44...47...49...53...53 TOP10...55...58...61...64...65...66...68...69...72...73

More information

<4D F736F F F696E74202D20B5DA35D5C2CEA2B4A6C0EDC6F7B9A4D7F7D4ADC0ED2E707074>

<4D F736F F F696E74202D20B5DA35D5C2CEA2B4A6C0EDC6F7B9A4D7F7D4ADC0ED2E707074> 第 5 章 微处理器工作原理 1 5.1 8086 处理器 2 1. 管脚定义 3 8086/88 管脚描述 8086:16 位微处理器, 16 位外部数据总线 8088:16 位微处理器, 8 位外部数据总线 GND AD14 AD13 AD12 AD11 AD10 AD9 AD8 AD7 AD6 AD5 AD4 AD3 AD2 AD1 AD0 NMI INTR CLK GND 1 2 3 4 5

More information

2015 2002 2 11 2002 2 11 346 2005 1 1 2015 4 10 2015 3 10 2015 4 10 2005 1 1 2015 4 10 2015 4 10 86 2000 7 25 2000 9 1 100,000 87 2012 6 18 50% 1995 3 18 2015 12 27 2016 6 1 2003 9 1 2013 6 29 2004 4 1

More information

前言

前言 FPGA/CPLD FPGA/CPLD FPGA/CPLD FPGA/CPLD FPGA/CPLD 1.1 FPGA/CPLD CPLD Complex Programable Logic Device FPGA Field Programable Gate Array 1.3 CPLD/FPGA PLD PLD ASIC PLD PLD PLD FPGA PLD 7032LC 3 PLD 70 1

More information

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC LCD PIC 单片机解决方案 集成 LCD 驱动器模块的 PIC 单片机 www.microchip.com/lcd ,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC,

More information

新生儿护理(下).doc

新生儿护理(下).doc ...1...1...5...8...9...12...28 BB...30 17...31...38...40...43...45...46...49...52...54...57...60 I ...62...65...69...70...77...80 72...81...82...85...89...90...92...94...95...95... 101... 102... 103...

More information

Microsoft Word - Delta Controller ASCII_RTU_SC

Microsoft Word - Delta Controller ASCII_RTU_SC Delta Controller ASCII/RTU ( 适用台达变频器 伺服驱动器 PLC 温度控制器 ) 人机默认值通讯速率 :9600, 7, None, 2 (ASCII); 9600, 8, None, 2 (RTU) 控制器站号 :1 控制区 / 状态区 :None/None 控制器接线的说明 Delta Servo a. RS-232(DOP-A/AE/AS, DOP-B 系列适用 )

More information

ii

ii * 1 11 12 28 H 183 17M 24 * ........................................................................ ii.................................................................. 1............................................................

More information

钢铁金相图谱

钢铁金相图谱 !""# $ ! "# "# "# $! $% & &" () (( (( (* *) *) *" *& *% % % %( #) # #!))!)&!)&!)*!!!!!!$! )!"!!"!!"&!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!! !"#!"$!%&!$!$!$(!)"!)#!)*!(!(!(%!(#!((!(*!*&!*!*%!*%!*#!*$!*)

More information

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas 目录 1 IPv6 快速转发 1-1 1.1 IPv6 快速转发配置命令 1-1 1.1.1 display ipv6 fast-forwarding aging-time 1-1 1.1.2 display ipv6 fast-forwarding cache 1-1 1.1.3 ipv6 fast-forwarding aging-time 1-3 1.1.4 ipv6 fast-forwarding

More information

版本控制页 版本号发布日期更改章节更改说明备注 第六章增加 6.1 节 质量等级及执行标准 第六章增加 6.3 节 贮存条件 附录 2 增加典型应用电路说明 更改全文格式 1

版本控制页 版本号发布日期更改章节更改说明备注 第六章增加 6.1 节 质量等级及执行标准 第六章增加 6.3 节 贮存条件 附录 2 增加典型应用电路说明 更改全文格式 1 Ver 1.3 抗辐照高可靠 64 路模拟开关电路 产品使用手册 产品型号 :BM2720MQRH 版本控制页 版本号发布日期更改章节更改说明备注 1.0 2013.9 1.1 2014.9 第六章增加 6.1 节 质量等级及执行标准 第六章增加 6.3 节 贮存条件 1.2 2015.9 附录 2 增加典型应用电路说明 1.3 2018.2 更改全文格式 1 目 录 1 产品特性... 1 2 产品概述...

More information

南華大學數位論文

南華大學數位論文 南 華 大 學 哲 學 與 生 命 教 育 學 系 碩 士 論 文 呂 氏 春 秋 音 樂 思 想 研 究 研 究 生 : 何 貞 宜 指 導 教 授 : 陳 章 錫 博 士 中 華 民 國 一 百 零 一 年 六 月 六 日 誌 謝 論 文 得 以 完 成, 最 重 要 的, 是 要 感 謝 我 的 指 導 教 授 陳 章 錫 博 士, 老 師 總 是 不 辭 辛 勞 仔 細 閱 讀 我 的 拙

More information

Microsoft Word - 3.3.1 - 一年級散文教案.doc

Microsoft Word - 3.3.1 - 一年級散文教案.doc 光 明 英 來 學 校 ( 中 國 文 學 之 旅 --- 散 文 小 說 教 學 ) 一 年 級 : 成 語 ( 主 題 : 勤 學 ) 節 數 : 六 教 節 ( 每 課 題 一 教 節 ) 課 題 : 守 株 待 兔 半 途 而 廢 愚 公 移 山 鐵 杵 磨 針 孟 母 三 遷 教 學 目 的 : 1. 透 過 活 動, 學 生 能 說 出 成 語 背 後 的 含 意 2. 學 生 能 指

More information

第32回独立行政法人評価委員会日本貿易保険部会 資料1-1 平成22年度財務諸表等

第32回独立行政法人評価委員会日本貿易保険部会 資料1-1 平成22年度財務諸表等 1 12,403 2,892 264,553 19,517 238,008 10,132 989 36 9,869 2,218 250 122 ( 126 108 1,563 278 159 260 478 35,563 1,073 74 190,283 104,352 140,658 20,349 16,733 21,607 (21,607) 58,689 303,699 339,262 339,262

More information

項 訴 求 在 考 慮 到 整 體 的 財 政 承 擔 以 及 資 源 分 配 的 公 平 性 下, 政 府 採 取 了 較 簡 單 直 接 的 一 次 性 減 稅 和 增 加 免 稅 額 方 式, 以 回 應 中 產 家 庭 的 不 同 訴 求 ( 三 ) 取 消 外 傭 徵 費 6. 行 政 長

項 訴 求 在 考 慮 到 整 體 的 財 政 承 擔 以 及 資 源 分 配 的 公 平 性 下, 政 府 採 取 了 較 簡 單 直 接 的 一 次 性 減 稅 和 增 加 免 稅 額 方 式, 以 回 應 中 產 家 庭 的 不 同 訴 求 ( 三 ) 取 消 外 傭 徵 費 6. 行 政 長 2013 年 1 月 23 日 的 立 法 會 會 議 葛 珮 帆 議 員 就 幫 助 中 產 動 議 的 議 案 ( 經 單 仲 偕 議 員 及 莫 乃 光 議 員 修 正 ) 進 度 報 告 在 2013 年 1 月 23 日 的 立 法 會 會 議 上, 由 葛 珮 帆 議 員 就 幫 助 中 產 動 議 的 議 案, 經 單 仲 偕 議 員 及 莫 乃 光 議 員 修 正 後 獲 得 通 過

More information

(f) (g) (h) (ii) (iii) (a) (b) (c) (d) 208

(f) (g) (h) (ii) (iii) (a) (b) (c) (d) 208 (a) (b) (c) (d) (e) 207 (f) (g) (h) (ii) (iii) (a) (b) (c) (d) 208 17.29 17.29 13.16A(1) 13.18 (a) (b) 13.16A (b) 12 (a) 209 13.19 (a) 13.16A 12 13.18(1) 13.18(4) 155 17.43(1) (4) (b) 13.19 17.43 17.29

More information

untitled

untitled 1993 79 2010 9 80 180,000 (a) (b) 81 20031,230 2009 10,610 43 2003 2009 1,200 1,000 924 1,061 800 717 600 530 440 400 333 200 123 0 2003 2004 2005 2006 2007 2008 2009 500 2003 15,238 2009 31,4532003 2009

More information

Microsoft Word - 08 单元一儿童文学理论

Microsoft Word - 08 单元一儿童文学理论 单 元 ( 一 ) 儿 童 文 学 理 论 内 容 提 要 : 本 单 元 共 分 成 三 个 小 课 目, 即 儿 童 文 学 的 基 本 理 论 儿 童 文 学 创 作 和 儿 童 文 学 的 鉴 赏 与 阅 读 指 导 儿 童 文 学 的 基 本 理 论 内 容 包 括 儿 童 文 学 的 基 本 含 义 儿 童 文 学 读 者 儿 童 文 学 与 儿 童 年 龄 特 征 和 儿 童 文 学

More information

bnbqw.PDF

bnbqw.PDF 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 ( ( 1 2 16 1608 100004 1 ( 2003 2002 6 30 12 31 7 2,768,544 3,140,926 8 29,054,561 40,313,774 9 11,815,996 10,566,353 11 10,007,641 9,052,657 12 4,344,697

More information

Microsoft Word - 發布版---規範_全文_.doc

Microsoft Word - 發布版---規範_全文_.doc 建 築 物 無 障 礙 設 施 設 計 規 範 內 政 部 97 年 4 年 10 日 台 內 營 字 第 0970802190 號 令 訂 定, 自 97 年 7 月 1 日 生 效 內 政 部 97 年 12 年 19 日 台 內 營 字 第 0970809360 號 令 修 正 內 政 部 101 年 11 年 16 日 台 內 營 字 第 1010810415 號 令 修 正 目 錄 第 一

More information

概 述 随 着 中 国 高 等 教 育 数 量 扩 张 目 标 的 逐 步 实 现, 提 高 教 育 质 量 的 重 要 性 日 益 凸 显 发 布 高 校 毕 业 生 就 业 质 量 年 度 报 告, 是 高 等 学 校 建 立 健 全 就 业 状 况 反 馈 机 制 引 导 高 校 优 化 招

概 述 随 着 中 国 高 等 教 育 数 量 扩 张 目 标 的 逐 步 实 现, 提 高 教 育 质 量 的 重 要 性 日 益 凸 显 发 布 高 校 毕 业 生 就 业 质 量 年 度 报 告, 是 高 等 学 校 建 立 健 全 就 业 状 况 反 馈 机 制 引 导 高 校 优 化 招 I 概 述 随 着 中 国 高 等 教 育 数 量 扩 张 目 标 的 逐 步 实 现, 提 高 教 育 质 量 的 重 要 性 日 益 凸 显 发 布 高 校 毕 业 生 就 业 质 量 年 度 报 告, 是 高 等 学 校 建 立 健 全 就 业 状 况 反 馈 机 制 引 导 高 校 优 化 招 生 和 专 业 结 构 改 进 人 才 培 养 模 式 及 时 回 应 社 会 关 切 的 一 项

More information

鱼类丰产养殖技术(二).doc

鱼类丰产养殖技术(二).doc ...1...1...4...15...18...19...24...26...31...35...39...48...57...60...62...66...68...72 I ...73...88...91...92... 100... 104... 144... 146... 146... 147... 148... 148... 148... 149... 149... 150... 151...

More information

疾病诊治实务(一)

疾病诊治实务(一) ...1...4...5...8...13...14...15...18...18...19...22...25...26...27...29...30...32...35 I ...38...42...43...45...48...51...53...56...59...60...60...61...63...65...67...69...72...74...77...80...82...84 II

More information

名人养生.doc

名人养生.doc I...1...3...4...6... 11...14...18...22...26...29...31...38...45...49...56...57...59...61...67 ...72...73...75...77...80...83...85...91...92...93...95...96...97... 103... 107... 109... 110... 112... 118...

More information

<4D6963726F736F667420576F7264202D2040B9C5B871A661B0CFABC8AE61C2A7AB55ACE3A8735FA7F5ABD8BFB3B9C5B871A661B0CFABC8AE61C2A7AB55ACE3A8732E646F63>

<4D6963726F736F667420576F7264202D2040B9C5B871A661B0CFABC8AE61C2A7AB55ACE3A8735FA7F5ABD8BFB3B9C5B871A661B0CFABC8AE61C2A7AB55ACE3A8732E646F63> 嘉 義 地 區 客 家 禮 俗 研 究 第 一 章 前 言 嘉 義 地 區 的 客 家 族 群 約 略 可 分 為 福 佬 客 詔 安 客 與 北 部 客 等 三 種 類 別, 其 分 佈 區 域 以 海 線 地 區 平 原 地 形 沿 山 地 區 為 主 有 相 當 多 的 北 部 客 家 人, 是 二 次 大 戰 末 期 和 戰 後 初 期 才 移 民 嘉 義, 是 什 麼 因 素 令 許 多

More information

05301930

05301930 國 立 中 正 大 學 法 學 系 碩 士 論 文 河 川 砂 石 法 規 範 之 探 討 - 以 採 取 土 石 及 挖 掘 河 川 認 定 基 準 為 主 指 導 教 授 : 盧 映 潔 博 士 研 究 生 : 王 瑞 德 中 華 民 國 一 百 零 一 年 五 月 目 錄 第 一 章 緒 論... 1 第 一 節 研 究 動 機... 1 第 二 節 研 究 目 的... 3 第 三 節 研

More information