Virtex-5 Family Overview

Size: px
Start display at page:

Download "Virtex-5 Family Overview"

Transcription

1 DS100 (v1.0) 2009 年 2 月 6 日 概述 Virtex -5 系列可提供 FPGA 市场中最新最强大的功能 Virtex-5 系列采用第二代高级芯片组合模块 (ASMBLTM) 列式架构, 包含 5 个截然不同的平台 ( 子系列 ), 是 FPGA 系列中选择最为丰富的系列 每个平台都拥有独特特性, 以满足诸多高级逻辑设计的需求 除最先进的高性能逻辑结构外,Vritex-5 FPGA 还内置大量 IP 硬核系统级模块, 其中包括功能强大的 36Kb 模块 RAM/FIFO 第二代 25x18 DSP Slice 带内置数控阻抗的 SelectIO TM 技术 ChipSync TM 源同步接口模块 系统监控功能 带集成数字时钟管理器 (DCM) 和锁相环 (PLL) 时钟生成器的增强型时钟管理模块, 以及高级的配置选项 平台的其它独立特性包括用于增强串行连接功能的功耗优化型高速串行收发器模块 符合 PCI Express 规范的集成端点模块 三态以太网媒体访问控制器 (MAC) 以及高性能 PowerPC 440 微处理器嵌入式模块 这些特性可以让高级逻辑设计人员在基于 FPGA 的系统中构建最高性能和最强大的功能 Virtex-5 FPGA 采用业界一流的 65 nm 铜工艺技术, 是定制 ASIC 技术理想的可编程替代产品 大多数高级系统设计都要求强大的 FPGA 可编程功能 Virtex-5 FPGA 以前所未有的逻辑 DSP 硬/ 软微处理器和连接功能, 为满足高性能逻辑设计人员 高性能 DSP 设计人员和高性能嵌入式系统设计人员的需求, 提供了最佳解决方案 Virtex-5 LXT SXT TXT 及 FXT 平台具有高级高速串行连接功能和链路 / 事务层功能 Virtex-5 FPGA 特性总结 5 个平台 :LX LXT SXT TXT 及 FXT - Virtex-5 LX: 主要用于高性能通用逻辑应用 - Virtex-5 LXT: 主要用于具备高级串行连接功能的高性能逻辑 - Virtex-5 SXT: 主要用于具备高级串行连接功能的高性能信号处理应用 - Virtex-5 TXT: 主要用于具备双密度高级串行连接功能的高性能系统 - Virtex-5 FXT: 主要用于具备高级串行连接功能的高性能嵌入式系统 跨平台兼容性 - LXT SXT 及 FXT 器件使用可调稳压器, 可以在相同封装内实现引脚兼容 最先进的最佳利用率高性能 FPGA 结构 - 真正的 6 输入查找表 (LUT) 技术 - 双 5- LUT 选项 - 改进的布线减少了中间连线 - 64 位分布式 RAM 选项 - SRL32/ 双 SRL16 选项 强大的时钟管理模块 (CMT) 时钟功能 - 具有零延迟缓冲 频率综合和时钟相移功能的数字时钟管理模块 (DCM) - 具备输入抖动滤波 零延迟缓冲 频率综合以及相位匹配时钟分频功能的 PLL 模块 36Kb Block RAM/FIFO - 真双端口 RAM 模块 - 增强的可选可编程 FIFO 逻辑 - 可编程 - 高达 36 位宽度的真正双端口 - 高达 72 位宽度的简单双端口 - 内置可选纠错电路 - 可选择将每个块配置作为两个独立的 18Kb 块 高性能并行 SelectIO 技术 - I/O 工作电压 :1.2V 至 3.3V - 使用 ChipSync TM 技术的源同步接口连接 - 数控阻抗 (DC) 有源终端 - 灵活的精细 I/O 分组 - 支持高速存储器接口 高级 DSP48E Slice 技术 - 25x18 补码乘法运算 - 可选加法器 减法器及累加器 - 可选流水线功能 - 可选按位逻辑功能 - 专用的级联连接 灵活的配置选项 - SPI 和并行 Flash 接口 - 专用的回读重配置逻辑, 可支持多比特流 - 自动总线宽度检测功能 对所有器件都有系统监控功能 - 片上 / 片外热特性监控 - 片上 / 片外电源监控 - 通过 JTAG 端口访问所有监控量 PCI Express 集成端点模块 - 支持 LXT SXT TXT 及 FXT 平台 - 符合 PCI Express 基本规范 每个模块支持 1 倍 4 倍或者 8 倍信道宽度 - 与 RocktetIO TM 收发器配合使用 三态 10/100/1000 Mb/s 以太网 MAC - 支持 LXT SXT TXT 及 FXT 平台 - RocketIO 收发器可用作 PHY, 也可选择使用多种软 MII ( 媒体独立接口 ) 连接到外部 PHY 速率从 100Mb/s 到 3.75Gb/s 的 RocketIO GTP 收发器 - 支持 LXT 和 SXT 平台 速率从 150Mb/s 到 6.5Gb/s 的 RocketIO GTP 收发器 - 支持 TXT 和 FXT 平台 PowerPC 440 微处理器 - 仅支持 FXT 平台 - RISC 架构 - 7 级流水线 - 包括 32 KB 的指令和数据缓存 - 优化的处理器接口结构 ( 纵横机 ) 65 nm 铜 CMOS 工艺技术 1.0V 内核电压 可选择标准或无铅的具有高度信号完整性的倒装片封装 Xilinx, Inc. XILINX, the Xilinx logo, Virtex, Spartan, ISE, and other designated brands included herein are trademarks of Xilinx in the United States and other countries. PowerPC is a trademark of IBM Corp. and is used under license. PCI, PCI Express, PCIe, and PCI-X are trademarks of PCI-SIG. All other trademarks are the property of their respective owners. DS100 (v5.0) 2009 年 2 月 6 日 1

2 R 表 1:Virtex-5 FPGA 系列成员 器件 可配置逻辑模块 (CLB) 最大阵列 Virtex-5 分布式 ( 行 x 列 ) Slice (1) RAM(Kb) DSP48E Slice (2) Block RAM 最大 18Kb (3) 36Kb (Kb) CMT (4) PCI PowerPC Express 处理器端点模块模块 以太网 MAC 最大 RocketIO GTP (6) 收发器 GTX 总 I/O bank (8) 最大用户 I/O (7) XC5VLX30 80 x 30 4, ,522 2 不适用 不适用 不适用 不适用 不适用 XC5VLX x 30 7, ,728 6 不适用 不适用 不适用 不适用 不适用 XC5VLX x 54 12, ,456 6 不适用 不适用 不适用 不适用 不适用 XC5VLX x 54 17,280 1, ,608 6 不适用 不适用 不适用 不适用 不适用 XC5VLX x 76 24,320 1, ,912 6 不适用 不适用 不适用 不适用 不适用 XC5VLX x ,560 2, ,912 6 不适用 不适用 不适用 不适用 不适用 XC5VLX x ,840 3, ,368 6 不适用 不适用 不适用 不适用 不适用 33 1,200 XC5VLX20T 60 x 26 3, 不适用 不适用 XC5VLX30T 80 x 30 4, ,296 2 不适用 不适用 XC5VLX50T 120 x 30 7, ,160 6 不适用 不适用 XC5VLX85T 120 x 54 12, ,888 6 不适用 不适用 XC5VLX110T 160 x 54 17,280 1, ,328 6 不适用 不适用 XC5VLX 155T 160 x 76 24,320 1, ,632 6 不适用 不适用 XC5VLX 220T 160 x ,560 2, ,632 6 不适用 不适用 XC5VLX 330T 240 x ,840 3, ,664 6 不适用 不适用 XC5VSX 35T 80 x 34 5, ,024 2 不适用 不适用 XC5VSX 50T 120 x 34 8, ,752 6 不适用 不适用 XC5VSX 95T 160 x 46 14,720 1, ,784 6 不适用 不适用 XC5VSX 240T 240 x 78 37,440 4,200 1,056 1, ,576 6 不适用 不适用 XC5VTX150T 200 x 58 23,200 1, ,208 6 不适用 1 4 不适用 XC5VTX240T 240 x 78 37,440 2, ,664 6 不适用 1 4 不适用 XC5VFX30T 80 x 38 5, , 不适用 XC5VFX70T T 160 x 38 11, , 不适用 XC5VFX100T 160 x 56 16,000 1, , 不适用 XC5VFX130T 200 x 56 20,480 1, , 不适用 XC5VFX200T 240 x 68 30,720 2, , 不适用 注 : 1. Virtex-5 FPGA Slice 的结构与前几代不同 每个 Virtex-5 FPGA Slice 均包含 4 个 LUT 和 4 个触发器 ( 之前为 2 个 LUT 和 2 个触发器 ) 2. 每个 DSP48E Slice 内含一个 25x18 乘法器 一个加法器及一个累加器 3. Block RAM 大小基本为 36 Kb 每个模块还可以作为两个独立的 18 Kb 模块使用 4. 每个时钟管理模块 (CMT) 内含 2 个 DCM 和一个 PLL 5. 本表列出了各个器件的以太网 MAC 个数 6. RocketIO GTP 收发器的运行速率为 :100Mb/s 到 3.75Gb/s RocketIO GTX 收发器的运行速率为 :150Mb/s 到 6.5Gb/s 7. 该数字不包括 RocketIO 收发器 8. 包含配置 Bank DS100 (v5.0) 2009 年 2 月 6 日

3 Virtex-5 FPGA 逻辑 与 Virtex-4 器件相比, 平均提升 1 至 2 个速度级 具备可级联的 32 位可变移位寄存器或 64 位分布式存储器功能 优异的布线架构, 增强了对角布线功能, 连接模块与模块之间的中间连线极少 支持多达 330,000 个逻辑单元, 包括 : - 多达 207,360 个具有时钟使能的内部架构触发器 (XC5VLX330) - 多达 207,360 个真 6 输入查找表 (LUT), 总 LUT 位数超过 1,300 万 - 双 5-LUT 提供 2 个输出模式提高了利用率 - 逻辑扩展式多路复用器和 I/O 寄存器 550MHz 时钟技术 多达 6 个时钟管理模块 (CMT) - 每个 CMT 包含 2 个 DCM 和一个 PLL, 时钟发生器总数多达 18 个 - 灵活的 DCM 到 PLL 或 PLL 到 DCM 级联 - 精密时钟去歪斜和相移 - 灵活的频率综合 - 多种运行模式, 便于性能权衡决策 - 提高最大输入 / 输出频率 - 精细相移分辩率 - 输入抖动滤波 - 低功耗运行 - 相移范围大 可实现优化低抖动时钟和精确占空比的差分时钟树结构 32 个全局时钟网络 除了全局时钟外, 还提供局部时钟 I/O 时钟和本地时钟 SelectIO 技术 多达 1,200 个用户 I/O 提供从 1.2V 到 3.3V 的广泛的 I/O 标准选择范围 极高的性能 - 高达 800Mb/s 的 HSTL 和 SSTL( 在所有单端 I/O 上 ) - 高达 1.25Gb/s 的 LVDS( 在所有差分 I/O 对上 ) 真正的差分片上终端 输入和输出 I/O 同边沿采集 支持广泛的存储器接口 550MHz 集成模块存储器 高达 16.4Mb 的集成模块存储器 带有可选双 18Kb 模式的 36Kb 模块 真双端口 RAM 单元 可选独立端口宽度 (1 位宽到 72 位宽 ) - 对于真双端口运行, 每端口总宽度高达 36 位 - 对简单双端口运行, 每端口总宽度高达 72 位 ( 一个读取端口和一个写入端口 ) - 支持 9 位 18 位 36 位和 72 位宽度的存储器位数及奇偶校验 / 边带存储器 - 从 32Kx1 到 512x72 的配置 ( 从 8Kx4 到 512x72 用于 FIFO 运行 ) 多速率 FIFO 支持逻辑 - 具有完全可编程近满标志和近空标志的满标志和空标志 支持同步 FIFO, 没有标志不确定的问题 用于提高性能的可选流水线级数 字节写入功能 专用级联布线, 无需 FPGA 其他连线即可配成 64K x 1 存储器 满足高可靠性存储要求的集成可选 ECC 针对 18 Kb( 及以下 ) 运行的特殊降功耗设计 550MHz DSP48E Slice 25x18 补码乘法运算 用于提高性能的可选流水线级数 用于乘法累加 (MACC) 运算的可选 48 位累加器, 可选择将累加器级联为 96 位 用于复杂乘法运算或乘加运算的集成加法器 可选按位逻辑运行模式 每个 Slice 具有独立的 C 寄存器 在一个 DSP 列中完全可级联, 无需外部布线资源 ChipSync 源同步接口逻辑 与 SelectIO 技术配合使用, 简化源同步接口 所有 I/O 模块均内置逐比特去歪斜功能 ( 所有输入和输出上的可变延迟线 ) 专用的 I/O 时钟和区域时钟资源 ( 引脚和时钟树 ) 所有 I/O 均内置数据串行器和解串器逻辑, 支持相应的时钟分频器 每个 I/O 提供速率高达 1.25Gb/s 的网络 / 电信接口 DS100 (v5.0) 2009 年 2 月 6 日 3

4 R 数控阻抗 (DCI) 有源 I/O 终端 可选串行或并行终端 温度和电压补偿 显著简化电路板布局 - 减少电阻器 - 在理想的位置设置终端, 比如信号源或信号终点 配置 支持 Platform Flash 配置 标准 SPI Flash 配置或标准并行 NOR Flash 配置 可支持包含专用的回读重配置逻辑的比特流 256 位 AES 比特流解密, 可保护知识产权并防止复制设计 增强型比特流检错 / 纠错功能 自动总线宽度检测功能 通过 ICAP 端口进行部分重配置 先进的倒装片封装 确实可实现优异信号完整性的预制封装技术 - 尽量减少信号到回路之间的感应环路 - 最佳信号与 PWR/GND 比 将 SSO 感应噪声降低 1/7 无铅封装和标准封装 系统监控器 片上温度测量 (±4 ) 片上电源测量 (±1%) 简便易用, 独立运行 - 基本运行无需设计 - 所有片上传感器自行监测 - 片上传感器的用户可编程报警阈值 用户可访问的 10 位 200kSPS ADC - 偏移和增益误差的自动校准 - DNL=±0.9 LSB 最大 可支持多达 17 个外部模拟输入通道 - 输入范围 0V 到 1V - 监控外部传感器, 如电压 温度 - 通用模拟输入 可以通过内部结构或 JTAG TAP 全面访问系统监控器 在 FPGA 配置之前和器件省电模式下完全可运行 ( 仅通过 JTAG TAP 访问 ) 65nm 铜 CMOS 工艺 1.0V 内核电压 12 层金属提供最强的布线功能, 并可容纳硬 IP 植入 三栅极氧化层技术, 确实可降低静态功耗 LXT SXT TXT 和 FXT 器件具体的系统模块 符合 PCI Express 规范的集成端点模块 配合 RocketIO GTP 收发器 (LXT 和 SXT) 以及 GTX 收发器 (TXT 和 FXT), 在最小程度地占用 FPGA 逻辑的情况下, 提供全面的 PCI Express 端点功能 符合 PCI Express 基本规范 1.1 PCI Express 端点模块或传统 PCI Express 端点模块 8 倍 4 倍或 1 倍信道宽度 支持电源管理 用于缓存的 Block RAM 完全缓存传输与接收 访问 PCI Express 配置空间和内部配置的管理接口 全程支持最大有效载荷 多达 6x32 位或 3x64 位 BAR( 或 32 位与 64 位的组合 ) 三态以太网媒体访问控制器 符合 IEEE 规范 以 和 1,000Mb/s 的速率运行 支持三态自动协商 接收地址滤波器 (5 条地址记录 ) 使用 RocketIO GTP 收发器的全单片 1000Base-X 解决方案 通过软逻辑和 SelectIO 资源支持多种外部 PHY 连接 (RGMII GMII 等 ) 接口 通过使用软逻辑和 RocketIO GTP 收发器的 SGMII, 支持到外部 PHY 器件的连接 通过独立接口接收和传输可用统计数据 独立的主机和客户端接口 支持巨型帧 支持 VLAN 灵活的用户可配置主机接口 支持 IEEE 802.3ah-2004 单向模式 4 DS100 (v5.0) 2009 年 2 月 6 日

5 RocketIO GTP 收发器 ( 仅 LXT/SXT) 具有 100 Mb/s 到 3.75Gb/s 波特率能力的全双工串行收发器 8B/10B 用户定义 FPGA 逻辑或无编码选项 支持通道绑定 CRC 生成和检查 发射器可编程预加重或预均衡 可编程终端和电压摆幅 接收器可编程均衡 接收器信号检测和信号丢失指示器 可用二级配置总线进行用户动态重配置 对串行 ATA (SATA) 提供带外支持 为电气闲置 信标 接收器检测以及 PCI Express 和 SATA 扩频时钟支持 不足 100mW 的典型功耗 内置 PRBS 发生器和检验器 RocketIO GTX 收发器 ( 仅 TXT/FXT) 具有 150Mb/s 到 6.5Gb/s 波特率能力的全双工串行收发器 8B/10B 编码和编程变速箱, 支持 64B/66B 和 64B/67B 编码 用户定义 FPGA 逻辑或无编码选项 支持通道绑定 CRC 生成和检查 发射器可编程预加重或预均衡 可编程终端和电压摆幅 接收器可编程连续时间均衡 接收器可编程决策反馈均衡 接收器信号检测与信号丢失指示器 可用二级配置总线进行用户动态重配置 支持 OOB (SATA) 电气闲置 信标 接收器检测以及 PCI Express 扩频时钟支持 在所有线速下都能实现低功耗运行 PowerPC 440 RISC 核 ( 仅 FXT) 嵌入式 PowerPC 440 (PPC440) 核 - 运行频率高达 550MHz - 每内核性能均高于 1000DMIPS - 7 级流水线 - 每周期可执行多条指令 - 无序执行 - 32KB 64 路组关联一级指令高速缓存 - 32KB 64 路组关联一级数据高速缓存 - 符合 Book E 标准 可增强系统性能的集成纵横机 位处理器局部总线 (PLB) - 集成的分散 / 聚集 DMA 控制器 - 用于连接 DDR2 存储器控制器的专用接口 - 非整数 PLB 到 CPU 时钟比率自动同步 辅助处理器单元 (APU) 接口和控制器 - PPC440 嵌入式模块与基于 FPGA 架构的协处理器的直接连接 位宽流水线 APU 加载 / 存储 - 支持自主指令 : 无流水线停顿 - 定制指令的可编程解码 DS100 (v5.0) 2009 年 2 月 6 日 5

6 R 架构说明 Virtex-5 阵列简介 Virtex-5 器件是用户可编程的门阵列, 具有针对高密度和高性能系统设计优化的各种可配置元件和嵌入式内核 Virtex-5 器件可实现以下功能 : I/O 模块提供连接封装引脚和内部可配置逻辑的接口 可编程 I/O 模块 (IOB) 支持大多数流行的前沿 I/O 标准 可将 IOB 连接到非常灵活的 ChipSync 逻辑, 以增强源同步接口连接 源同步优化包括逐比特去歪斜 ( 对于输入和输出信号 ) 数据串行器/ 解串器 时钟分频器以及专用 I/O 和本地时钟资源 可配置逻辑块 (CLB) 是赛灵思 FPGA 的基本逻辑元件, 提供组合和同步逻辑以及分布式存储器和 SRL32 移位寄存器功能 Virtex-5 FPGA CLB 以真正 6 输入查找表技术为基础, 可提供比前几代可编程逻辑更优异的功能和性能 Block RAM 模块提供灵活的 36Kb 真双端口 RAM, 这种 RAM 可级联为更大的存储器模块 另外,Virtex-5 FPGA Block RAM 包含的可选可编程 FIFO 逻辑可提高器件利用率 还可将每个 Block RAM 配置为两个独立的 18Kb 真双端口 RAM 模块, 以便为需要较小 RAM 模块的设计提供存储器粒度 可级联嵌入式 DSP48E Slice 具有 25x18 补码乘法器和 48 位加法器 / 减法器 / 累加器, 可支持大规模并行 DSP 算法 另外, 每个 DSP48E Slice 都可用于执行按位逻辑功能 时钟管理模块 (CMT) 为 FPGA 提供最灵活 最高性能的时钟控制 每个 CMT 包含 2 个数字时钟管理器 (DCM) 模块 ( 自校准 全数字 ) 和一个 PLL 模块 ( 自校准 模拟 ), 用于时钟分配延迟补偿 时钟乘法 / 除法运算 粗 / 细精度时钟相移和输入时钟抖动滤波 此外,LXT SXT TXT 和 FXT 器件还包含 : 用于 PCI Express 设计的集成端点模块, 提供 1 倍 4 倍或 8 倍的 PCI Express 端点功能 当与 RocketIO 收发器配合使用时, 可以用最少的 FPGA 逻辑实现一个完整的 PCI Express 端点 10/100/1000 Mb/s 以太网媒体访问控制模块提供以太网功能 LXT 和 SXT 器件包含 : RocketIO GTP 收发器, 运行速度可达 3.75Gb/s 每个 GTP 收发器都支持全双工时钟与数据恢复 TXT 和 FXT 器件包含 : GTX 收发器, 运行速度可达 6.5Gb/s 每个 GTX 收发器都支持全双工时钟与数据恢复 FXT 器件包含 : 嵌入式 IBM PowerPC 440 RISC CPU 各 PowerPC 440 CPU 的运行频率可达 550MHz 每个 PowerPC 440 CPU 还包含一个可支持硬件加速的 APU( 辅助处理器单元 ) 接口, 以及一个可实现高数据流量的集成纵横机 通用布线矩阵 (GRM) 在各内部组件之间提供一个布线开关阵列 每个可编程元件均与一个开关矩阵绑定, 以实现对通用布线矩阵的多重连接 总体可编程互连是层级式结构, 可支持高速设计 在 Virtex-5 器件中, 优化的布线连接能够以最少的中继段支持 CLB 互连 减少中继段极大地提高了后期布局布线 (PAR) 设计性能 包括布线资源在内的所有可编程元件均由静态存储元件中的存储值控制 这些值在配置过程中加载到 FPGA 中, 可重新加载以便更改可编程元件的功能 6 DS100 (v5.0) 2009 年 2 月 6 日

7 Virtex-5 FPGA 特性 本部分简述 Virtex-5 系列 FPGA 的特性 输入 / 输出模块 (SelectIO) IOB 可编程, 并可分类如下 : 可编程单端或差分 (LVDS) 运行 具有可选单倍数据速率 (SDR) 或双倍数据速率 (DDR) 寄存器的输入模块 具有可选 SDR 或 DDR 寄存器的输出模块 双向模块 逐比特去歪斜电路 专用 I/O 和区域时钟资源 内置数据串行器 / 解串器 IOB 寄存器为边沿触发 D 型触发器或电平敏感锁存器 IOB 支持以下单端标准 : LVTTL LVCMOS(3.3V 2.5V 1.8V 1.5V 和 1.2V) PCI(33 和 66MHz) PCI-X GTL 和 GTLP HSTL 1.5V 和 1.8V(I II III 和 IV 级 ) HSTL 1.2V( 一级 ) SSTL 1.8V 和 2.5V(I 和 II 级 ) 数控阻抗 (DCI) I/O 功能可配置成为每个单端 I/O 标准和某些差分 I/ O 标准提供片上终端 IOB 元件还支持以下差分信令 I/O 标准 : LVDS 和扩展 LVDS( 仅 2.5V) BLVDS( 总线 LVDS) ULVDS Hypertransport TM 差分 HSTL 1.5V 和 1.8V(I 和 II 级 ) 差分 SSTL 1.8V 和 2.5V(I 和 II 级 ) RDSD(2.5V 点对点 ) 每个差分对使用两个相邻的焊盘 2 个或 4 个 IOB 模块连接到一个接入布线资源的开关矩阵 逐比特去歪斜电路允许 FPGA 内部的可编程信号延迟 逐比特去歪斜灵活地提供精细延迟增量, 以精细地生成一系列信号延迟 这对于在源同步接口中同步信号边沿尤其有用 为每个 I/O 增加了数据串行器 / 解串器功能, 以支持源同步接口 输入通路包含一个附有时钟分频器的串并转换器, 而输出通路则包含一个并串转换器 有关 Virtex-5 FPGA IOB 的深入指导, 请参阅 Virtex-5 FPGA 三态以太网 MAC 用户指南 可配置逻辑块 (CLB) 一个 Virtex-5 FPGA CLB 资源由 2 个 Slice 组成 每个 Slice 包含 并等效于 : 4 个函数发生器 4 个存储元件 算术逻辑门 大型多路复用器 高速超前进位链 函数发生器可配置为 6 输入 LUT 或双输出 5 输入 LUT 某些 CLB 中的 SLICEM 可配置成作为一个 32 位移位寄存器 ( 或 2 个 16 位 移位寄存器 ) 或 64 位分布式 RAM 运行 此外, 可将 4 个存储元件 配置成边沿触发的 D 型触发器或电平敏感型锁存器 每个 CLB 具 有内部快速互连, 并且连接到一个接入通用布线资源的开关矩阵 Virtex-5 FPGA 用户指南 中进一步讨论了 Virtex-5 FPGA CLB Block RAM 36Kb 真双端口 RAM 模块资源可以编程为从 32Kx1 到 512x72 的各种深度和宽度配置 此外, 每个 36Kb 模块也可配置成作为两个独立的 18Kb 双端口 RAM 模块运行 每个端口都完全同步且独立, 提供三种 边写边读 模式 Block RAM 可以级联, 以实现大型嵌入式存储模块 此外, 作为选项还提供了后端流水线寄存器 时钟控制电路 内置 FIFO 支持 ECC 和字节写使能功能 Virtex-5 FPGA 用户指南 中进一步讨论了 Virtex-5 器件中的 Block RAM 功能 对于位置选择得当的通用 I/O( 每 Bank 8 个 ), 应该为同一局部区域内的 I/O 添加特殊的硬件连接, 从而将其设计成具有 区域 Clock-capable 的 I/O 这些区域时钟输入分布于限定的区域内, 以尽量减轻各 IOB 之间的时钟歪斜 区域 I/O 时钟是对全局时钟资源的补充 DS100 (v5.0) 2009 年 2 月 6 日 7

8 R 全局时钟 CMT 和全局时钟多路复用缓冲器为设计高速时钟网络提供了完善的解决方案 每个 CMT 包含 2 个 DCM 和一个 PLL DCM 和 PLL 可独立使用, 也可广泛级联 最多可使用 6 个 CMT 模块, 总共可提供 18 个时钟发生器元件 每个 DCM 都提供常用的时钟生成功能 为了生成无歪斜的内部或外部时钟, 可以把每个 DCM 都用于消除时钟分配延迟 DCM 还提供输出时钟的 和 270 相移输出 相移精度以数分之一时钟周期的增量提供更高分辨率的相位调整 灵活的频率综合提供等于输入时钟频率分数或整数倍的时钟输出频率 为增强 DCM 的功能,Virtex-5 FPGA CMT 还包含一个 PLL 该模块提供参考时钟抖动滤波和更多频率综合选项 Virtex-5 器件具有 32 个全局时钟 MUX 缓冲器 时钟树设计为差分式 差分时钟可帮助减少抖动和占空比失真 DSP48E Slice DSP48E Slice 资源包含一个 25x18 补码乘法器和一个 48 位加法器 / 减法器 / 累加器 每个 DSP48E Slice 还含有广泛的级联功能, 可有效实现高速 DSP 算法 Virtex-5 FPGA XtremeDSP 设计注意事项 中进一步讨论了 Virtex-5 FPGA DSP48E Slice 的功能 布线资源 Virtex-5 器件中的所有部件都使用相同的互连方案以及相同的全局布线矩阵接入方式 此外,CLB 到 CLB 的布线设计成以尽可能少的中间连线提供一整套连接功能 时序模型是共享的, 可大大提高高速设计性能的可预测性 边界扫描 边界扫描指令和相关的数据寄存器支持接入和配置 Virtex-5 器件的标准方法, 符合 IEEE 标准 和 1532 配置 Virtex-5 器件的配置方法是用下列模式之一将比特流载入内部配置 存储器 : 从串模式 主串模式 从动 SelectMAP 模式 主动 SelectMAP 模式 边界扫描模式 (IEEE-1532 和 IEEE-1149) SPI 模式 ( 串行外设接口标准 Flash) BPI 上 /BPI 下模式 ( 字节宽度外设接口标准 x8 或 x16 NOR Flash) 此外,Virtex-5 器件还支持下列配置选项 : 用于 IP 保护的 256 位 AES 比特流解密 支持冷 / 热启动的多比特流管理 (MBM) 并行配置总线宽度自动检测 并行菊花链 配置 CRC 和 ECC 支持, 以实现最强大 最灵活的器件完整性校验 Virtex-5 FPGA 配置指南 中进一步讨论了 Virtex-5 器件配置 系统监控器 FPGA 是高可用性 / 可靠性基础架构的重要构建模块 因此, 有必要更好地监测 FPGA 的片上物理环境及其在系统内紧邻的周边环境 Virtex-5 系列系统监控器首次为 FPGA 及其外部环境提供了更简单的监控 Virtex-5 系列的每个成员都包含一个系统监控器模块 系统监控器是围绕一个 10 位 200kSPS ADC( 模数转换器 ) 构建的 这个 ADC 用于对若干片上传感器进行数字化, 以提供有关 FPGA 内部物理环境的信息 片上传感器包括一个温度传感器和几个电源传感器 对外部环境的访问是通过若干外部模拟输入通道提供的 这些模拟输入是通用的, 可用于对种类繁多的电压信号类型进行数字化 提供了对单极 双极和真差分输入方案的支持 可以通过 JTAG TAP 全面访问片上传感器和外部通道, 从而可以将 PC 板上现有的 JTAG 基础架构用于开发期间或现场部署后的模拟测试和高级诊断 系统监控器在 FPGA 通电之后和配置之前完全可运行 系统监控器在设计中不需要显式实例化即可获得对其基本功能的访问 这使得即使在设计周期的最后阶段也可以使用系统监控器 Virtex-5 FPGA 系统监控器用户指南 中进一步讨论了 Virtex-5 FPGA 系统监控器 8 DS100 (v5.0) 2009 年 2 月 6 日

9 Virtex-5 LXT SXT TXT 和 FXT 平台特性 本部分简述仅在 LXT SXT TXT 和 FXT 器件中使用的模块 三态 (10/100/1000 Mb/s) 以太网 MAC Virtex-5 LXT SXT TXT 和 FXT 器件最多包含 8 个嵌入式以太网 MAC, 每个以太网 MAC 模块有 2 个 这些模块具有以下特点 : 符合 IEEE 规范 经过 UNH 一致性测试 使用 RocketIO 技术的 GRMII/GMII 接口, 或者当与 SelectIO 收发器配合使用时的 SGMII 接口 半双工或全双工 支持巨型帧 1000 Base-X PCS/PMA: 当与 RocketIO GTP 收发器配合使用时, 可提供完全 1000 Base-X 片上实现 对微处理器的 DCR 总线连接 PCI Express 集成端点模块 Virtex-5 LXT SXT TXT 和 FXT 器件最多包含 4 个集成端点模 块 这些模块实现事务层 数据链路层和物理层功能, 在尽量少用 FPGA 逻辑的情况下可提供完整的 PCI Express 端点功能 这些模 块具有以下特点 : 符合 PCI Express 基本规范 1.1 与 RocketIO 收发器配合使用可提供完整的端点功能 每个模块支持 1 倍 4 倍或者 8 倍通道宽度 Virtex-5 LXT 和 SXT 平台特性 本部分简述仅在 LXT 和 SXT 器件中使用的模块 RocketIO GTP 收发器 4-24 通道 RocketIO GTP 收发器, 能够以 100 Mb/s 到 3.75Gb/s 的 速度运行 完全时钟和数据恢复功能 支持 8/16 位或 10/20 位数据通路 可选 8B/10B 或基于 FPGA 的编码 / 解码功能 集成 FIFO/ 弹性缓冲器 支持通道绑定和时钟校正 嵌入式 32 位 CRC 生成 / 检查 集成的间隔符检测或 A1/A2 检测功能 可编程预加重 (AKA 发射器均衡 ) 可编程发射器输出摆幅 可编程接收器均衡 可编程接收器终端 嵌入式支持 - 带外 (OOB) 信令 ; 串行 ATA - 信标 电气闲置和 PCI Express 接收器检测 内置式 PRBS 生成器 / 校验器 Virtex-5 FPGA RocketIO GTP 收发器用户指南 中进一步讨论了 Virtex-5 FPGA RocketIO GTP 收发器 DS100 (v5.0) 2009 年 2 月 6 日 9

10 R Virtex-5 TXT 和 FXT 平台特性 本部分简述仅在 TXT 和 FXT 器件中使用的模块 RocketIO GTX 串行收发器 (TXT/FXT) 8-48 通道 RocketIO 串行收发器, 能以 150 Mb/s 到 6.5 Gb/s 的速 度运行 完全时钟和数据恢复功能 支持 8/16/32 位或 10/20/40 位数据通路 可选 8B/10B 编码, 用于可编程 64B/66B 或 64B/67B 编码的变速箱, 或基于 FPGA 的编码 / 解码功能 集成 FIFO/ 弹性缓冲器 支持通道绑定和时钟校正 双嵌入式 32 位 CRC 生成 / 检查 集成的可编程字符检测 可编程去加重 (AKA 发射器均衡 ) 可编程发射器输出摆幅 可编程接收器均衡 可编程接收器终端 嵌入式支持 : - 串行 ATA: 带外 (OOB) 信令 - PCI Express: 信标 电气闲置和接收器检测 一个或者两个 PowerPC 440 处理器核 ( 仅 FXT) RISC 超标量架构 符合 32 位 Book E 规范 7 级执行流水线 每周期可执行多条指令 无序执行 集成 32KB 一级指令缓存和 32KB 一级数据缓存 (64 路组关联 ) CoreConnect TM 总线架构 可优化处理器带宽的纵横机连接 PLB 同步逻辑 ( 启用 CPU 到 PLB 的非整数时钟比率 ) 辅助处理器单元 (APU) 接口和集成的 APU 控制器 - 基于 FPGA 的协处理器优化连接 - PowerPC 浮点指令的自动解码 - 允许使用自定义指令 - 极高效微控制器式接口连接 Virtex-5 FPGA 的嵌入式处理器模块参考指南 中进一步讨论了 PowerPC 440 处理器 内置 PRBS 生成器 / 校验器 Virtex-5 FPGA RocketIO GTX 收发器用户指南 中进一步讨论了 Virtex-5 FPGA RocketIO GTX 收发器 IP 核 赛灵思提供具有常用复合功能的 IP 核, 包括 DSP 总线接口 处赛灵思还为高级系统同步运行提供了 PCI 核 理器和处理器外设 客户使用赛灵思 LogiCORE TM 产品及第三方 MicroBlaze TM 32 位内核提供业界最快的软处理解决方案, 用来构 AllianceCORE 参与方提供的内核, 可以缩短开发时间 降低设计风建面向网络 电信 数据通信以及嵌入式和消费市场的复杂系统 险并获得优良的设计性能 此外,CORE Generator TM 系统还允许客 MicroBlaze 处理器采用 RISC 架构, 这种架构使用哈佛式独立 32 位户在 Virtex-5 FPGA 中实现 IP 核, 以获得可预测和可重复的性能 指令和全速运行的数据总线, 可以从片上和外部两种存储器执行程该系统提供简单的用户接口, 用以生成针对 FPGA 优化的基于参数序和访问数据 一整套标准外设也启用了 CoreConnect TM, 以便为的内核 MicroBlaze 设计人员提供兼容性和可重复利用性 System Generator for DSP 工具允许系统架构设计师用手工设计的 Virtex-5 FPGA 的所有 IP 核均可见于 赛灵思 IP 中心 门户网站, IP 快速建立 DSP 功能模型并实现这些功能 ; 另外, 该工具为使用第该网站提供最新的知识产权核和参考设计, 可以通过智能搜索快速三方系统级 DSP 设计工具提供了接口 System Generator for DSP 访问 可实现支持 Virtex-5 FPGA 的多种高性能 DSP 核, 包括使用交织器 / 去交织器 Reed-Solomon 编码器 / 解码器和 Viterbi 解码器的赛灵 Virtex-5 FPGA 的 LogiCORE PCI Express 增强型端思前向纠错解决方案 对于创建支持通信市场的高灵活性连接编解点模块封装码器, 这些内核是理想的选择 这是一款推荐使用的封装, 可以对 CORE Generator 系统提供的使用 Virtex-5 FPGA RocketIO 收发器, 可实现业界领先的连接和网 PCI Express 集成端点模块进行配置 这种 IP 为端点应用提供多络 IP 核, 其中包括最先进的 PCI Express 串行 RapidIO 光纤通种易用功能和优化配置, 可简化设计过程, 缩短上市时间 通过注道和 10Gb 以太网内核 赛灵思 SPI-4.2 IP 核使用 Virtex-5 FPGA 册, 无需额外费用即可获得该内核的访问权限, 包括比特流生成 ChipSync 技术实现动态相位对齐, 以获得高性能源同步运行 功能 10 DS100 (v5.0) 2009 年 2 月 6 日

11 应用说明和参考设计 可以通过赛灵思网站获取专门为 Virtex-5 系列编写的应用指南和参考设计, 网址为 : Virtex-5 器件及封装组合和最大 I/O 数 表 2:Virtex-5 器件及封装组合和最大可用 I/O 数 封装 FF323 FFG323 FF324 FFG324 FF676 FFG676 FF1153 FFG1153 FF1760 FFG1760 FF665 FFG665 FF1136 FFG1136 FF1156 FFG1156 FF1738 FFG1738 FF1759 FFG1759 尺寸 ( 毫米 ) 19x19 19x19 27x27 35x x x27 35x35 35x x x42.5 器件 GT I/O GT I/O GT I/O GT I/O GT I/O GT I/O GT I/O GT I/O GT I/O GT I/O XC5VLX30 不适用 220 不适用 400 XC5VLX50 不适用 220 不适用 440 不适用 560 XC5VLX85 不适用 440 不适用 560 XC5VLX110 不适用 440 不适用 800 不适用 800 XC5VLX155 不适用 800 不适用 800 XC5VLX220 不适用 800 XC5VLX330 不适用 1,200 XC5VLX20T 4 GTPs 172 XC5VLX30T 4 GTPs GTPs 360 XC5VLX50T GTPs 480 GTPs XC5VLX85T 12 GTPs 480 XC5VLX110T 16 GTPs GTPs 640 XC5VLX155T 16 GTPs GTPs 640 XC5VLX220T 16 GTPs 640 XC5VLX330T 24 GTPs 960 XC5VSX35T 8 GTPs 360 XC5VSX50T GTPs 480 GTPs XC5VSX95T 16 GTPs 640 XC5VSX240T 24 GTPs 960 XC5VTX150T GTXs 360 GTXs 680 XC5VTX240T XC5VFX30T 8 GTXs 360 XC5VFX70T 8 GTXs GTXs 640 XC5VFX100T 16 GTXs GTXs 640 XC5VFX130T 20 GTXs 840 XC5VFX200T 24 GTXs 960 注 : 1. 倒装片封装也有无铅版本 (FFG) 48 GTXs 680 DS100 (v5.0) 2009 年 2 月 6 日 11

12 R Virtex-5 FPGA 订购信息 如图 1 所示的 Virtex-5 FPGA 订购信息适用于包括无铅封装在内的所有封装类型 : XC5VLX50T-1FFG665C (-1, -2, -3 (1) ) 1-3 : C = (T J = 0 C to +85 C) I = (T J = 40 C to +100 C) DS100_01_ 图 1:Virtex FPGA 订购信息 修订历史 下表显示了了本文档的修订历史 日期 版本 修订 2006 年 4 月 14 日 1.0 赛灵思最初版本 2006 年 5 月 12 日 1.1 首版发布到赛灵思网站 进行了少量排印修改, 并为突出最新功能而更新了相关说明 从第 3 页 Virtex-5 FPGA 逻辑 中删除了 LUT 使用项号 2006 年 9 月 6 日 2.0 在整个文档中增加了 LXT 平台 其中包括对 RocketIO GTP 收发器 以太网 MAC 和 PCI Express 端点模块的说明 2006 年 10 月 12 日 2.1 增加了 LX85T 器件 增加了对系统监控器说明和功能 2006 年 12 月 28 日 2.2 增加了 LX220T 器件 修改了表 1 中 LX330 的 I/O Bank 总数 修改了图 1 中的 XC5VLX50T-FFG665 示例 在第 7 页中阐明对 差分 SSTL 1.8V 和 2.5V (I 和 II 级 ) 予以支持 2007 年 2 月 2 日 3.0 在整个文档中增加了 SXT 平台 2007 年 5 月 23 日 3.1 删除了有关支持 IEEE 的内容 2007 年 9 月 4 日 3.2 在整个文档中将最高线速从 3.2Gb/s 修改为 3.75Gb/s 2007 年 12 月 11 日 3.3 增加了 LX20T LX155T 和 LX155 器件 2007 年 12 月 17 日 3.4 增加了 Disclaimer 修订了第 3 页中的 CMT 部分 在第 10 页对 Virtex-5 FPGA 的 LogiCORE PCI Express 增强型端点模块封装 予以阐述 2008 年 3 月 31 日 4.0 在整个文档中增加了 FXT 平台 对以下部分中的相关信息予以阐述 : 符合 PCI Express 规范的集成端点模块 和 三态以太网媒体访问控制器 为避免与 PLL 功能相混淆, 删除了第 8 页 全局时钟 中的 PMCD 参考 2008 年 4 月 25 日 4.1 在整个文档中添加了 XC5VSX240T 2008 年 5 月 7 日 4.2 就以下内容更新了整个数据手册 :RocketIO GTX 收发器的运行速度设计为从 150 Mb/s 到 6.5 Gb/s 在第 5 页对 PPC440MC_DDR2 存储控制器予以阐述 2008 年 6 月 18 日 4.3 修改了第 2 页表 1 中的以太网 MAC 列, 并增加了注 5 另外还更新了第 9 页 三态 (10/100/1000 Mb/s) 以太网 MAC 2008 年 9 月 23 日 4.4 在整个文档中增加了 TXT 平台 修订了第 10 页中的 RocketIO GTX 收发器数据通路支持 2009 年 2 月 6 日 5.0 修改了文档分类, 将高级改成了 12 DS100 (v5.0) 2009 年 2 月 6 日

13 Notice of Disclaimer THE XILINX HARDWARE FPGA AND CPLD DEVICES REFERRED TO HEREIN ( PRODUCTS ) ARE SUBJECT TO THE TERMS AND CONDITIONS OF THE XILINX LIMITED WARRANTY WHICH CAN BE VIEWED AT THIS LIMITED WARRANTY DOES NOT EXTEND TO ANY USE OF PRODUCTS IN AN APPLICATION OR ENVIRONMENT THAT IS NOT WITHIN THE SPECIFICATIONS STATED IN THE XILINX DATA SHEET. ALL SPECIFICATIONS ARE SUBJECT TO CHANGE WITHOUT NOTICE. PRODUCTS ARE NOT DESIGNED OR INTENDED TO BE FAIL-SAFE OR FOR USE IN ANY APPLICATION REQUIRING FAIL-SAFE PERFORMANCE, SUCH AS LIFE-SUPPORT OR SAFETY DEVICES OR SYSTEMS, OR ANY OTHER APPLICATION THAT INVOKES THE POTENTIAL RISKS OF DEATH, PERSONAL INJURY, OR PROPERTY OR ENVIRONMENTAL DAMAGE ( CRITICAL APPLICATIONS ). USE OF PRODUCTS IN CRITICAL APPLICATIONS IS AT THE SOLE RISK OF CUSTOMER, SUBJECT TO APPLICABLE LAWS AND REGULATIONS. Virtex-5 FPGA 文档 有关 Virtex-5 系列 FPGA 的最新完整文档可以从赛灵思网站获得 除了最新的 Virtex-5 系列简介, 还可以下载以下文件 : Virtex-5 FPGA 数据手册 : 直流和开关特性 (DS202) 本数据手册介绍了 Virtex-5 系列的直流和开关特性指标 Virtex-5 FPGA 用户指南 (UG190) 本指南包含以下章节 : 时钟资源 时钟管理技术 (CMT) 锁相环 (PLL) Block RAM 可配置逻辑块 (CLB) SelectIO 资源 SelectIO 逻辑资源 高级 SelectIO 逻辑资源 Virtex-5 FPGA XtremeDSP 设计注意事项 (UG193) 本指南介绍了 DSP48E Slice, 并包含使用 DSP48E 数学函数和各 种滤波器的参考设计 Virtex-5 FPGA 配置指南 (UG191) 本配置指南包罗万象, 各章节涉及内容包括配置接口 ( 串行和并 行 ) 多比特流管理 比特流加密 边界扫描和 JTAG 配置以及重 新配置技术 Virtex-5 FPGA 封装和管脚规范 (UG195) 本规范包含器件 / 封装组合和最大 I/O 数表 引脚定义表 管脚表 管脚图 机械图和热性能技术规范 Virtex-5 FPGA PCB 设计指南 (UG203) 本指南提供了有关 Virtex-5 器件 PCB 设计的信息, 重点讲述在 PCB 和接口层制定设计决策的策略 Virtex FPGA 系统监控器用户指南 (UG192) 本指南概述了系统监控器的功能 Virtex-5 FPGA RocketIO GTP 收发器用户指南 (UG196) 本指南介绍了 Virtex-5 LXT 和 SXT 平台提供的 RocketIO GTP 收发器 Virtex-5 FPGA RocketIO GTX 收发器用户指南 (UG198) 本指南介绍了 Virtex-5 TXT 和 FXT 平台提供的 RocketIO GTX 收发器 Virtex-5 FPGA 三态以太网 MAC 用户指南 (UG194) 本指南介绍了 Virtex-5 LXT SXT TXT 和 FXT 平台提供的专用三 态以太网媒体访问控制器 用于 PCI Express 设计的 Virtex-5 FPGA 集成端点模块用户指南 (UG197) 本指南介绍了 Virtex-5 LXT SXT TXT 和 FXT 平台提供的符合 PCI Express 规范的集成端点模块 Virtex-5 FPGA 中的嵌入式处理器模块参考指南 (UG200) 本参考指南介绍了 Virtex-5 FXT 平台上提供的嵌入式处理器模块 DS100 (v5.0) 2009 年 2 月 6 日 13

j_xilinx-training-courses_2012.pdf

j_xilinx-training-courses_2012.pdf Xilinx Training Catalog ... 2... 3-7 FPGA ISE... 8 FPGA... 9 FPGA... 10 FPGA... 11 Spartan-6... 12 Virtex-6... 13 7 FPGA... 14 PlanAhead... 15 PlanAhead... 16 ChipScope Pro... 17... 18... 19... 20 LogiCORE

More information

Basic Virtex-II Architecture

Basic Virtex-II Architecture Virtex-II 基本架构 目标 完成此模块的学习后 你将会 了解 Virtex-II FPGA 的基本架构资源 Virtex-II 基本架构 - 2-3 概览 综述 CLB 资源 I/O 资源 Virtex-II 的其它特性 Virtex-II Pro 的特性 总结 附录 Virtex-II 基本架构 - 2-4 FPGA 架构的综述 Xilinx 所有的 FPGA 都包含有相同的基本资源 可配置逻辑块

More information

版本控制页 版本号发布日期更改章节更改说明备注 V

版本控制页 版本号发布日期更改章节更改说明备注 V Ver 1.0 千万门级宇航用 FPGA 产品手册 产品型号 :BQR5VSX95T 版本控制页 版本号发布日期更改章节更改说明备注 V1.0 2018.5 目录 1 产品特性... 1 2 产品概述... 3 3 BQR5V 系列模块描述... 3 3.1 输入 / 输出模块 (SelectIO)... 3 3.2 可配置逻辑块 (CLB)... 5 3.3 Block RAM... 5 3.4

More information

Virtex-5 ——业界最高性能的平台 FPGA

Virtex-5 ——业界最高性能的平台 FPGA Virtex-5 业界最高性能的平台 FPGA 全球首批 65 纳米 FPGA 重新界定性能 功耗 容量以及价值标杆 作者 :Steve Douglass Xilinx 公司高级产品部产品开发副总裁 为了适应科技发展和市场竞争的需要, 系统设计者不断寻求更短的上市时间, 更高的性能和更低的成本 尤其是对于 FPGA 用户而言, 更短的上市时间 更丰富的功能 支持各种不断发展的标准 更低的风险 现场可升级性以及更低的系统成本等,

More information

Electrical and Optical Clock Data Recovery Solutions - Data Sheet

Electrical and Optical Clock Data Recovery Solutions - Data Sheet 32 GBd N1076A 32 GBd N1077A / 64 GBd N1076B 64 GBd N1078A / 64 GBd NRZ PAM4 O/E < 100 fs RMS JSA PLL ...3...4...4...5 /...6...8...11 N1076A...12 N1076B DCA-M...13 N1077A...15 N1078A DCA-M...17...21...

More information

Microsoft Word - Atmel-45136A-Pick-Best-Microcontroller-Strom-Eiland-Flodell_Article_CS

Microsoft Word - Atmel-45136A-Pick-Best-Microcontroller-Strom-Eiland-Flodell_Article_CS 如 何 为 您 的 下 一 款 设 计 选 出 最 好 的 8 位 或 32 位 微 控 制 器 作 者 : Atmel 产 品 营 销 高 级 总 监 Oyvind Strom Atmel 产 品 营 销 总 监 Andreas Eieland Atmel 研 发 工 具 部 门 高 级 产 品 营 销 经 理 Henrik Flodell 不 久 之 前, 嵌 入 式 系 统 还 是 既 昂 贵

More information

ControlSafe TM ControlSafe ControlSafe CSC CPU ControlSafe CPU CPU CPU CPU CPU I/O I/O I/O I/O I/O I/O CPU CPU CSC CPU CSC CSC /ControlSafe ControlSaf

ControlSafe TM ControlSafe ControlSafe CSC CPU ControlSafe CPU CPU CPU CPU CPU I/O I/O I/O I/O I/O I/O CPU CPU CSC CPU CSC CSC /ControlSafe ControlSaf COMPUTING TÜV SÜD COTS SIL4 99.9999% IEC 61373 EN 50155 15 25 ControlSafe SIL4 (COTS) 30 ControlSafe (RAMS) EN 50126 EN 50128 EN50129 SIL4 ControlSafe SIL4 ControlSafe ControlSafe 15 25 ControlSafe / ControlSafe

More information

FPGAs in Next Generation Wireless Networks WPChinese

FPGAs in Next Generation Wireless Networks WPChinese FPGA 2010 3 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 FPGAs in Next Generation Wireless Networks GSM GSM-EDGE 384kbps CDMA2000

More information

Quidway® S8500系列万兆核心路由交换机

Quidway®  S8500系列万兆核心路由交换机 Quidway NetEngine 20/20E 系列路由器订购信息 1 NetEngine 20-8/4/2 订购信息表 主机系统 RT-NE20-8-CHASSIS 5U 高度路由器机箱 必配 RT-NE20-4-CHASSIS 3U 高度路由器机箱 (NE20-4) 必配 RT-NE20-2-CHASSIS 3U 高度路由器机箱 (NE20-2) 必配 RT-NE20-RPU-512M 路由处理单元

More information

Copyright 2009 Hewlett-Packard Development Company, L.P. 本 文 档 中 包 含 的 信 息 如 有 更 改, 恕 不 另 行 通 知 Microsoft Windows 和 Windows Vista 是 Microsoft Corporat

Copyright 2009 Hewlett-Packard Development Company, L.P. 本 文 档 中 包 含 的 信 息 如 有 更 改, 恕 不 另 行 通 知 Microsoft Windows 和 Windows Vista 是 Microsoft Corporat 硬 件 参 考 指 南 HP Compaq 8100 Elite 可 转 换 小 型 立 式 商 用 PC 和 HP Z200 可 转 换 小 型 立 式 工 作 站 Copyright 2009 Hewlett-Packard Development Company, L.P. 本 文 档 中 包 含 的 信 息 如 有 更 改, 恕 不 另 行 通 知 Microsoft Windows 和 Windows

More information

EMC® VNX® Series VNX8000™ Block 安装指南

EMC® VNX® Series VNX8000™ Block 安装指南 EMC VNX Series VNX8000 Block 安 装 指 南 300-999-791 REV 05 版 权 所 有 2014-2015 EMC Corporation 保 留 所 有 权 利 中 国 印 刷 发 布 日 期 : 2015 年 2 月 EMC 确 信 本 出 版 物 在 发 布 之 日 内 容 准 确 无 误 本 出 版 物 中 的 信 息 可 随 时 更 改 而 不 另

More information

版本控制页 版本号发布日期更改章节更改说明备注 V.. BQV 系列 FPG

版本控制页 版本号发布日期更改章节更改说明备注 V.. BQV 系列 FPG Ver. BQV 系列 FPG 产品使用手册 产品型号 :BQVSXT/ BQVSXT/ BQVSX9T/ BQVLXT/ BQVSXT BQV 系列 FPG 版本控制页 版本号发布日期更改章节更改说明备注 V.. BQV 系列 FPG 目录 产品特性... 产品概述... BQV 系列模块描述.... 输入 / 输出模块 (SelectIO).... 可配置逻辑块 (CLB).... Block

More information

untitled

untitled XILINX Platform Cbale USB www.hseda.com ...... Platform Cable USB Compatible.................. impact.........1 platform Cable USB Compatible.........1...1...1...1...1...1 Platform Cable USB Compatible

More information

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010)

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010) ,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN 7-5635-1099-0...............TP36 CIP (2005)076733 : ( 10 ) :100876 : (010 )62282185 : (010)62283578 : publish@bupt.edu.cn : : : 787 mm960 mm 1/

More information

Panaboard Overlayer help

Panaboard Overlayer help Panaboard Overlayer Image Capture Software for Electronic Whiteboard (Panaboard) ... 3... 5... 6... 13...14 Panaboard Overlayer 1. 2. 3. 4. 4-1. 4-2. [ / ] ( ) 4-3. 5. 6. 6-1. 6-2. [ / ] ( ) 7. Panaboard

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63> 嵌 入 式 系 统 设 计 师 考 试 大 纲 一 考 试 说 明 1 考 试 要 求 : (1) 掌 握 科 学 基 础 知 识 ; (2) 掌 握 嵌 入 式 系 统 的 硬 件 软 件 知 识 ; (3) 掌 握 嵌 入 式 系 统 分 析 的 方 法 ; (4) 掌 握 嵌 入 式 系 统 设 计 与 开 发 的 方 法 及 步 骤 ; (5) 掌 握 嵌 入 式 系 统 实 施 的 方 法

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

PCI Express

PCI Express PCI Express 1-Gigabit Wall Chip-to-Chip Line Card Interconnect PL2 PCI PL3 SFI-4/SPI-4 SFI-5/SPI-5 2.488-3.125 Gbps 16 ch 3GIO I/O Interconnect Rapid I/O (Parallel) HyperTransport Rapid I/O (Serial) 1.25,

More information

利用即插即用的IP实现更高的FPGA设计生产力

利用即插即用的IP实现更高的FPGA设计生产力 利用即插即用的 IP 实现更高的 FPGA 设计生产力 第 1 页 会议日程 介绍 : 什么是 AXI? 优势 如何通过 IP 生态系统提升 IP 的可用性? 如何实现更高生产力? 接口如何提供增强系统性能的灵活性? 下一步的发展是什么? 第 2 页 赛灵思全面转向 AXI 工具 IP 应用 培训 嵌入连接功能 DSP ISE / PlanAhead System Generator CORE Generator

More information

VME

VME VMEbus VME 35 VME VME VME EOL Tsi148 VME PCI-X Marvell 2025 VME Power Architecture VME VME VME VME VME VME VME PCI VME VME VME 32 32 CPU I/O VME VMEbus 20 70 VERSAbus European Microsystems group VERSAbus

More information

Intel® Cyclone® 10 LP器件概述

Intel®  Cyclone® 10 LP器件概述 订阅 反馈 官网最新文档 :PDF HTML 内容 内容 Cyclone 10 LP 器件概述...3 Cyclone 10 LP 特性汇总... 4 Cyclone 10 LP 可用选项... 5 Cyclone 10 LP 最大资源...6 Cyclone 10 LP 封装规划... 6 Cyclone 10 LP I/O 纵向移植...7 逻辑单元和逻辑阵列模块...7 嵌入式乘法器...8

More information

Xilinx UG190 Virtex-5 FPGA 用户指南

Xilinx UG190 Virtex-5 FPGA 用户指南 Virtex-5 用户指南 Xilinx is disclosing this Document and Intellectual Property (hereinafter "the Design") to you for use in the development of designs to operate on, or interface with Xilinx FPGAs. Except

More information

Microsoft Word - 中三選科指南 2014 subject

Microsoft Word - 中三選科指南 2014 subject 必 修 科 目 簡 介 < < < 1. 中 文 > > > 本 科 的 公 開 評 核 以 課 程 發 展 議 會 與 香 港 考 試 及 評 核 局 聯 合 編 訂 的 中 國 語 文 科 課 程 及 評 估 指 引 ( 中 四 至 中 六 ) 為 根 據 目 標 本 科 主 要 評 核 考 生 : (1) 讀 寫 聽 說 能 力 思 維 能 力 審 美 能 力 和 自 學 能 力 ; (2)

More information

发行说明, 7.0.1 版

发行说明, 7.0.1 版 发 行 说 明 Websense Web Security Websense Web Filter 7.0.1 版 本 版 本 的 新 特 点 Websense Web Security 和 Websense Web Filter 的 7.0.1 版 本 均 已 本 地 化 为 以 下 语 言 : 法 语 德 语 意 大 利 语 日 语 葡 萄 牙 语 简 体 中 文 西 班 牙 语 繁 体 中 文

More information

Xilinx Alliance Program Certified GJVZsIPb3 IPb3pg(lwE & by2eh;[d)y IP ROM

Xilinx Alliance Program Certified GJVZsIPb3 IPb3pg(lwE & by2eh;[d)y IP ROM Xilinx Alliance Program Certified IPb3pg(lwE & by2eh;[d)y IP ROM NVMe SSD FPGA!! NVMe-IP 32G bps Gen3 x 4Lane IP CPUNVMe PCIe SSD 4GB/sec, PCIe Gen3 2ch RAID CPU FAT32 PLDAPCIe Soft IP!! Linux Gen3 PCIe

More information

厨房小知识(四)

厨房小知识(四) I...1...2...3...4...4...5...6...6...7...9...10... 11...12...12...13...14...15...16...17...18...18...19...22...22 II...23...24...25...26...27...27...28...29...29...30...31...31?...32...32...33?...33...34...34...35...36...36...37...37...38...38...40

More information

妇女更年期保健.doc

妇女更年期保健.doc ...1...2...3...5...6...7 40...8... 11...13...14...16...17...19...20...21...26...29...30...32 I ...34...35...37...41...46...50...51...52...53...54...55...58...64...65 X...67...68...70...70...74...76...78...79

More information

小儿传染病防治(上)

小儿传染病防治(上) ...1...2...3...5...7...7...9... 11...13...14...15...16...32...34...34...36...37...39 I ...39...40...41...42...43...48...50...54...56...57...59...59...60...61...63...65...66...66...68...68...70...70 II

More information

<4D6963726F736F667420576F7264202D2031303430333234B875B9B5A448ADFBBADEB27AA740B77EA4E2A5555FA95EAED6A641ADD75F2E646F63>

<4D6963726F736F667420576F7264202D2031303430333234B875B9B5A448ADFBBADEB27AA740B77EA4E2A5555FA95EAED6A641ADD75F2E646F63> 聘 僱 人 員 管 理 作 業 參 考 手 冊 行 政 院 人 事 行 政 總 處 編 印 中 華 民 國 104 年 3 月 序 人 事 是 政 通 人 和 的 關 鍵 是 百 事 俱 興 的 基 礎, 也 是 追 求 卓 越 的 張 本 唯 有 人 事 健 全, 業 務 才 能 順 利 推 動, 政 府 施 政 自 然 績 效 斐 然 本 總 處 做 為 行 政 院 人 事 政 策 幕 僚 機

More information

女性青春期保健(下).doc

女性青春期保健(下).doc ...1...4...10... 11...13...14...15...17...18...19...20...21...22...23...24...26...27...30...31 I ...32...33...36...37...38...40...41...43...44...45...46...47...50...51...51...53...54...55...56...58...59

More information

避孕知识(下).doc

避孕知识(下).doc ...1...3...6...13...13...14...15...16...17...17...18...19...19...20...20...23...24...24...25 I ...25...26...26...27...28...28...29...30...30...31...32...34...35 11...36...37...38...40...42...43...44...44...46

More information

孕妇饮食调养(下).doc

孕妇饮食调养(下).doc ...1...2...5...9 7...9...14...15...16...18...22...23...24...25...27...29...31...32...34 I ...35...36...37...39...40...40...42...44...46...48...51...52...53...53...54...55...56...56...58...61...64 II ...65...66...67...68...69...70...71...72...73...74...75...76...77...80...83...85...87...88

More information

禽畜饲料配制技术(一).doc

禽畜饲料配制技术(一).doc ( ) ...1...1...4...5...6...7...8...9...10... 11...13...14...17...18...21...23...24...26 I ...28 70...30...33...35...36...37...39...40...41...49...50...52...53...54...56...58...59...60...67...68...70...71

More information

中老年保健必读(十一).doc

中老年保健必读(十一).doc ...1...2...4...6...8...9...10...12...14...15...17...18...20...22...23...25...27...29 I ...30...32...35...38...40...42...43...45...46...48...52...55...56...59...62...63...66...67...69...71...74 II ...76...78...79...81...84...86...87...88...89...90...91...93...96...99...

More information

i

i i ii iii iv v vi 1 2 3 4 5 (b) (a) (b) (c) = 100% (a) 6 7 (b) (a) (b) (c) = 100% (a) 2 456 329 13% 12 120 7.1 0.06% 8 9 10 11 12 13 14 15 16 17 18 19 20 (a) (b) (c) 21 22 23 24 25 26 27 28 29 30 31 =

More information

怎样使孩子更加聪明健康(七).doc

怎样使孩子更加聪明健康(七).doc ...1...2...2...4...5 7 8...6...7...9 1 3... 11...12...14...15...16...17...18...19...20...21...22 I II...23...24...26 1 3...27...29...31...31...33...33...35...35...37...39...41...43...44...45 3 4...47...48...49...51...52

More information

i

i i ii iii iv v vi 1 g j 2 3 4 ==== ==== ==== 5 ==== ======= 6 ==== ======= 7 ==== ==== ==== 8 [(d) = (a) (b)] [(e) = (c) (b)] 9 ===== ===== ===== ===== ===== ===== 10 11 12 13 14 15 16 17 ===== [ ] 18 19

More information

二零零六年一月二十三日會議

二零零六年一月二十三日會議 附 件 B 有 关 政 策 局 推 行 或 正 在 策 划 的 纾 缓 及 预 防 贫 穷 措 施 下 文 载 述 有 关 政 策 局 / 部 门 为 加 强 纾 缓 及 预 防 贫 穷 的 工 作, 以 及 为 配 合 委 员 会 工 作, 在 过 去 十 一 个 月 公 布 及 正 在 策 划 的 新 政 策 和 措 施 生 福 利 及 食 物 局 (i) 综 合 儿 童 发 展 服 务 2.

More information

马太亨利完整圣经注释—雅歌

马太亨利完整圣经注释—雅歌 第 1 页 目 录 雅 歌 简 介... 2 雅 歌 第 一 章... 2 雅 歌 第 二 章... 10 雅 歌 第 三 章... 16 雅 歌 第 四 章... 20 雅 歌 第 五 章... 25 雅 歌 第 六 章... 32 雅 歌 第 七 章... 36 雅 歌 第 八 章... 39 第 2 页 雅 歌 简 介 我 们 坚 信 圣 经 都 是 神 所 默 示 的 ( 提 摩 太 后 书

More information

《计算机应用基础》学习材料(讲义)

《计算机应用基础》学习材料(讲义) 计 算 机 应 用 基 础 学 习 材 料 ( 讲 义 ) Fundamentals of Computer Application 2014-3-22 JIANGSU OPEN UNIVERSITY 第 二 学 习 周 计 算 机 基 础 知 识 ( 一 ) 导 学 在 本 学 习 周, 我 们 主 要 的 任 务 是 认 识 计 算 机 你 将 知 道 计 算 机 是 什 么 时 候 产 生 的,

More information

LH_Series_Rev2014.pdf

LH_Series_Rev2014.pdf REMINDERS Product information in this catalog is as of October 2013. All of the contents specified herein are subject to change without notice due to technical improvements, etc. Therefore, please check

More information

IBM System x 系列手册

IBM System x 系列手册 IBM Systems and Technology System x IBM System x IBM System x 2 IBM System x IBM System x IBM System x BladeCenter RAS IT System x BladeCenter - IT IBM - IBM X System x System x IBM System x System x BladeCenter

More information

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC LCD PIC 单片机解决方案 集成 LCD 驱动器模块的 PIC 单片机 www.microchip.com/lcd ,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC,

More information

(1) (2) (3) 1. (1) 2

(1) (2) (3) 1. (1) 2 0386 71.32% 14A 1 (1) (2) (3) 1. (1) 2 (a) (b) (i) (ii) (iii) 3 (iv) (a) (b) (c) (d) 6% 4 2013 3 26 [2013]624 10 5 2013 6 28 [2013]1246 2015 3 [2015]351 0.2 6 [2015]748 180C 7 * * 8 14A (2) 417,800,000

More information

天主教永年高級中學綜合高中課程手冊目錄

天主教永年高級中學綜合高中課程手冊目錄 天 主 教 永 年 高 級 中 學 綜 合 高 中 課 程 手 冊 目 錄 壹 學 校 背 景. 貳 教 育 理 念 與 教 育 目 標. 3 一 規 劃 理 念...3 二 教 育 目 標...3 參 畢 業 要 求. 5 一 總 學 分 數...5 二 必 選 修 學 分 數...5 三 必 須 參 加 活 動...9 四 成 績 評 量 方 式...9 肆 課 程 概 述.. 9 一 課 程

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

加 快 审 阅 和 标 记 工 作 流 程 Acrobat X 通 过 提 供 一 种 可 靠 的 文 件 格 式 扩 展 了 Office 和 SharePoint 的 协 作 服 务, 可 以 使 用 大 多 数 桌 面 应 用 程 序 生 成 这 种 格 式 并 使 用 Acrobat 或 免

加 快 审 阅 和 标 记 工 作 流 程 Acrobat X 通 过 提 供 一 种 可 靠 的 文 件 格 式 扩 展 了 Office 和 SharePoint 的 协 作 服 务, 可 以 使 用 大 多 数 桌 面 应 用 程 序 生 成 这 种 格 式 并 使 用 Acrobat 或 免 使 用 Adobe Acrobat X 增 强 与 Microsoft SharePoint 及 Microsoft Office 的 协 作 通 过 将 基 于 PDF 的 工 作 流 程 集 成 到 SharePoint 和 Office 平 台 缩 短 项 目 审 阅 周 期 目 录 1: 内 容 概 要 1: 协 作 难 题 2: 加 快 审 阅 和 标 记 工 作 流 程 3: Adobe

More information

TD

TD *TD-000212-05* 20- 应用实例 4 本例显示的是使用两个亚低 音扬声器和多个顶箱的双声 道 立体声 设置 除了各声道都增加了一个顶 箱外 也可以增加更多的顶 箱 本例和例 3 的情况一 致 声道 2 或 右声道 声道 1 或 左声道 要接到更多的顶箱 将最后 一个顶箱的全幅线路输出接 头处的线缆接到下一个顶箱 的全幅线路输入接头 在不 降低信号质量的情况下 最

More information

学 校 概 况 南 方 医 科 大 学 前 身 为 中 国 人 民 解 放 军 第 一 军 医 大 学, 创 建 于 1951 年,1979 年 被 确 定 为 全 国 重 点 大 学,2004 年 8 月 整 体 移 交 广 东 省, 更 名 为 南 方 医 科 大 学 学 校 是 全 国 首 批

学 校 概 况 南 方 医 科 大 学 前 身 为 中 国 人 民 解 放 军 第 一 军 医 大 学, 创 建 于 1951 年,1979 年 被 确 定 为 全 国 重 点 大 学,2004 年 8 月 整 体 移 交 广 东 省, 更 名 为 南 方 医 科 大 学 学 校 是 全 国 首 批 贵 阳 中 医 学 院 南 方 医 科 大 学 2015 届 毕 业 生 就 业 质 量 年 度 报 告 2015 届 毕 业 生 就 业 质 量 年 度 报 告 北 京 新 锦 成 数 据 科 技 有 限 公 司 编 学 校 概 况 南 方 医 科 大 学 前 身 为 中 国 人 民 解 放 军 第 一 军 医 大 学, 创 建 于 1951 年,1979 年 被 确 定 为 全 国 重 点 大

More information

前 言 2008 年, 我 参 加 了 几 次 可 编 程 器 件 供 应 商 举 办 的 技 术 研 讨 会, 让 我 留 下 深 刻 印 象 的 是 参 加 这 些 研 讨 会 的 工 程 师 人 数 之 多, 简 直 可 以 用 爆 满 来 形 容, 很 多 工 程 师 聚 精 会 神 地 全

前 言 2008 年, 我 参 加 了 几 次 可 编 程 器 件 供 应 商 举 办 的 技 术 研 讨 会, 让 我 留 下 深 刻 印 象 的 是 参 加 这 些 研 讨 会 的 工 程 师 人 数 之 多, 简 直 可 以 用 爆 满 来 形 容, 很 多 工 程 师 聚 精 会 神 地 全 电 子 工 程 师 创 新 设 计 必 备 宝 典 系 列 之 FPGA 开 发 全 攻 略 FPGA 开 发 全 攻 略 工 程 师 创 新 设 计 宝 典 上 册 基 础 篇 2009 年 2 月 1.0 版 前 言 2008 年, 我 参 加 了 几 次 可 编 程 器 件 供 应 商 举 办 的 技 术 研 讨 会, 让 我 留 下 深 刻 印 象 的 是 参 加 这 些 研 讨 会 的 工

More information

Word Pro - FPGA设计高级技巧(Xilinx篇).lwp

Word Pro - FPGA设计高级技巧(Xilinx篇).lwp V1.0 FPGA 62 FPGA ( ) 2001/09/15 yyyy/mm/dd yyyy/mm/dd FPGA 2001/09/1 5 1.00 2001-9-19 263 FPGA 1... 8 2... 8 2.1... 9 2.2... 10 2.3 Coding Style... 10 3 FPGA VirtexII... 10 3.1 Coding Style... 11 3.1.1

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

CSA SONET/SDH GR 253-CORE ITU-T G.703 ANSI T Ethernet IEEE Std ANSI X Fibre Channel Optical Fibre Channel Electrical U

CSA SONET/SDH GR 253-CORE ITU-T G.703 ANSI T Ethernet IEEE Std ANSI X Fibre Channel Optical Fibre Channel Electrical U CSA7000 2.5 Gb/s CSA7000 (OSI) CSA7000 2.5 Gb/s CSA7000 DPO ( ) 1 www.tektronix.com/csa7000 CSA7000 1 SONET/SDH GR 253-CORE ITU-T G.703 ANSI T1.102-1993 Ethernet IEEE Std 802.3 ANSI X3.263-1995 Fibre Channel

More information

IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I

IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I 2004 5 IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I Abstract The techniques of digital video processing, transferring

More information

Applied Biosystems StepOne™ Real-Time PCR System Quick Reference Card for Installation

Applied Biosystems StepOne™ Real-Time PCR System Quick Reference Card for Installation Applied Biosystems StepOne Real-Time PCR System StepOne 系统安装 快速参考卡 本文档提供在并置布局中安装 StepOne 系统的简明指导 有关 完整步骤或独立安装步骤 请参阅 Applied Biosystems StepOne Real-Time PCR System 安装 联网和维护指南 目录 1. 安装准备........................................

More information

untitled

untitled 19.01 19.02 19.03 [ 2009 1 1 ] 19.04 (1) 19.29 19.73 19.72 (c) (d) 200% (e) 1/13 19 1 (i) 20.06(3) 19.04(8) 20.06(17) (ii) (iii) 19.04(8) 20.06(17) (A) (aa) 1 (bb) (B) (f) (i) 19.04(1)(g) (ii) (iii) (A)

More information

声 明 本 公 司 全 体 董 事 监 事 高 级 管 理 人 员 承 诺 股 票 发 行 方 案 不 存 在 虚 假 记 载 误 导 性 陈 述 或 重 大 遗 漏, 并 对 其 真 实 性 准 确 性 和 完 整 性 承 担 个 别 和 连 带 的 法 律 责 任 根 据 证 券 法 的 规 定

声 明 本 公 司 全 体 董 事 监 事 高 级 管 理 人 员 承 诺 股 票 发 行 方 案 不 存 在 虚 假 记 载 误 导 性 陈 述 或 重 大 遗 漏, 并 对 其 真 实 性 准 确 性 和 完 整 性 承 担 个 别 和 连 带 的 法 律 责 任 根 据 证 券 法 的 规 定 大 医 科 技 股 份 有 限 公 司 股 票 发 行 方 案 ( 修 订 ) ( 住 所 : 北 京 市 海 淀 区 苏 州 街 18 号 院 长 远 天 地 大 厦 4 号 楼 1707 室 ) 主 办 券 商 国 都 证 券 股 份 有 限 公 司 ( 住 所 : 北 京 市 东 城 区 东 直 门 南 大 街 3 号 国 华 投 资 大 厦 9 10 层 ) 二 〇 一 六 年 八 月 I

More information

緒 言 董 事 會 宣 佈, 為 能 更 具 效 率 調 配 本 集 團 內 的 資 金 有 效 降 低 集 團 的 對 外 貸 款, 並 促 進 本 集 團 內 公 司 間 的 結 算 服 務, 於 2016 年 9 月 30 日, 本 公 司 中 糧 財 務 與 管 理 公 司 訂 立 財 務

緒 言 董 事 會 宣 佈, 為 能 更 具 效 率 調 配 本 集 團 內 的 資 金 有 效 降 低 集 團 的 對 外 貸 款, 並 促 進 本 集 團 內 公 司 間 的 結 算 服 務, 於 2016 年 9 月 30 日, 本 公 司 中 糧 財 務 與 管 理 公 司 訂 立 財 務 香 港 交 易 及 結 算 所 有 限 公 司 及 香 港 聯 合 交 易 所 有 限 公 司 對 本 公 告 的 內 容 概 不 負 責, 對 其 準 確 性 或 完 整 性 亦 不 發 表 任 何 聲 明, 並 明 確 表 示, 概 不 對 因 本 公 告 全 部 或 任 何 部 分 內 容 而 產 生 或 因 倚 賴 該 等 內 容 而 引 致 的 任 何 損 失 承 擔 任 何 責 任 JOY

More information

新生儿护理(下).doc

新生儿护理(下).doc ...1...1...5...8...9...12...28 BB...30 17...31...38...40...43...45...46...49...52...54...57...60 I ...62...65...69...70...77...80 72...81...82...85...89...90...92...94...95...95... 101... 102... 103...

More information

(Chi)_.indb

(Chi)_.indb 1,000,000 4,000,000 1,000,000 10,000,000 30,000,000 V-1 1,000,000 2,000,000 20,000,00010,000,0005,000,000 3,000,000 30 20% 35% 20%30% V-2 1) 2)3) 171 10,000,00050% 35% 171 V-3 30 V-4 50,000100,000 1) 2)

More information

14A 0.1%5% 14A 14A.52 1 2 3 30 2

14A 0.1%5% 14A 14A.52 1 2 3 30 2 2389 30 1 14A 0.1%5% 14A 14A.52 1 2 3 30 2 (a) (b) (c) (d) (e) 3 (i) (ii) (iii) (iv) (v) (vi) (vii) 4 (1) (2) (3) (4) (5) 400,000 (a) 400,000300,000 100,000 5 (b) 30% (i)(ii) 200,000 400,000 400,000 30,000,000

More information

一 敬 拜 诗 歌 二 灵 修 读 经 - 传 道 书 第 五 章 在 神 前 存 敬 畏 的 心 Ecc 5:1 你 到 神 的 殿 要 谨 慎 脚 步 ; 因 为 近 前 听, 胜 过 愚 昧 人 献 祭 ( 或 作 : 胜 过 献 愚 昧 人 的 祭 ), 他 们 本 不 知 道 所 做 的

一 敬 拜 诗 歌 二 灵 修 读 经 - 传 道 书 第 五 章 在 神 前 存 敬 畏 的 心 Ecc 5:1 你 到 神 的 殿 要 谨 慎 脚 步 ; 因 为 近 前 听, 胜 过 愚 昧 人 献 祭 ( 或 作 : 胜 过 献 愚 昧 人 的 祭 ), 他 们 本 不 知 道 所 做 的 第 一 九 三 天 2015-08-19 一 敬 拜 诗 歌 给 我 清 洁 的 心 二 灵 修 读 经 传 道 书 第 5 章 三 旧 约 行 程 约 伯 记 第 38-40 章 四 新 约 行 程 歌 罗 西 书 第 1 章 五 每 日 灵 粮 第 1 页 一 敬 拜 诗 歌 二 灵 修 读 经 - 传 道 书 第 五 章 在 神 前 存 敬 畏 的 心 Ecc 5:1 你 到 神 的 殿 要

More information

穨_2_.PDF

穨_2_.PDF 6 7.... 9.. 11.. 12... 14.. 15.... 3 .. 17 18.. 20... 25... 27... 29 30.. 4 31 32 34-35 36-38 39 40 5 6 : 1. 2. 1. 55 (2) 2. : 2.1 2.2 2.3 3. 4. ( ) 5. 6. ( ) 7. ( ) 8. ( ) 9. ( ) 10. 7 ( ) 1. 2. 3. 4.

More information

2015 2002 2 11 2002 2 11 346 2005 1 1 2015 4 10 2015 3 10 2015 4 10 2005 1 1 2015 4 10 2015 4 10 86 2000 7 25 2000 9 1 100,000 87 2012 6 18 50% 1995 3 18 2015 12 27 2016 6 1 2003 9 1 2013 6 29 2004 4 1

More information

. I/O Third Generation Input Output 3GIO PCI Express 3D 10GHz CPU 1Gb Gbps QoS PCI. PCI Express PCI 10 AGP PCI-X HyperTransport PCI 133MB Mu

. I/O Third Generation Input Output 3GIO PCI Express 3D 10GHz CPU 1Gb Gbps QoS PCI. PCI Express PCI 10 AGP PCI-X HyperTransport PCI 133MB Mu No.19 DCE Devices July 1, 2004 2004 6 15 PCI Express Developers Conference 2004 Intel 915P 915G 925X LGA775 P4 -- PCI Express Chipset HubLink Ultra V-Link, PCI Express Desktop.Mobile, Enterprise HyperTransport,

More information

女性减肥健身(四).doc

女性减肥健身(四).doc ...1...2...3...4...6...7...8...10... 11...14...16...17...23...25...26...28...30...30 I ...31 10...33...36...39...40...42...44...47...49...53...53 TOP10...55...58...61...64...65...66...68...69...72...73

More information

untitled

untitled : 36 236 : (025)52121888 : (025)52121555/800 8289722 : 211100 h t t p://www.phoenixcontact.com.cn e-mail: phoenix@phoenixcontact.com.cn MNR 52005577/07.04.2010-01 Printed in China PHOENIX CONTACT 2010

More information

水晶分析师

水晶分析师 大数据时代的挑战 产品定位 体系架构 功能特点 大数据处理平台 行业大数据应用 IT 基础设施 数据源 Hadoop Yarn 终端 统一管理和监控中心(Deploy,Configure,monitor,Manage) Master Servers TRS CRYSTAL MPP Flat Files Applications&DBs ETL&DI Products 技术指标 1 TRS

More information

新婚夫妇必读(二).doc

新婚夫妇必读(二).doc ... 1...1...2...5...5...6...9...10...12...14...15...17...21...25...27...33...35...36...42 I II...45...47...49...52...54...56...63...70...73...74...77...81...82...86...88...95...97...99... 103... 108...111

More information

精 神 與 自 然 : 楊 慈 湖 心 學 研 究 趙 燦 鵬 哲 學 博 士 嶺 南 大 學 二 零 零 五 年

精 神 與 自 然 : 楊 慈 湖 心 學 研 究 趙 燦 鵬 哲 學 博 士 嶺 南 大 學 二 零 零 五 年 Terms of Use The copyright of this thesis is owned by its author. Any reproduction, adaptation, distribution or dissemination of this thesis without express authorization is strictly prohibited. All rights

More information

热设计网

热设计网 例 例 Agenda Popular Simulation software in PC industry * CFD software -- Flotherm * Advantage of Flotherm Flotherm apply to Cooler design * How to build up the model * Optimal parameter in cooler design

More information

院系▲

院系▲ 张 家 界 航 空 工 业 职 业 技 术 学 院 毕 业 生 就 业 质 量 年 度 报 告 (2015 届 ) 二 〇 一 五 年 十 二 月 1 目 录 第 一 章 毕 业 生 就 业 基 本 情 况 1.1 毕 业 生 规 模 和 结 构 1 1.2 毕 业 生 就 业 率 4 1.3 毕 业 就 业 情 况 7 1.4 自 主 创 业 情 况 16 第 二 章 毕 业 生 就 业 相 关

More information

HKG_ICSS_FTO_sogobrilingual_100_19Feb2016_31837_tnc

HKG_ICSS_FTO_sogobrilingual_100_19Feb2016_31837_tnc Terms and conditions: 1. The extra 5 Membership Rewards points promotion at SOGO ( the Promotion Offer ) is valid for spending only at SOGO Department Store at Causeway Bay and Tsim Sha Tsui within the

More information

72075(BOC A Share)_入cover同back cover.indb

72075(BOC A Share)_入cover同back cover.indb 2015 32 3 20142014 2015630 201516 2014 1 2015630 20141231 73,858 85,123 (1) 1,651,951 1,727,805 (2) 126,744 158,224 (3) 396,199 420,059 2,248,752 2,391,211 (1) 2015630 18.5%2014123120.0%5.0%2014 12315.0%

More information

(i) 1 (ii) (iii) (iv) 5 5 (v) (vi)

(i) 1 (ii) (iii) (iv) 5 5 (v) (vi) BOT BOT 88 80% 3.4 27 (i) 1 (ii) (iii) (iv) 5 5 (v) (vi) (vii) (viii) (i) (ii) (iii) (iv) (v) (vi) (Environmental Sanitation Section) 110 20 0.25 2% 280 0.65 0.55 0.689 0.55 0.03 0.58 50 1 50 100 2 100

More information

Microsoft PowerPoint - vlsi_chapter02

Microsoft PowerPoint - vlsi_chapter02 第 2 章 MOSFET 逻辑设计 本章目录 2.1 理想开关 2.2 MOSFET 开关 2.3 基本的 CMOS 逻辑门 2.4 CMOS 复合逻辑门 2.5 传输门电路 2.6 时钟控制和数据流控制 2017-9-7 第 2 章 MOSFET 逻辑设计 1 2.1 理想开关 1 高电平有效的控制开关 2 低电平有效的控制开关 y = x? 2017-9-7 第 2 章 MOSFET 逻辑设计

More information

(18,344) 13 (3,603) 672 (21,947) (15,939) (55,214) (20,724) (39,913) 4,113 6,646 (16,611) (33,267) (20,645) (59,985) 4,706 4,771 (1

(18,344) 13 (3,603) 672 (21,947) (15,939) (55,214) (20,724) (39,913) 4,113 6,646 (16,611) (33,267) (20,645) (59,985) 4,706 4,771 (1 CAPITAL ENVIRONMENT HOLDINGS LIMITED NEW ENVIRONMENTAL ENERGY HOLDINGS LIMITED 3989) 2015 6 30 2015 6 30 2015 6 30 6 30 2015 2014 4 446,854 273,202 (360,150) (224,644) 86,704 48,558 5 1,517 581 (68,626)

More information

项目背景

项目背景 2010 年 中 国 家 庭 药 箱 调 查 报 告 ( 总 报 告 ) 中 国 非 处 方 药 物 协 会 中 国 医 药 质 量 管 理 协 会 中 国 药 学 会 2010 年 9 月 2010 年 中 国 家 庭 药 箱 调 查 报 告 目 录 I. 项 目 背 景...1 II. 调 查 组 织 过 程...2 ( 一 ) 调 查 时 间...2 ( 二 ) 调 查 范 围 及 对 象...2

More information

项目背景

项目背景 2010 年 中 国 家 庭 药 箱 调 查 报 告 ( 止 痛 类 ) 中 国 非 处 方 药 物 协 会 中 国 医 药 质 量 管 理 协 会 中 国 药 学 会 2010 年 9 月 2010 年 中 国 家 庭 药 箱 调 查 报 告 ( 止 痛 类 ) 目 录 I. 项 目 背 景...1 II. 调 查 组 织 过 程...2 ( 一 ) 调 查 时 间...2 ( 二 ) 调 查 范

More information

52C-14266-5

52C-14266-5 逻 辑 分 析 仪 基 础 知 识 入 门 手 册 www.tektronix.com.cn/logic_analyzers 15 入 门 手 册 目 录 引 言 3-4 起 源 3 数 字 示 波 器 3 逻 辑 分 析 仪 4 逻 辑 分 析 仪 操 作 5-13 连 接 被 测 系 统 5 探 头 5 设 置 逻 辑 分 析 仪 7 设 置 时 钟 模 式 7 设 置 触 发 7 采 集 状

More information

(i) (4)0.10 (1) 0.40 (ii) (iii) (i) (ii) ,000,000125,000,000 1,250,000, (iv) 3,750,000, ,000,000 1,250,000,00

(i) (4)0.10 (1) 0.40 (ii) (iii) (i) (ii) ,000,000125,000,000 1,250,000, (iv) 3,750,000, ,000,000 1,250,000,00 362 1 (i) (4)0.10 (1) 0.40 (ii) (iii) (i) 0.30 0.40 0.10 (ii) 0.40 0.10 500,000,000125,000,000 1,250,000,0000.10 (iv) 3,750,000,000 125,000,000 1,250,000,000 500,000,000 5,000,000,000 (v) (vi) 2 1,837,370,000

More information

C150510918Ann.indd

C150510918Ann.indd UNIVERSAL TECHNOLOGIES HOLDINGS LIMITED 1026 49% 49%224,420,000280,525,000 14.07100%14 1 14A.07 14A 1414A 510,380,000 24.53% (i) 67,540,0003.25% 20,000,000(ii)10,000,000 0.48%10,000,000 2 (i)(ii) (iii)

More information

多核心CPU成長日記.doc

多核心CPU成長日記.doc 篇 名 : 多 核 心 CPU 成 長 日 記 作 者 : 劉 重 安 國 立 溪 湖 高 中 高 三 11 班 趙 芃 凱 國 立 溪 湖 高 中 高 三 11 班 蔡 文 凱 國 立 溪 湖 高 中 高 三 11 班 指 導 老 師 : 潘 秀 欽 老 師 第 1 頁 壹 前 言 微 處 理 器 (CPU, 被 稱 為 中 央 處 理 器 ) 可 說 是 電 腦 系 統 的 大 腦, 掌 管 整

More information

长 安 大 学 硕 士 学 位 论 文 基 于 数 据 仓 库 和 数 据 挖 掘 的 行 为 分 析 研 究 姓 名 : 杨 雅 薇 申 请 学 位 级 别 : 硕 士 专 业 : 计 算 机 软 件 与 理 论 指 导 教 师 : 张 卫 钢 20100530 长安大学硕士学位论文 3 1 3系统架构设计 行为分析数据仓库的应用模型由四部分组成 如图3 3所示

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

南華大學數位論文

南華大學數位論文 南 華 大 學 哲 學 與 生 命 教 育 學 系 碩 士 論 文 呂 氏 春 秋 音 樂 思 想 研 究 研 究 生 : 何 貞 宜 指 導 教 授 : 陳 章 錫 博 士 中 華 民 國 一 百 零 一 年 六 月 六 日 誌 謝 論 文 得 以 完 成, 最 重 要 的, 是 要 感 謝 我 的 指 導 教 授 陳 章 錫 博 士, 老 師 總 是 不 辭 辛 勞 仔 細 閱 讀 我 的 拙

More information

Microsoft Word - 3.3.1 - 一年級散文教案.doc

Microsoft Word - 3.3.1 - 一年級散文教案.doc 光 明 英 來 學 校 ( 中 國 文 學 之 旅 --- 散 文 小 說 教 學 ) 一 年 級 : 成 語 ( 主 題 : 勤 學 ) 節 數 : 六 教 節 ( 每 課 題 一 教 節 ) 課 題 : 守 株 待 兔 半 途 而 廢 愚 公 移 山 鐵 杵 磨 針 孟 母 三 遷 教 學 目 的 : 1. 透 過 活 動, 學 生 能 說 出 成 語 背 後 的 含 意 2. 學 生 能 指

More information

第32回独立行政法人評価委員会日本貿易保険部会 資料1-1 平成22年度財務諸表等

第32回独立行政法人評価委員会日本貿易保険部会 資料1-1 平成22年度財務諸表等 1 12,403 2,892 264,553 19,517 238,008 10,132 989 36 9,869 2,218 250 122 ( 126 108 1,563 278 159 260 478 35,563 1,073 74 190,283 104,352 140,658 20,349 16,733 21,607 (21,607) 58,689 303,699 339,262 339,262

More information

項 訴 求 在 考 慮 到 整 體 的 財 政 承 擔 以 及 資 源 分 配 的 公 平 性 下, 政 府 採 取 了 較 簡 單 直 接 的 一 次 性 減 稅 和 增 加 免 稅 額 方 式, 以 回 應 中 產 家 庭 的 不 同 訴 求 ( 三 ) 取 消 外 傭 徵 費 6. 行 政 長

項 訴 求 在 考 慮 到 整 體 的 財 政 承 擔 以 及 資 源 分 配 的 公 平 性 下, 政 府 採 取 了 較 簡 單 直 接 的 一 次 性 減 稅 和 增 加 免 稅 額 方 式, 以 回 應 中 產 家 庭 的 不 同 訴 求 ( 三 ) 取 消 外 傭 徵 費 6. 行 政 長 2013 年 1 月 23 日 的 立 法 會 會 議 葛 珮 帆 議 員 就 幫 助 中 產 動 議 的 議 案 ( 經 單 仲 偕 議 員 及 莫 乃 光 議 員 修 正 ) 進 度 報 告 在 2013 年 1 月 23 日 的 立 法 會 會 議 上, 由 葛 珮 帆 議 員 就 幫 助 中 產 動 議 的 議 案, 經 單 仲 偕 議 員 及 莫 乃 光 議 員 修 正 後 獲 得 通 過

More information

(f) (g) (h) (ii) (iii) (a) (b) (c) (d) 208

(f) (g) (h) (ii) (iii) (a) (b) (c) (d) 208 (a) (b) (c) (d) (e) 207 (f) (g) (h) (ii) (iii) (a) (b) (c) (d) 208 17.29 17.29 13.16A(1) 13.18 (a) (b) 13.16A (b) 12 (a) 209 13.19 (a) 13.16A 12 13.18(1) 13.18(4) 155 17.43(1) (4) (b) 13.19 17.43 17.29

More information

untitled

untitled 1993 79 2010 9 80 180,000 (a) (b) 81 20031,230 2009 10,610 43 2003 2009 1,200 1,000 924 1,061 800 717 600 530 440 400 333 200 123 0 2003 2004 2005 2006 2007 2008 2009 500 2003 15,238 2009 31,4532003 2009

More information

Microsoft Word - 08 单元一儿童文学理论

Microsoft Word - 08 单元一儿童文学理论 单 元 ( 一 ) 儿 童 文 学 理 论 内 容 提 要 : 本 单 元 共 分 成 三 个 小 课 目, 即 儿 童 文 学 的 基 本 理 论 儿 童 文 学 创 作 和 儿 童 文 学 的 鉴 赏 与 阅 读 指 导 儿 童 文 学 的 基 本 理 论 内 容 包 括 儿 童 文 学 的 基 本 含 义 儿 童 文 学 读 者 儿 童 文 学 与 儿 童 年 龄 特 征 和 儿 童 文 学

More information

68003 (Project Unity TC)_.indb

68003 (Project Unity TC)_.indb 2014 8 31 2016 2 6 104 2015 4 24 105 2008 6 1 2014 7 29 2 5,000 1 106 5 2008 3 5% 5% 2012 10 11 5% 5% 20% 25% H 17.51% A 107 A0.07% A 0.06% A H 25% H 25% 30 10 2015 8 27 5% 108 5% 2008 12 1 5% 2012 9 23

More information

bnbqw.PDF

bnbqw.PDF 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 ( ( 1 2 16 1608 100004 1 ( 2003 2002 6 30 12 31 7 2,768,544 3,140,926 8 29,054,561 40,313,774 9 11,815,996 10,566,353 11 10,007,641 9,052,657 12 4,344,697

More information

Microsoft Word - 發布版---規範_全文_.doc

Microsoft Word - 發布版---規範_全文_.doc 建 築 物 無 障 礙 設 施 設 計 規 範 內 政 部 97 年 4 年 10 日 台 內 營 字 第 0970802190 號 令 訂 定, 自 97 年 7 月 1 日 生 效 內 政 部 97 年 12 年 19 日 台 內 營 字 第 0970809360 號 令 修 正 內 政 部 101 年 11 年 16 日 台 內 營 字 第 1010810415 號 令 修 正 目 錄 第 一

More information

概 述 随 着 中 国 高 等 教 育 数 量 扩 张 目 标 的 逐 步 实 现, 提 高 教 育 质 量 的 重 要 性 日 益 凸 显 发 布 高 校 毕 业 生 就 业 质 量 年 度 报 告, 是 高 等 学 校 建 立 健 全 就 业 状 况 反 馈 机 制 引 导 高 校 优 化 招

概 述 随 着 中 国 高 等 教 育 数 量 扩 张 目 标 的 逐 步 实 现, 提 高 教 育 质 量 的 重 要 性 日 益 凸 显 发 布 高 校 毕 业 生 就 业 质 量 年 度 报 告, 是 高 等 学 校 建 立 健 全 就 业 状 况 反 馈 机 制 引 导 高 校 优 化 招 I 概 述 随 着 中 国 高 等 教 育 数 量 扩 张 目 标 的 逐 步 实 现, 提 高 教 育 质 量 的 重 要 性 日 益 凸 显 发 布 高 校 毕 业 生 就 业 质 量 年 度 报 告, 是 高 等 学 校 建 立 健 全 就 业 状 况 反 馈 机 制 引 导 高 校 优 化 招 生 和 专 业 结 构 改 进 人 才 培 养 模 式 及 时 回 应 社 会 关 切 的 一 项

More information

鱼类丰产养殖技术(二).doc

鱼类丰产养殖技术(二).doc ...1...1...4...15...18...19...24...26...31...35...39...48...57...60...62...66...68...72 I ...73...88...91...92... 100... 104... 144... 146... 146... 147... 148... 148... 148... 149... 149... 150... 151...

More information

疾病诊治实务(一)

疾病诊治实务(一) ...1...4...5...8...13...14...15...18...18...19...22...25...26...27...29...30...32...35 I ...38...42...43...45...48...51...53...56...59...60...60...61...63...65...67...69...72...74...77...80...82...84 II

More information