AT9280CH

Size: px
Start display at page:

Download "AT9280CH"

Transcription

1 芯片描述 是单芯片 单电源 8bit 32MSPS 模数转换器 ; 内部集成了采样保持放大器和电源基准源 使用多级差分流水线架构保证了 32MSPS 数据转换数率下全温度范围内无失码 的输入适合图像视频和通信系统应用 ; 用户可以根据实际需要选择单端输入或者差分输入, 也可根据需要选择输入范围和消除失调 内部集成的采样保持放大器, 既适合复用系统又适合开关全波电压范围的连续信道, 采样单信道输入频率可以超过奈奎斯特频率 交流耦合输入可以借助内部的钳位电路移位到一定的固定电平, 动态性能非常完好 内部集成了可编程基准源 根据系统需要也可以选择外部高精度基准满足系统精度的要求 单时钟输入控制内部的转换周期 ; 数字输出二进制的数据信息 超出量化范围检测位信息表征了输入信号超过了最小和最大量化范围的信息 可工作在 2.7V~5.5V 单电源范围, 适合高速低功耗的应用范围 适合工业温度范围 (-40 ~+85 ). 芯片特色 8 Bit 32 MSPS 流水线 ADC 低功耗 :90mV (3V 电源下 ) 宽工作范围 :+2.7~+5.5V 高线性度 :DNL:0.2LSB 低功耗模式控制 三态门输出 量化范围检测 内建钳位功能 高精度可编程基准电源 中频亚采样高达 135MHZ 结构框图 ADC

2 封装形式 (SSOP28) 引脚说明 Num. Name 描述 1 AVSS 模拟地 2 DRVDD 数字驱动电源 3-4 NC 扩展位 5-12 D0-D7 数字输出位,DO 最低位,D7 最高位 13 OTR 超出量化范围检测位 14 DRVSS 数字驱动地 15 CLK 时钟输入 16 THREE_STATE 三态控制, 高电位输出高阻态, 低电位正常工作 17 STBY 睡眠模式控制 : 高电位低睡眠模式, 低电位正常模式 18 REFSENSE 基准模式选择 19 CLAMP 钳位控制 : 高电压钳位模式, 低电压非钳位模式 20 CLAMPIN 钳位电压设置 21 REFTS 高电压基准 22 REFTF 高电压基准去偶 23 MODE 模式选择 24 REFBF 低电压基准去偶 25 REFBS 低电压基准 26 VREF 内部基准电压 27 AIN 模拟输入 28 AVDD 模拟电源

3 主要技术指标规范 ( 测试条件 ( 除特殊说明外 ):AVDD=+3V, DRVDD=+3V, Fs=32MHz(50% 占空比 ),MODE=AVDD, 2V 输入范围 0.5V~2.5V, 外部基准 ) 参数符号 Min Typ Max 单位说明 分辨率 8 Bits 转换频率 32 MHz 微分非线性 DNL ±0.2 ±1.0 LSB 积分非线性 INL ±0.3 ±1.5 LSB 失调误差 E ZS ±0.2 ±1.8 % FSR 增益误差 E FS ±1.2 ±3.9 %FSR REFTS 1 AVDD V REFBS GND AVDD-1 V 差分基准 2 V VREF(1V) 1 V 基准冗差 mv VREF(2V) 2 V 负载调 (1V) mv 输入电压范围 REFBS REFTS V 输入电容 1 pf 孔径延迟 4 ns 孔径抖动 2 ps 输入带宽 300 MHz DC 泄漏电流 43 ua 模拟电压 V 数字驱动电压 V 电流 ma 功耗 mw 睡眠模式 4 mw 增益误差电源抑制比 1 %FS 信噪比 SNR db 信噪谐波失真比 SINAD db 有效位数 EOB db 总谐波失真 THD db 无杂散动态范围 SFDR db 微分相位 0.2 Degree 微分增益 0.08 % 输入高电平 2.4 V 输入低电平 0.3 V 输出高阻态 ua 数据有效延迟 25 ns 数据使能延迟 25 ns NTSC40Mod Ramp

4 数据高阻延迟 13 ns 数字位输出高电平 2.95 V 驱动 (Io=50uA) 数字位输出高电平 2.8 V 驱动 (Io=50uA) 数字输出低电平 V (Io=1.6mA) 0.4 数字输出低电平 V (Io=50uA) 0.05 数字位输出高电平 4.5 V 驱动 (Io=50uA) 数字位输出高电平驱动 (Io=500uA) 4.4 V 数字输出低电平 0.4 V (Io=1.6mA) 数字输出低电平 (Io=50uA) 0.1 V 时钟高电平脉宽 14.7 ns 时钟低电平脉宽 14.7 ns 流水线延迟 3 Cycles 钳位误差电压 mv 钳位脉冲 2 us 应用说明工作原理 利用多级流水线架构实现了低功耗高速数据转换 ; 将整个的转换精度分成低精度的单阶子转换器, 各阶转换的结果在时序控制下通过内部数字校准电路实现了高精度的数据转换 工作模式 适合多领域的图像视频 通信和仪表应用包括兼容 AD876-8 系列, 可根据具体系统需要选择合适的工作模式进行性能优化 为实现系统的灵活性, 内部开关可编程实现了不同的工作模式, 内部的三个模块电压基准, 电压缓冲 模拟输入可在不同开关模式下实现不同的选择, 具体的实现形式和工作模式见表 1, 及模式说明图例 表 1. 模式选择 MODES Input Input Mode Pin REFSENSE REF REFTS REFBS Connect Span Pin TOP/BOTTOM AIN 1V AVDD Short REFSENSE,REFTS and VREF Together AIN 2V AVDD AGND Short REFTS and VREF Together AGND AGND CENTER SPAN AIN 1V AVDD/2 Short VREF and AVDD/2 AVDD/2 REFSENSE Together AIN 2V AVDD/2 AGND No AVDD/2 AVDD/2

5 Connect Differential AIN is 1V AVDD/2 Short VREF and AVDD/2 AVDD/2 Input 1, REFSENSE Together REFTS and REFBS Are Shorted Together for Input 2 2V AVDD/2 AGND No Connect AVDD/2 AVDD/2 External Ref AIN 2V max AVDD AVDD No Span=REFTS-REFBS AGND Connect Short to Short to VREFTF VREFBF AD876-8 AIN 2V Float or AVDD No Short to Short to AVSS Connect VREFTF VREFBF Figure 15. 等价输入功能电路 Figure 16a. 顶 / 底模式 Figure 16b. 中间电压模式 Figure 16c. 差分模式 Figure 16d. 1V 基准模式 Figure 16e. 2V 基准模式

6 Figure 16f. 可变基准模式 (1V~2V 之间 ) Figure 16g. 内部基准关闭模式 Figure 17. 基准去耦合网络 Figure 18. 内部基准 2V 输入范围 ( 顶 / 底模式 ) Figure 19. 内部基准 1V 输入范围 ( 顶 / 底模式 ) Figure 20. 内部基准 1V 输入范围 ( 中间电压模式 ) Figure 21. 外部基准 1V 输入范围 ( 顶 / 底电压模式 )Figure 22. 外部基准 1V 输入范围 ( 中间电压模式 )

7 Figure 23a. 外部基准 2V 输入范围 ( 顶 / 底电压模式 ) Figure 23b. Kelvin 连接外部基准模式睡眠模式 可以通过设置引脚 STBY 为逻辑高电平同时保持时钟在低电平进入睡眠模式 在这个模式下, 典型的功耗约 4mW 芯片在 STBY 变成低电平后约 400ns 后进入正常模式 钳位功能 为实现交流耦合输入信号或视频信号直流恢复的功能内部集成了钳位功能电路 图 24 揭示了内部钳位电路和钳位工作需要的外部控制信号 为了保证钳位使能, 应用逻辑高电平于引脚 CLAMP 这将关闭开关 SW1, 内部的钳位放大器工作在缓冲器模式, 引脚 CAMPLIN 的电压经过缓冲钳位 AIN 输入的直流电压 获得期望的钳位电压后, 开关 SW1 由于引脚 CLAMP 变成逻辑低电平而打开 在忽略由于输入偏置电流引起的电压变化外, 输入电容保持钳位的直流电压值直到下一个钳位间隔到来 为保证内部钳位放大器的闭环稳定性, 输入电阻推荐最小值为 10 欧姆 引脚 CLAMPIN 允许的电压范围由内部钳位放大器的工作限制, 推荐值在 0.5V~2.5V 之间 输入电容大小根据在钳位间隔内输入电压 AIN 允许的足够捕获时间和钳位间隔之间的最小电压降来确定 具体来说, 开关关闭后的捕获时间由下式给出 V C TACQ = RINCIN In V E 式中 Vc 式输入电容两端的电压变化量,VE 是误差电压 Vc 是钳位间隔开始的初始输入直流电平和引脚 CLAMPIN 提供的输入钳位电压的差值电压 VE 是系统参数, 等于 VC 的最大允许偏差 例如一个 2V 的输入电平需要钳位在 1V 直流电平上, 允许偏差在 10mV, 则 VC=1V,VE=10mV 一旦在输入端获得合适的钳位电平, 需要非常小的电压变化来保 IBIAS 证直流电平偏差 电压降根据下式计算 dv = ( t), 其中 t 是钳位间隔时间 AT9280 C 的偏置电流由采样频率 Fs 基准中间电压(REFTS-REFBS)/2 和输入电压决定 钳位间隔内的电压降是个重要参数, 输入电容的最小值基于需要的电压降来计算得到 捕获时间 - 钳位脉冲宽度 - 根据选择的最小电容值来进行调整 实际系统中需要在捕获时间 钳位电压降和误差电压等指标间折衷考虑 IN

8 Figure 24a. 钳位原理图 Figure 24b. 视频钳位电路原理示意图模拟输入的驱动电路图 25 说明了等价的模拟输入电路, 内部集成了采样保持放大器 在时钟低电平输入时, 开关 1 和 2 闭合, 开关 3 关闭, 输入模拟信号对采样电容 CH 进行充电 当时钟由低电平转变成高电平时, 开关 1 和 2 断开, 采样保持电路进入保持模式, 开关 3 关闭, 运放的输出等于采样电容储存的电压 当时钟由高电平转变成低电平时, 开关 3 首先断开, 开关 1 和 2 然后闭合, 采样保持放大器进入跟踪模式 输入采样保持电路的结构对模拟信号输入驱动能力由一定的要求 引脚电容 CP 和保持电容 CH 一般小于 5Pf 输入信号源必须能够在半个时钟周期内把这些电容充电或放电到 8bit 精度所需要的电压值 当采样保持放大器进入跟踪模式时, 输入信号源必须对保持电容 CH 充电或放电从上一周期储存的电压到一个新的电压 最坏情况下, 输入信号源提供充电电流在半个时钟周期内, 通过开关 1 导通电阻 Ron 是采样电容发生最大输入信号峰值的转变 这中情况等效于驱动一个低输入阻抗电路 另一个情况, 当输入信号源电压等于前一时刻储存的电压时, 保持电容不需要输入电流, 等价于输入阻抗非常高 在输入信号源和 AIN 引脚之间加入串连电阻可以减少信号源的驱动能力的要求 ; 如图 26 所示 某些特殊应用的带宽限制了串连电阻的大小, 为了保证系统的性能指标, 电阻限制在 20 欧姆以内 对于信号带宽小于奈奎斯特频率的应用, 用户可以适当增加电阻大小 另外加入一个对地的并联电容可以减小交流负载阻抗, 电容的大小需要根据信号内阻和需要的信号带宽来选择 的信号输入范围是基准电压的函数 对于输入范围的选择, 根据基准部分中内部基准和外部基准的不同编程来选择确定 在许多应用中, 尤其是单电源工作, 交流耦合提供了一种方便的偏置模拟输入信号在合适的量化范围的方法 图 27 说明了交流耦合模拟输入信号的典型结构 这种结构的高通 -3dB 角频率是非常重要的考虑参数 f 3 db = 1/(2* pi * R2* CEQ ), 其中 C EQ 是 C1 和 C2 的并联 在选择电阻值是需要特别的考虑, 交流耦合电容在输入端集成了开关传输特性, 导致了节点直流偏置电流流入输入 偏置电流的大小随着输入信号幅度偏离中间参考电压值的大小和采样频率的增加而增加 当输入信号等于基准中间值时, 输入偏置电流最小, 同时导致输入失调误差 ( R1+ R2)* I B. 如果需要补偿这个误差, 考虑减小 R2 或者调整 VBIAS 实现满足需要的失调要求 系统应用种必须使用直流耦合, 通过运放改变参考地的信号直流电平以实现输入信号在

9 合适的量化范围内 图 28 给出了使用 AD8041 的同向模式的电路结构 可以采用差分输入的信号模式 这种结构需要通过短接 REFTS 和 REFBS 两个 输入端作为一个差分输入端 图 29 给出了 1V P-P 信号的差分输入模式 Figure 25. 等价输入结构 Figure 26. 简单驱动电路 Figure 27. 交流耦合输入 Figure 28. 双极电平移位结构 Figure 29. 差分输入结构 AD876-8 工作模式 可以通过引脚配置替代 AD876-8 系列 ; 从而降低原来使用 AD876-8 的系统的功耗 图 30 说明了 替代 AD876-8 的引脚配置 通过 REFSENSE 接地,MODE 引脚悬空,CLAMP 引脚接地, 使用外部基准模式就可以替代原来的 AD Figure 30.AD876 模式时钟输入 时钟输入通过内部的反向器缓冲器给电路提供时钟, 内部反向器通过 AVDD 引脚供电 这种结构保证了时钟满足了 +5V 或 +3.3V CMOS 逻辑输入信号, 输入阈值电压在

10 AVDD/2 的流水线结构既工作在时钟的上升沿又工作在下降沿 为了最小话占空比的偏差, 推荐采样高速或先进 CMOS 逻辑时钟 (HC/HCT, AC/ACT) CMOS 逻辑提供了对称的电压阈值电平和足够的上升和下降时间满足 32 MSPS 的采样操作 设计的最高时钟频率位 32MHz, 更高的时钟频率将要弱化系统的性能指标 ; 选择更低的时钟频率可以提高系统的性能指标 输出缓冲的功率消耗主要正比于时钟频率, 更低的时钟频率可以降低功耗 Figure 31. 时序关系图数字输入和输出 的每一个数字控制输入引脚, 如 THREE_STATE STBY 和时钟 CLK 等都是参考模拟地 数字输出的格式直接是二进制输出, 如图 32 所示 当 STBY 为高电平是, 时钟 CLK 无效时, 电路进入低功耗模式, 静态功耗下降到 5mW Figure 32. 输出数据格式 Figure 33. 三态时序图

11 系统应用图 Figure 39a. 等价的系统原理图 Figure 39b. 等价的系统原理图

12 封装外形尺寸 Figure 39c. 等价的系统原理图

13

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

文件名

文件名 Microchip MCP3221 12 I 2 C TM 12 1LSB DNL 2LSB INL 250 A 5nA 1 A I 2 C TM I 2 C 100kHz I 2 C 400kHz 2 8 I 2 C 22.3ksps 2.7V 5.5V 40 +85 40 +125 SOT-23 Microchip MCP3221 12 A/D SOT-23 CMOS MCP3221 250 A

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

XT1861

XT1861 同步升压 DC-DC 变换器 产品概述 XT1861 系列产品是一款低功耗高效率 低纹波 工作频率高的 PFM 控制升压 DC-DC 变换器 XT1861 系列产品仅需要 3 个外部元器, 即可完成低输入的电池电压输入 用途 1~3 个干电池的电子设备 数码相机 电子词典 ED 手电筒 ED 灯 血压计 MP3 遥控玩具 无线耳机 无线鼠标键盘 医疗器械 防丢器 汽车防盗器 充电器 VCR PD 等手持电子设备

More information

ETA104 数据采集模块数据手册

ETA104 数据采集模块数据手册 Emtronix ETA104 数据采集模块数据手册 1. 概述 1.1 ETA104 介绍 ETA104 模拟数据采集模块 ( 下文简称 :ETA104 模块 ) 是基于英创公司 SBC840 工控应用底板 符合 DM5028 标准应用扩展模块 ETA104 模块上的模数转换功能, 采用 ADS7871 模拟信号转换芯片, 占用 ESMARC 工控主板的 SPI 总线进行通讯, 最高可实现 48K

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

×××芯片用户手册

×××芯片用户手册 用户手册 通讯地址 : 深圳市南山区蛇口南海大海 1079 号花园城数码大厦 A 座 9 楼邮政编码 :518057 公司电话 :+(86 755)86169257 传真 :+(86 755)86169057 公司网站 :www.chipsea.com 第 1 页, 共 13 页 历史修改记录 历史修改记录 时间 记录 版本号 2012-12-19 更换新 LOGO, 重新发布 1.0 第 2 页,

More information

24位模数转换器

24位模数转换器 24 位高精度低电压模数转换器 特征 : 24 位分辨率 ( 无失码 ) 20.7 位有效位 (ENBO 128 倍放大 10Hz 输出 3.0V) 18.2 位不动码 (ENBO 128 倍放大 10Hz 输出 3.0V) 内部集成可编程增益 : 2 4 8 16 32 128 256 1024 2048 倍 输出码率可选 (Hz): 0.625 1.25 2.5 5 10 20( 默认 ) 40

More information

第 卷 第 期 年 月 半 导 体 学 报! " # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% )

第 卷 第 期 年 月 半 导 体 学 报!  # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% ) 第 卷 第 期 年 月!"# $%&'%' $!&'#%#$1/#1 $'! /18103 2?/03101?/18103 /3 0,?/0301.13 )*+!!! '!,!! -.&' $!'! 4%%&1)/1(7%&)03(%)%&,%*(1&0)%$-0*,%30)17*1*)0(+1(1+&1*+*),)1; &113(%44(10&.0701&0-&00*/)%;()1%-1+%&0)0*1*)%

More information

AN-80 各单通道 ADC 采用先进的 CMOS 工艺制造, 提供 引脚 LFCSP 封装, 额定温度范围为 0ºC 至 +8ºC 工业温度范围 设计和布局考虑因素对于布局设计师, 引脚排列间的唯一差异是数据输出位的分配 所有双通道转换器中, 引脚 和引脚 8 分别为 A 和 B 的 MSB, 该

AN-80 各单通道 ADC 采用先进的 CMOS 工艺制造, 提供 引脚 LFCSP 封装, 额定温度范围为 0ºC 至 +8ºC 工业温度范围 设计和布局考虑因素对于布局设计师, 引脚排列间的唯一差异是数据输出位的分配 所有双通道转换器中, 引脚 和引脚 8 分别为 A 和 B 的 MSB, 该 AN-80 应用笔记 One Technology Way P.O. Box Norwood, MA 00- Tel: 8/-00 Fax: 8/- www.analog.com 利用引脚兼容高速 ADC 简化设计任务 作者 :Robert M. Clarke 简介选择 ADC 可能是系统设计师最棘手的问题 转换器分辨率和采样速率决定系统性能 然而, 设计通常是基于一系列无法验证的假设, 除非原型系统经过测试

More information

Microsoft PowerPoint - IC测试培训二.ppt

Microsoft PowerPoint - IC测试培训二.ppt IC 测试培训 第二章 IC 测试实例 By 孙鹏程 29-9-8 1 2.1 LDO 基础知识 本章要点 2.2 LDO 主要参数及意义 2.3 LDO 测试方案 2.4 LDO 测试程序 2 2.1 LDO 基础知识 什么是 LDO? LDO:(low dropout regulator) 低压差线性稳压器, 其基本电路可由串联调整管 VT 取样电阻 R1 和 R2 比较放大器 A 组成 取样电压加在比较器

More information

Microsoft Word - SDI0818用户手册_V1_Agu22_08.doc

Microsoft Word - SDI0818用户手册_V1_Agu22_08.doc 24 位模数转换器 特征 : 24 位分辨率 ( 无失码 ) 19.5 位有效位 (ENBO 128 倍放大 ) 18 位不动码 内部集成 128 倍增益放大器 内部集成震荡器频率 :2.45MHz 偏差 :+/- 5% 具有很高的电源抑制比, 方便在强电源噪声环境下应用 : 10Hz 时对 50Hz 60Hz 噪声抑制 (-100dB) 输出码率 10Hz 80Hz 可选 外部输入参考电压 VREFP

More information

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8 Version History 日期 版本 2016/8/4 1.00 2016/9/2 1.01 2016/11/29 1.02 2017/2/16 1.03 2017/6/9 1.04 2018/6/27 1.05 2018/8/8 1.06 新增 MG196 PBGA256S 封装信息 ; 修改 SSPI 模式的配置功能 ; 增加 QN88 封装信息 2019/3/27 1.07 增加电源供电要求

More information

第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1 ) + ; & ).& &+ 1 & / ) 5 93 & 9 *67893: + 99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!"#$%&'!"#$%&' '& ( ')*&+,& '(-./01 &

第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1 ) + ; & ).& &+ 1 & / ) 5 93 & 9 *67893: + 99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!#$%&'!#$%&' '& ( ')*&+,& '(-./01 & 第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1)+ ; &).& &+ 1&/) 593 & 9 *67893:+99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!#$%&'!#$%&''&(')*&+,& '(-./01&+ -2 3456-78&9:;'& &'

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

CS1252 用户手册 Rev 1.0 通讯地址 : 深圳市南山区蛇口南海大道 1079 号花园城数码大厦 A 座 9 楼邮政编码 : 公司电话 :+(86 755) 传真 :+(86 755) 公司网站 : Rev 1.0

CS1252 用户手册 Rev 1.0 通讯地址 : 深圳市南山区蛇口南海大道 1079 号花园城数码大厦 A 座 9 楼邮政编码 : 公司电话 :+(86 755) 传真 :+(86 755) 公司网站 :  Rev 1.0 CS1252 用户手册 通讯地址 : 深圳市南山区蛇口南海大道 1079 号花园城数码大厦 A 座 9 楼邮政编码 :518067 公司电话 :+(86 755)86169257 传真 :+(86 755)86169057 公司网站 :www.chipsea.com 第 1 页, 共 22 页 历史修改记录 历史修改记录 时间 记录 版本号 2012-12-19 更换新 LOGO 1.0 第 2 页,

More information

Electrical and Optical Clock Data Recovery Solutions - Data Sheet

Electrical and Optical Clock Data Recovery Solutions - Data Sheet 32 GBd N1076A 32 GBd N1077A / 64 GBd N1076B 64 GBd N1078A / 64 GBd NRZ PAM4 O/E < 100 fs RMS JSA PLL ...3...4...4...5 /...6...8...11 N1076A...12 N1076B DCA-M...13 N1077A...15 N1078A DCA-M...17...21...

More information

深圳明和科技

深圳明和科技 500mA 同步降压 DC/DC 转化器 ME3101 系列 描述 : ME3101 是一款同步整流降压型 DC/DC 内置 0.6ΩPMOS 驱动管和 0.7ΩNMOS 开关管 兼容陶瓷电容, 外部只需一只电感和两只电容, 可高效率的输出 500mA 内置振荡器电路, 振荡频率可达 1.2MHZ ME3101 为 PFM/PWM 型自动开关控制模式, 在满载时也能快速响应, 达到纹波小, 效率高的效果

More information

浙江朗威微系统有限公司

浙江朗威微系统有限公司 浙江朗威微系统有限公司 LW54123 漏电保护专用集成电路说明书 1 订购须知 联系信息 浙江朗威微系统有限公司 芯片名称 描述 封装类型 LW54123 高速对地漏电保护集成电路 P8/OP8 Add:No. 99 Huaxing Road, Hangzhou East oftware Park Building, 4th Floor enture Building 浙江省杭州市华星路 99 号东部软件园创业大厦

More information

adsd

adsd HS8108 电脑开关电源控制器 概述 : HS8108 是 PWM 开关电源控制器, 主要用于台式 PC( 个人电脑 ) 的开关电源部分 HS8108 能够提供开关电源所有的控制和保护功能 : PWM 脉宽调制及推挽输出, 具有过压 欠压 过流 过功耗 远程控制 AC 掉电保护等功能, 两个内嵌的精密 431 调节器用作稳定 5V 辅助电源和 33V 输出电压, 内嵌的精密振荡器可以保证各种延时的精确性

More information

Microsoft PowerPoint - SMPS Solutions with On-Bright Power IC [兼容模式]

Microsoft PowerPoint - SMPS Solutions with On-Bright Power IC  [兼容模式] SMPS Solutions with On-Bright Power IC Energy Star and CEC for EPS EPS: Single Voltage External AC-DC and AC-AC Power Supplies Energy Star 比 CEC 和 The Federal Standard 要严格 能效标准 : AC input 115/230V, 25%

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需

MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需 MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需检测电阻, 能检测交直流电流和外围电路简单 检测电流方案为电流传感器 APCL-C784 和 C79B

More information

供充放一体电源及充电电源手册_0_.doc

供充放一体电源及充电电源手册_0_.doc 2010. 05 供充放一体电源及充电电源 适用于铅酸电池 锂电池 镍氢电池 实现不间断供电 采用自动均 / 浮充 恒流 / 恒压 零电流 -ΔV 检测 / 涓充 / 滴充技术 提供各种保护 显示各种工作状态 目录 SCD 供充放一体电源 ( 锂电池系列 )-----------1 SCD 供充放一体电源 ( 铅酸电池系列 )----------3 C 充电电源 ( 镍氢电池系列 )-------------------------5

More information

CD4066中文资料:CD4066是四双向模拟开关,主要用作模拟或数字信号的多路传输。引出端排列与CC4016一致,但具有比较低的导通阻抗。另外,导通阻抗在整个输入信号范围内基本上变。CD4066由四个相互独立的双向开关组成,每个开关有一个控制信号,开关中的p和n器件在控制信号作用下同时开关。这种结

CD4066中文资料:CD4066是四双向模拟开关,主要用作模拟或数字信号的多路传输。引出端排列与CC4016一致,但具有比较低的导通阻抗。另外,导通阻抗在整个输入信号范围内基本上变。CD4066由四个相互独立的双向开关组成,每个开关有一个控制信号,开关中的p和n器件在控制信号作用下同时开关。这种结 CD466 中文资料 CD466 的引脚功能下图所示 每个封装内部有 4 个独立的模拟开关 每个模拟开关有输入 输出 控制三个端子 其中输入端和输出端可互换 当控制端加高电平时 开关导通 ; 当控制端加低电平时开关截止 模拟开关导通时 导通电阻为几十欧姆 ; 模拟开关截止时 呈现很高的阻抗 可以看成为开路 模拟开关可传输数字信号和模拟信号 可传输的模拟信号的上限频率为 4 各开关间的串扰很小 典型值为

More information

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小由外接电阻来调整 封装图 SSOP24L-0.635-D1.40 (mm) ( SM16125ES ) 特点 16 通道恒流源输出 电流输出大小不因输出端负载电压变化而变化

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

DATASHEET SEARCH SITE ==

DATASHEET SEARCH SITE == 特性描述 是应用于电子秤低频测量的单通道模拟前端 该器件可以接受直接来自传感器的低电平的输入信号, 然后产生串行的数字输出 利用 Σ-Δ 转换技术实现了 24 位无丢失代码性能 通过选定的输入信号被送到一个基于模拟调制器的增益可编程专用前端 片内数字滤波器处理调制器的输出信号, 通过通信口发命令可调节滤波器的截止点和输出更新速率, 从而对数字滤波器的第一个陷波进行编程 只需 2.6~5.5V 单电源供电

More information

SM16106 LED 显示屏驱动 IC IT1GIGV1.0 SM16106 概述 SM16106 是专为 LED 显示屏设计的驱动芯片, 内 建 CMOS 位移寄存器与锁存功能, 可以将串行的输入 数据转换成并行输出数据格式 SM16106 工作电压为 3.3V 5.0V, 提供 16 个电 流

SM16106 LED 显示屏驱动 IC IT1GIGV1.0 SM16106 概述 SM16106 是专为 LED 显示屏设计的驱动芯片, 内 建 CMOS 位移寄存器与锁存功能, 可以将串行的输入 数据转换成并行输出数据格式 SM16106 工作电压为 3.3V 5.0V, 提供 16 个电 流 SM16106 概述 SM16106 是专为 LED 显示屏设计的驱动芯片, 内 建 CMOS 位移寄存器与锁存功能, 可以将串行的输入 数据转换成并行输出数据格式 SM16106 工作电压为 3.3V 5.0V, 提供 16 个电 流源, 可以在每个输出端口提供 1mA 32mA 的恒定电 流 ; 且单颗 IC 片内输出电流差异小于 ±2.5%; 多颗 IC 间的输出电流差异小于 ±3.5%; 通道输出电流不随着输

More information

技术文档

技术文档 地址 : 深圳市高新技术产业园南区高新南一道国微大厦 5 楼 ADD: Shenzhen High-tech Industrial Park,South Area GaoxinS.Ave.1 st,guowei Building. 电话 Tel:0755-26991331 传真 Fax:0755-26991336 邮编 :518057 网址 :www.chinaasic.com LED 恒流驱动芯片

More information

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 :

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 : SA 系列 / C-Link 产品特点 引用标准 : IEC 61071 结构 : 金属化聚丙烯膜结构 封装 : 聚酯胶带, 树脂填充 电气特性 工作温度 : - 40 至 + 85 C 范围 : 15 至 500μF 额定电压 : 500 至 1100 VC 偏差 : ± 5%, ± 10% 损耗因素 : 2 10-3 @100z 20±5 C 预期寿命 : 100,000 小时 @Un, 70

More information

RP7900 Series Regenerative Power System - Data Sheet

RP7900 Series Regenerative Power System - Data Sheet RP7900 RP7931A - RP7936A (200/208 VAC) RP7941A - RP7946A (400/480 VAC) RP7951A - RP7953A (200/208 VAC) RP7961A - RP7963A (400/480 VAC) 12V / HEV/EV 300V RP7900 Keysight RP7900 3U RP7900 950 V 800 A 10

More information

文件编号

文件编号 DATA SHEET 高清视频编码电路 2015.01 成都振芯科技股份有限公司 高清晰视频编码电路 版本记录 :1.0 新旧版本改动比较 : 旧版当前版本文档页数文档页数 当前版本时间 :2015 年 01 月 主题 ( 和旧版本相比的主要变化 ) 如果您有技术 交付或价格方面的任何问题, 请联系成都振芯科技股份有限公司的相关办公室或当地 的代理商, 或访问官方网站 :http://www.corpro.cn

More information

SM16126 LED 显示屏驱动 ICIGGS2011V1.2 SM16126 概述 封装信息 SM16126 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据 产品名称 封装形式 塑封体尺寸 (mm) 脚间距 (mm) 转换成并行输出数据格式

SM16126 LED 显示屏驱动 ICIGGS2011V1.2 SM16126 概述 封装信息 SM16126 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据 产品名称 封装形式 塑封体尺寸 (mm) 脚间距 (mm) 转换成并行输出数据格式 SM16126 概述 封装信息 SM16126 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据 产品名称 封装形式 塑封体尺寸 (mm) 脚间距 (mm) 转换成并行输出数据格式 SM16126 工作电压为 3.3V 5V, 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流 ; 且单颗 IC 片内输出通道的电流差异小于 ±3%;

More information

大16开产品画册排版.cdr

大16开产品画册排版.cdr 北京圣莱特商贸有限公司 中国 北京 新型产品 XYZ 20A 颜色 黑色 尺寸 210*180*130mm 功能参数 1 使用高转换效率单晶硅片 太阳能转换效率高达16%以上 2 太阳能电池板规格 10W 3 充电器内置高容量可充电电池 20AH 4 输出电压 220V 5 用交流适配器给充电器内置电池充电时间 5小时 (6) 太阳能给充电器内置电池充电时间 20小时

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM3316/3315 MCU 2017.06 FM3316/3315 MCU 2.21 (http://www.fmsh.com/) FM3316/3315 MCU 2.22 ... 3 1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.3.1... 6 2.3.2... 6 2.4... 9 2.5... 10 2.5.1 LQFP64... 10 2.5.2

More information

绯荤粺A4鐗堟湰鍗曢〉

绯荤粺A4鐗堟湰鍗曢〉 2011 +86-10-60290088 600 690 470 970 670 1000 194 130 294 65 85 150 82 90 +86-10-60290088 +86-10-60290088 +86-10-60290088 +86-10-60290088 +86-10-60290088 +86-10-60290088

More information

Slide 1

Slide 1 egan FET 昂首阔步前进 采用氮化镓场效应晶体管 (egan FET) 的 无线电源传送解决方案 宜普电源转换公司 www.epc-co.com.cn 1 议题 无线电源拓扑概述 每种拓扑所取得的无线电源结果 总结 www.epc-co.com.cn 2 概述 输出功率 < 30 W 松散耦合 根据 A4WP 标准的 6.78 MHz(ISM 频带 ) 探讨不同的拓扑 : D 类放大器 ( 电流及电压模式

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

HTN7G27S010P

HTN7G27S010P LDMOS 射频功率晶体管 1. 产品特性 无内匹配设计, 方便应用于从 1.8 至 0MHz 频率范围内的各类窄 宽带功放 为适应高 VSWR 应用的增强型鲁棒性设计 最高工作 VDD 可达 50V 适用于 28V 至 50V 范围内供电电压, 方便不同功率等级功放设计 优异的功率线性度 优异的热稳定性 内部集成的增强 ESD 设计 符合 RoHS 规范 HTH7G06P500H 封装 :H2110S-4L

More information

半导体集成电路——TTL电路产品手册模板

半导体集成电路——TTL电路产品手册模板 Ver 1.3 8 位 100MSPS 模数转换器 产品使用手册 产品型号 :B9288 B9288 0 版本控制页 版本号 发布日期 更改章节 更改说明 备注 1.0 2018. 2 1.1 2018.8 更改模板, 完善内容 1.2 2018.9 增加 1.3 免责声明, 删除 8.3 产品环境 试验和可靠性 1.3 2018.12 第八章修改 8.3 节市场二部联系方式 1 目 录 一 产品概述...

More information

D/A DAC ( 1us) (10~20 ) DAC0832 1

D/A DAC ( 1us) (10~20 ) DAC0832 1 D/A DAC0832 8 ( 1us) (10~20 ) DAC0832 1 1. 20 DI7~DI0 ILE 8 8 DAC 8 D/A LE LE & RFB VREF IOUT2 IOUT1 RFB CS WR1 XFER WR2 & & AGND VCC DGND 2 DI7~DI0 ILE & 8 LE 8 DAC LE 8 D/A RFB V REF IOUT2 IOUT1 R FB

More information

CS1240/CS1241用户手册

CS1240/CS1241用户手册 用户手册 20-bit Sigma-Delta ADC 通讯地址 : 深圳市南山区蛇口南海大道 1079 号花园城数码大厦 A 座 9 楼邮政编码 :518067 公司电话 :+(86 755)86169257 传真 :+(86 755)86169057 公司网站 :www.chipsea.com 微信号 : 芯海科技微信二维码 : 第 1 页, 共 30 页 版本历史 历史版本 修改内容 版本日期

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

ST 240MHz D/A SFDR 50MH 1MH 70dB 140MH 40HM -53dB RS-343A/RS-170 DA 2mA 26mA TTL 1.23 +5/+3.3 48LQFP 3 30mW 3 6mW -40 0 C +85 DA TTL 5 3.3 1 SYNC 2BLANK 5CMOS CMOS 48 LQFP shenzhenshuianjichengdianlu www.szsjcdl.com

More information

Microsoft Word - DW01

Microsoft Word - DW01 用途 / Purpose: 用于锂离子 / 锂聚合物可充电电池组 For lithium ion / lithium polymer rechargeable battery pack 特点 / Features: (1) 高电压检测电路 / Precision voltage detection circuit 过充检测电压 Overcharge detection voltage 4.3 过充恢复电压

More information

ESD.xls

ESD.xls Transient Suppressor Reverse Reverse ESD Capacitance Stand-off Beakdown Package Contact/Air Channel Circuit Diagram Pin Configuration Remark CMTLCP020CR35BFE CMTLDF02CR35AFE CMTLDF02CR50BLE CSP020 (pf)

More information

驱动器 STEPPING MOTOR DRIVER 5 相微步马达驱动器 特点 KR-55M! 由于微步驱动低速旋转时 马达都光滑地旋转! 根据切换信号可使用 2 种步进角! 最高分割数是 80 分割 80 分割时基本步进 0.72 的马 达是 1 个脉冲 个旋转 40,000 脉冲

驱动器 STEPPING MOTOR DRIVER 5 相微步马达驱动器 特点 KR-55M! 由于微步驱动低速旋转时 马达都光滑地旋转! 根据切换信号可使用 2 种步进角! 最高分割数是 80 分割 80 分割时基本步进 0.72 的马 达是 1 个脉冲 个旋转 40,000 脉冲 5 相微步马达 KR-55M! 由于微步驱动低速旋转时 马达都光滑地旋转! 根据切换信号可使用 2 种步进角! 最高分割数是 80 分割 80 分割时基本步进 0.72 的马 达是 1 个脉冲 0.009 1 个旋转 40,000 脉冲! 有自动电流下降 自己测试功能路等功能 型号 KR-55M 输入电源 DC24V 10% 驱动电流 1.4A/ 相 Max 微步 驱动方式 1,2,4,5,8,10,16,20,40,80,

More information

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg 1 1 a. 0.5V 5V 1Hz 1MHz b. 0.1% 2 : a. 0.5V 5V 1Hz 1MHz b. 0.1% (3) a. 0.5V 5V 100 s b. 1% 4 1 10 5 1MHz 6 1 2 1 0.1Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15

More information

第 3 章分立元件基本电路 3.1 共发射极放大电路 3.2 共集电极放大电路 3.3 共源极放大电路 3.4 分立元件组成的基本门电路

第 3 章分立元件基本电路 3.1 共发射极放大电路 3.2 共集电极放大电路 3.3 共源极放大电路 3.4 分立元件组成的基本门电路 第 3 章分立元件基本电路 3.1 共发射极放大电路 3.2 共集电极放大电路 3.3 共源极放大电路 3.4 分立元件组成的基本门电路 3.1 共发射极放大电路 3.1.1 电路组成 3.1.2 静态分析 3.1.3 动态分析 3.1.4 静态工作点的稳定 3.1.5 频率特性 3.1.1 电路组成 基极电阻 输入电容 u i C C 2 C 1 CE E 晶体管 集电极电阻 u 0 CC 直流电源

More information

SD3101 带 UART 和 I 2 C 的三差分通道计量 SOC 特点 高精度 ADC,24 位数据输出, 输入增益可选 和 16,3 个差分或 5 个伪差分通道, 可测量信号的真有效值 瞬时值和频率 8 位 RISC 低功耗 MCU,49 条指令,6 级堆栈, 在 2.097MHz

SD3101 带 UART 和 I 2 C 的三差分通道计量 SOC 特点 高精度 ADC,24 位数据输出, 输入增益可选 和 16,3 个差分或 5 个伪差分通道, 可测量信号的真有效值 瞬时值和频率 8 位 RISC 低功耗 MCU,49 条指令,6 级堆栈, 在 2.097MHz 带 UART 和 I C 的三差分通道计量 SOC 特点 高精度 ADC, 位数据输出, 输入增益可选 8 和 6, 个差分或 个伪差分通道, 可测量信号的真有效值 瞬时值和频率 8 位 RISC 低功耗 MCU, 条指令,6 级堆栈, 在.0MHz 工作时钟,MCU 部分在.V 工作电压下电流典型值为 00uA;kHz 时钟待机模式下工作电流为.6uA, 休眠模式电流小于 ua 6k Bytes

More information

18 位 1.5 LSB INL 250 ksps PulSAR 差分 ADC, 采用 MSOP 或 QFN 封装 AD7691 产品特性 18 位分辨率 无失码吞吐速率 :250 ksps 积分非线性 (INL): 典型值 ±0.75 LSB, 最大值 ±1.5 LSB(FSR 的 ±6 ppm)

18 位 1.5 LSB INL 250 ksps PulSAR 差分 ADC, 采用 MSOP 或 QFN 封装 AD7691 产品特性 18 位分辨率 无失码吞吐速率 :250 ksps 积分非线性 (INL): 典型值 ±0.75 LSB, 最大值 ±1.5 LSB(FSR 的 ±6 ppm) 8 位.5 LSB INL 25 ksps PulSAR 差分 ADC, 采用 MSOP 或 QFN 封装 产品特性 8 位分辨率 无失码吞吐速率 :25 ksps 积分非线性 (INL): 典型值 ±.75 LSB, 最大值 ±.5 LSB(FSR 的 ±6 ppm) 动态范围 :2 db( 典型值,25 ksps) 过采样动态范围 :25 db ( ksps) 无噪声分辨率 :2 位 ( ksps)

More information

CX3300 Series Device Current Waveform Analyzer - Data Sheet

CX3300 Series Device Current Waveform Analyzer - Data Sheet CX3300 CX3322A 2 CX3324A 4 CX1101A CX1102A CX1103A CX1104A CX1105A CX1151A CX1152A ... 03... 05... 07 CX3300... 09 200 MHz 1 X 10 12 CX3300... 11 14 16... 12 1 GSa/s 200 MHz... 14 CX3300... 15... 19 /...

More information

Microsoft Word - HTL7G06S009P_V2.3_CH.doc

Microsoft Word - HTL7G06S009P_V2.3_CH.doc Document Number: HTL7G6S9P Product Data Sheet Rev. 2.3, 1/17 LDMOS 射频功率晶体管 HTL7G6S9P 1. 产品描述 HTL7G6S9P 是一款为 VHF/UHF 频段射频功率放大器而设计的 LDMOS 射频功率晶体管 器件内部集成静电保护电路 1-6MHz, 8W, 7.2V WIDE BAND RF POWER LDMOS TRANSISTOR

More information

ARK-14013/14017/14018

ARK-14013/14017/14018 ARK-24017F 8 ARK-24017F : 8 2 ii 1997~2000,,,,,, 1...3 1.1 ARK...3 1.2 ARK-24017F...3 ARK-24017F?...3 ARK-24017F...3 ARK-24017F...3 ARK-24017F...5 ARK-24017F...6...7 2.1...7 2.2...7 ARK...7...8...8...8...9

More information

DATASHEET SEARCH SITE ==

DATASHEET SEARCH SITE == 矽诺威一级代理龙创威电子小柯 13652437521 描述 特性 是一颗单通道 AB 类音频功率放大器 在 5V 电源供电,THD+N=10%,4 欧姆负载上可以输出 2.5W 的功率 优异的噪声和 THD 指标可以提供高品质的音频信号放大 极少的外围元件就能提供芯片稳定工作, 大大减少了 PCB 面积并降低成本 具有关断功能, 极大的延长系统的待机时间 过热保护功能增强系统的可靠性 POP 声抑制功能改善了系统的听觉感受,

More information

Specification of the 13.56MHz RFID card reader/writer

Specification of the 13.56MHz RFID card reader/writer Specification of the 13.56MHz RFID card reader/writer 3.1 RFID RFID card...4 3.2 13.56MHz RFID 13.56MHz RFID card reader/writer...4 4.1...4 4.2...7 4.3...7 4.4...7 4.5...8 4.6...8 4.7...9 4.8...9 4.9...9

More information

引脚名称 QFN(4 4)-24L SSOP-24L ( 引脚间距 / 1.0) SOP-24L ( 引脚间距 1.27) 引脚描述 管脚号 管脚名称功能 QFN-24 SSOP-24/SOP-24L 1 4 LAT 数据锁存输入端 ( 低电平有效 ) 2 5 OUT0 3 6 OUT1

引脚名称 QFN(4 4)-24L SSOP-24L ( 引脚间距 / 1.0) SOP-24L ( 引脚间距 1.27) 引脚描述 管脚号 管脚名称功能 QFN-24 SSOP-24/SOP-24L 1 4 LAT 数据锁存输入端 ( 低电平有效 ) 2 5 OUT0 3 6 OUT1 D5056 带预充电管的 16 位 LED 控制器 D5056 是一款专用于大屏幕 LED 低压差 高精度带预充电管的 16 位恒流驱动芯片 内含高精度电流驱动电路 16 位移位寄存器 锁存器 温度补偿电路等 D5056 内置带预充电管, 可以解决列消隐问题 再配上我司解决行消隐的 D4973,LED 屏幕消隐问题可完美解决, 大大提高刷新率 D5056 可接受外部 CPU 编程控制信号, 分别控制

More information

数字带通 带阻 高通滤波器的设计 把一个归一化原型模拟低通滤波器变换成另一个所需类型的模拟滤波器, 再将其数字化 直接从模拟滤波器通过一定的频率变换关系完成所需类型数字滤波器的设计 先设计低通型的数字滤波器, 再用数字频率变化方法将其转换成所需类型数字滤波器

数字带通 带阻 高通滤波器的设计 把一个归一化原型模拟低通滤波器变换成另一个所需类型的模拟滤波器, 再将其数字化 直接从模拟滤波器通过一定的频率变换关系完成所需类型数字滤波器的设计 先设计低通型的数字滤波器, 再用数字频率变化方法将其转换成所需类型数字滤波器 数字带通 带阻 高通滤波器的设计 把一个归一化原型模拟低通滤波器变换成另一个所需类型的模拟滤波器, 再将其数字化 直接从模拟滤波器通过一定的频率变换关系完成所需类型数字滤波器的设计 先设计低通型的数字滤波器, 再用数字频率变化方法将其转换成所需类型数字滤波器 模拟原型方法 : 模拟低通 - 模拟带通 H ( j) H ( j) 3 3 3 模拟原型方法 : 模拟低通 - 模拟带通 H ( j) 模拟低通

More information

行业

行业 PCL-1800 PCL-1800 1.1...2 1.1.1 1K FIFO...2 1.1.2...2 1.1.3 16...3 1.1.4...3 1.1.5...3 1.1.6...3 1.2...3 1.3...4 1.4...5 2.1...5 2.2...6 2.2.1...6 2.2.2...6 2.2.3 D/A...7 2.2.4...7 2.2.5 TRIG0 GATE0...8

More information

s00_000_Dummy f.r Titelseite nur f.r Arbeits-PDFs

s00_000_Dummy f.r Titelseite nur f.r Arbeits-PDFs -V- SOEL-RTD-Q50-PU SOE 20m SOEG-RT 10 36 V DC 10 30 V DC SOEG-RTZ SOEG-RTH SOEG-RSP SOEG-RSG SOEG-S SOEG-E SOEG-L 10 36 V DC 10 30 V DC 10 36 V DC 10 30 V DC 10 36 V DC 10 30 V DC 10 36 V DC 10 30 V DC

More information

SPS-AN-HarmonicPerformance-c-0001

SPS-AN-HarmonicPerformance-c-0001 四象限放大器 PAS 5 谐波性能演示 相关标准 : IEC/EN 6-3-2 IEC/EN 6-4-7 应用领域 IEC/EN 6-3-2 标准规定了在正常的操作状态下的指定试验条件下设备输入电流可能产生的 4 次谐波以下的谐波分量的限值, 本标准适用于准备接入到公共低压供电系统的每相输入电流不大于 6A 的电气和电子设备 对负载条件下的电压源的要求在本标准的附录 A.2 中给出 附录 A.2 中的

More information

DATASHEET SEARCH SITE ==

DATASHEET SEARCH SITE == 大功率 高细分两相混合式 步进电机芯片式驱动器 一 特性 双全桥 MOSFET 驱动, 低导通电阻 Ron=0.4Ω( 上桥 + 下桥 ) 最高最耐压 50VDC, 峰值电流 4.5A ; 工作电压 42V, 工作电流 3.5A 多种细分可选 (1/2 1/8 1/10 1/16 1/20 1/32 1/40 1/64) 自动半流锁定功能 衰减方式连续可调 内置温度保护及过流保护 重量 : 9.86

More information

HM53XXA/B 35V,1.6μA 超低静态电流,200mA, 低压差线性稳压器 概述 HM53XX 系列是丏为功耗敏感应用研发设计的一款高输入电压 超低功耗的低压差线性稳压器 最大允许的输入电压可达 35V, 丐输出 100mA 电流时输入输出电压差仅 300mV 典型情况下, 静态电流 1.

HM53XXA/B 35V,1.6μA 超低静态电流,200mA, 低压差线性稳压器 概述 HM53XX 系列是丏为功耗敏感应用研发设计的一款高输入电压 超低功耗的低压差线性稳压器 最大允许的输入电压可达 35V, 丐输出 100mA 电流时输入输出电压差仅 300mV 典型情况下, 静态电流 1. 概述 HM53XX 系列是丏为功耗敏感应用研发设计的一款高输入电压 超低功耗的低压差线性稳压器 最大允许的输入电压可达 35V, 丐输出 100mA 电流时输入输出电压差仅 300mV 典型情况下, 静态电流 1.6μA, 具有几个固定的输出电压 1.8V,2.5V,3.0V,3.3V,3.6V,4.0V, 4.2V,5.0V IC 内部集成了短路保护和热关断功能 尽管主要为固定电压调节器而设计,

More information

GW EDA VHDL VHDL VHDL VHDL ADC009 0 FPGA PC GW EDA a GW EDA beda README.TXT c d 0 e J MZH +V GND -V D/A +V GND S JA J D D D D D D D D C K J J VGA VGA B EDA JB B J HC B RS- CON CON HC PS/ CPLD/FPGA J RS-

More information

技术文档模板

技术文档模板 V6602 +3.3V/+5.0V 具有 ESD 保护 低摆率 RS-485 收发器 V6602 是一款半双工 低功耗 低摆率, 完全符合 EI/TI-485 标准要求的 RS-485 收发器 V6602 包括一个驱动器和一个接收器, 两者均可独立使能 当两者均禁用时, 系统输出高阻态 ( 三态 ) V6602 的高驱动能力, 允许 256 个发送器并接在同一通信总线上 低摆率 (low slew

More information

Microsoft Word - MC358CHN.doc

Microsoft Word - MC358CHN.doc MC358 低功耗双运算放大器 版本 2.0 内容 : 描述....2 脚位描述....3 电气特性.3-4 典型单电源应用........5 典型特性曲线.6-7 包装流程图....8 重要声明........9 修改历史 版本 发布日期 注释 V1.0 Jan. 10, 2007 初版 V2.0 Aug.8, 2010 更新规格书格式 516 Bld 4, National Software Base,

More information

目录 特性...1 应用...1 功能框图...1 产品聚焦...1 修订历史...2 概述...3 技术规格...4 ADC 直流规格...4 ADC 交流规格...6 数字规格...7 开关规格...9 时序规格...1 绝对最大额定值...12 热特性...12 ESD 警告...12 引脚配

目录 特性...1 应用...1 功能框图...1 产品聚焦...1 修订历史...2 概述...3 技术规格...4 ADC 直流规格...4 ADC 交流规格...6 数字规格...7 开关规格...9 时序规格...1 绝对最大额定值...12 热特性...12 ESD 警告...12 引脚配 16 位 8 MSPS/15 MSPS/125 MSPS 1.8 V 双通道模数转换器 (ADC) 特性信噪比 (SNR):78.2 dbfs(7 MHz 125 MSPS) 无杂散动态范围 (SFDR):88 dbc(7 MHz 125 MSPS) 低功耗 :75 mw (125 MSPS) 1.8 V 模拟电源供电 1.8 V CMOS 或 LVDS 输出供电 1 至 8 整数输入时钟分频器中频采样频率达

More information

DCR (Max.) CKST uH/M 0.1±20% CKST uH/M 0.22±20% CKST uH/M 0.47±20% CKST uH/M 0

DCR (Max.) CKST uH/M 0.1±20% CKST uH/M 0.22±20% CKST uH/M 0.47±20% CKST uH/M 0 B E FEATURES 特性 1.Shielded construction 屏蔽罩结构 2.High current rating up to DC 65Amp 高电流范围可到 64A 3.High frequency rang up to 5MHz 宽频范围可到 5MHz 4.Very low DC resistance 低值电流 5.Low noise 低损耗 6.ROHS compliant

More information

目录特性... 应用... 功能框图... 概述... 产品特色... 修订历史... 技术规格... 3 AD AD AD 时序规格... 9 绝对最大额定值... 0 热阻... 0 ESD 警告... 0 引脚配置和功能描述... 典型性能

目录特性... 应用... 功能框图... 概述... 产品特色... 修订历史... 技术规格... 3 AD AD AD 时序规格... 9 绝对最大额定值... 0 热阻... 0 ESD 警告... 0 引脚配置和功能描述... 典型性能 50 ksps 六通道 同步采样 双极性 6/4/- 位 ADC AD7656/AD7657/AD7658 特性 6 个独立 ADC 真双极性模拟输入引脚 / 软件可选范围 :±0 V ±5 V 高吞吐速率 :50 ksps icmos 工艺技术 低功耗 40 mw(50 ksps,5 V 电源 ) 宽输入带宽信噪比 (SNR):86.5 db(50 khz 输入频率 ) 片内基准电压源及缓冲器并行

More information

目录 特性... 1 概述... 1 功能框图... 1 产品聚焦... 1 修订历史... 2 技术规格... 3 时序规格... 5 绝对最大额定值... 6 ESD 警告... 6 热阻... 6 引脚配置和功能描述... 7 典型工作特性... 9 术语 电路信息 转

目录 特性... 1 概述... 1 功能框图... 1 产品聚焦... 1 修订历史... 2 技术规格... 3 时序规格... 5 绝对最大额定值... 6 ESD 警告... 6 热阻... 6 引脚配置和功能描述... 7 典型工作特性... 9 术语 电路信息 转 8 通道 1 MSPS 12 位 SAR ADC, 内置温度传感器 AD7298 特性 12 位 SAR ADC 8 路单端输入通道序列器功能高吞吐速率 :1 MSPS 模拟输入范围 :0 V 至 2.5 V 12 位温度数字转换器温度传感器精度 :±1 C 温度范围 : 40 C 至 +125 C 额定电压 (V DD):2.8 V 至 3.6 V 逻辑电压 (V DRIVE):1.65 V 至

More information

双通道输出 内置任意波 可编辑任意波 双通道输出 : 可分别设置两个通道的波形和参数及输出开关 操作菜单 同相位 可使能双通道输出时相位同步 任意波形输出 : 仪器内置 48 种任意波形 ( 含直流 ), 包括常用 数学 工程 窗函数及其他常见波形 可编辑任意波 : 可编辑输出 14bits,4kp

双通道输出 内置任意波 可编辑任意波 双通道输出 : 可分别设置两个通道的波形和参数及输出开关 操作菜单 同相位 可使能双通道输出时相位同步 任意波形输出 : 仪器内置 48 种任意波形 ( 含直流 ), 包括常用 数学 工程 窗函数及其他常见波形 可编辑任意波 : 可编辑输出 14bits,4kp RIGOL 数据手册 DG1000 系列双通道函数 / 任意波形发生器 产品综述 RIGOL DG1000 系列双通道函数 / 任意波形发生器采用直接数字频率合成 (DDS) 技术设计, 能够产生精确 稳定 低失真的输出信号 应用领域 模拟传感器 实际环境信号 电路功能测试 IC 芯片测试人性化设计 多种显示模式 清晰的图形化界面 支持中英文菜单及输入法 按键帮助, 方便信息获取 文件管理 ( 支持

More information

Microsoft PowerPoint - vlsi_chapter02

Microsoft PowerPoint - vlsi_chapter02 第 2 章 MOSFET 逻辑设计 本章目录 2.1 理想开关 2.2 MOSFET 开关 2.3 基本的 CMOS 逻辑门 2.4 CMOS 复合逻辑门 2.5 传输门电路 2.6 时钟控制和数据流控制 2017-9-7 第 2 章 MOSFET 逻辑设计 1 2.1 理想开关 1 高电平有效的控制开关 2 低电平有效的控制开关 y = x? 2017-9-7 第 2 章 MOSFET 逻辑设计

More information

半导体集成电路——TTL电路产品手册模板

半导体集成电路——TTL电路产品手册模板 Ver 1.6 12 位 120MSPS 数模转换器 产品使用手册 产品型号 :B9762 0 版本控制页 版本号发布日期更改章节更改说明备注 1.0 2013.8 1.1 2014.9 第六章 增加 6.1 节 质量等级及执行标准 增加 6.3 节 贮存条件 1.2 2016.9 第七章增加 3.3V 工作电压下动态参数 1.3 2018.2 更改模板 1.4 2018.4 第九章增加 CLCC

More information

MD71XX 系列高耐压低压差型

MD71XX 系列高耐压低压差型 高速低压差 (LDO) CMOS 电压稳压电路 ( 带控制端 ) MD73RXX 系列 300mA 特性 : 输出电压精度高 精度 ±2% 输出电流 300mA MD73RXX 系列是使用 CMOS 技术开发的低压差, 高精度输出电压, 超低功耗电流的正电压型电压稳压 电路 由于内置有低通态电阻晶体管, 因而输入输出 压差低 同时具有高输入电压承受能力, 最高工作电 压可达 1V, 适合需要较高耐压的应用电路

More information

华微斯帕克 SPE05M50T-A/C 说明书 Control Part 智能功率模块 (IPM), 500V/5A 3 相全桥驱动 主要功能及额定参数 : 500V,5A( 脉冲峰值 )2.4A( 连续电流 ) 下臂 MOSFET 源极输出 内置自举二极管 DIP23-FP SOP23-FP 特点

华微斯帕克 SPE05M50T-A/C 说明书 Control Part 智能功率模块 (IPM), 500V/5A 3 相全桥驱动 主要功能及额定参数 : 500V,5A( 脉冲峰值 )2.4A( 连续电流 ) 下臂 MOSFET 源极输出 内置自举二极管 DIP23-FP SOP23-FP 特点 华微斯帕克 Control Part 智能功率模块 (IPM), 500V/5A 3 相全桥驱动 主要功能及额定参数 : 500V,5A( 脉冲峰值 )2.4A( 连续电流 ) 下臂 MOSFET 源极输出 内置自举二极管 DIP23FP SOP23FP 特点 : 信号高电平有效, 兼容 3.3V 和 5V 的 MCU; 内置防直通保护 ; 内置欠压保护 ; 内部集成温度检测输出 ; 绝缘耐压 :1500V;

More information

SPMC75F2413A_EVM_使用说明_V1.2.doc

SPMC75F2413A_EVM_使用说明_V1.2.doc SPMCFA EVM V. - Jan 0, 00 http://www.sunplusmcu.com ................ SPMCFA........... EEPROM.... I/O............ LED.... LED.... RS-........0............ EVM................ 0.....0..... SPMCFA EVM SPMCFA

More information

00693A.book

00693A.book 理解 A/D 转换器的性能参数 作者 :Steve Bowling Microchip Technology Inc. 简介 本应用笔记的目的在于描述量化 A/D 转换器性能的规格参数, 使读者对应用中的这些规格参数的重要性有一个更好的了解 虽然这里所给的信息对所有的 A/D 转换器都适用, 但本文主要描述的是 Microchip Technology 生产的独立 A/D 转换器和集成在 PIC 单片机中的

More information

物品重量分級器.doc

物品重量分級器.doc Ω Ω Ω Ω Ω Ω Ω 9 A R = Ω Ω + - - + R = A R = - ρ A A R = + A A R = A ρ Ω = + A A A - R + + = + = ρ ) A A ) ( A R ( + + = + + = A ) A R (+ R R = R R = F F Active Dummy V Active Dummy ± ± ± mv = mv ±

More information

NS4890用户手册

NS4890用户手册 用户手册 1.2 2012 年 10 月 第 1页 共 修改历史 日期 版本 作者 修改说明 第 2页 共 目 录 1 功能说明...5 2 主要特性...5 3 应用领域...5 4 典型应用电路...5 5 极限参数...6 6 电气特性...6 7 芯片管脚描述...8 7.1 7.2 8 管脚分配图... 8 引脚功能描述... 8 B 典型参考特性... 9 8.1 8.2 8.3 8.4

More information

CS1240/CS1241用户手册

CS1240/CS1241用户手册 用户手册 通讯地址 : 深圳市南山区蛇口南海大道 1079 号花园城数码大厦 A 座 9 楼邮政编码 :518067 公司电话 :+(86 755)86169257 传真 :+(86 755)86169057 公司网站 :www.chipsea.com 第 1 页, 共 29 页 历史修改记录 历史修改记录 时间 记录 版本号 2012-12-19 更换新 LOGO, 重新发布 1.0 第 2 页,

More information

一、 概述

一、 概述 概述 FZH119 是一种 LED( 发光二极管显示器 ) 驱动控制与用电路, 内部集成有 MCU 数字接口 数据锁存器 LED 驱动等电路 本产品性能优良, 质量可靠 主要应用于电子产品 LED 显示屏驱动 采用 SOP28 的封装形式 特性说明 采用 CMOS 工艺 显示模式 (8 段 16 位 ) 辉度调节电路 ( 占空比 8 级可调 ) 两线串行接口 (SCLK,DIN) 振荡方式 : 内置

More information

1 CYT3000B 规格书 产品说明 CYT3000B 是高功率因数线性恒流高压 LED 驱动芯片, 应用于 LED 照明领域 该芯片通过独特的恒流控制专利技术, 实现恒流精度小于 ±5%, 输出电流可由外接电阻 RCS 调节 芯片具有高功率因数和低谐波失真 CYT3000B 具有输出电流随温度自

1 CYT3000B 规格书 产品说明 CYT3000B 是高功率因数线性恒流高压 LED 驱动芯片, 应用于 LED 照明领域 该芯片通过独特的恒流控制专利技术, 实现恒流精度小于 ±5%, 输出电流可由外接电阻 RCS 调节 芯片具有高功率因数和低谐波失真 CYT3000B 具有输出电流随温度自 1 CYT3000B 规格书 产品说明 CYT3000B 是高功率因数线性恒流高压 LED 驱动芯片, 应用于 LED 照明领域 该芯片通过独特的恒流控制专利技术, 实现恒流精度小于 ±5%, 输出电流可由外接电阻 RCS 调节 芯片具有高功率因数和低谐波失真 CYT3000B 具有输出电流随温度自动调节的功能 当温度过高系统将降低输出电流, 以达到降低温度的效果, 温度保护点可以通过引脚 RTH

More information

DH27600数据手册.indd

DH27600数据手册.indd DH27600 系列 大功率可编程直流电子负载 数据手册 DH27600 系列大功率可编程直流电子负载 DH27600 系列是一款高稳定性直流电子负载, 提供 800W~45KW 输入功率范围, 满足用户多种测试需求 ; 具有 CC CV CP CR CC+CV 五种工作模式, 并且具备动态和列表等灵活的动态模式 过压 过流 过功率 过温等多种保护功能为用户安全使用提供保障 ; 标配 LAN RS232

More information

投影片 1

投影片 1 中 國 文 學 學 與 教 系 列 照 顧 學 生 的 多 樣 性 教 學 經 驗 分 享 會 與 教 系 列 照 顧 學 生 的 多 樣 性 地 利 亞 修 女 紀 念 學 校 ( 協 和 ) 李 浩 芝 老 師 教 學 經 驗 分 享 會 1 學 校 背 景 直 資 學 校 只 收 新 移 民 全 年 收 生 2 中 三 推 介 困 難 : 欠 缺 興 趣, 認 為 與 日 常 生 活 無 關

More information

技术参数 Technical Data 额定电压 / 频率 Voltage/Frequency AC220V/50Hz AC110V/60Hz 功率 Power 70W 70W 空载流量 Free flowing 7.0L/M 7.0L/M 最大压力 Max. pressure 2.4Bar 2.4

技术参数 Technical Data 额定电压 / 频率 Voltage/Frequency AC220V/50Hz AC110V/60Hz 功率 Power 70W 70W 空载流量 Free flowing 7.0L/M 7.0L/M 最大压力 Max. pressure 2.4Bar 2.4 膜片式气泵 Diaphragm Pumps for Gas DA70AC 系列 (Series DA70AC) 特点 Features 无油润滑 Oil-Free 耐腐蚀性 Corrosionresistant 免维护 Maintenance Free 低噪音低振动 Low noise level&vibration 可以任何方向安装 Can be mounted in any plane 应用 Typical

More information

课程简介

课程简介 3.4 三种组态放大器的中频特性 放大电路的交流小信号分析是分频段进行 ; 中频段 : 电路电容不起作用, 晶体管用低频小信号模型 ; 低频段 : 电路电容起作用, 晶体管用低频小信号模型 ; 高频段 : 主要考虑 PN 结电容, 晶体管用高频小信号模型 ; 标志放大电路的增益和阻抗特性的分析是在中频段 进行, 不随频率变化, 反映带通特性 ; 1 分析的对象和内容 1. 单级共射放大电路 对象 :BJT

More information

图 2 产品应用示意图 产品功能表 表 1 发送输入输出 RE DE DI Z Y X X X High Z High Z 1 0 X High Z High Z X = 无关 High Z = 高阻 表 2 接收 输入 输出 RE DE A B RO 0 0

图 2 产品应用示意图 产品功能表 表 1 发送输入输出 RE DE DI Z Y X X X High Z High Z 1 0 X High Z High Z X = 无关 High Z = 高阻 表 2 接收 输入 输出 RE DE A B RO 0 0 RFDot 产品,RoHS 认证, 绿色无铅封装 封装类型 : DIP/SO 产品概述 MAX485 是一款应用于 RS-485 和 RS-422 通信系统的收发芯片 MAX485 传输和接收的数据传输率可高达 2.5Mbps 485 为半双工型 另外,485 有驱动使能 (DE) 和接收使能 (RE) 管脚, 当时, 驱动和接收输出为高阻 MAX485 具有失效保护功能, 在处于接收状态时, 输入端开路或短路接收器输出为高电平

More information

<313031A4C9BEC7C160BA5DB3E62831303130383135A457BAF4A4BDA769AAA9292E584C53>

<313031A4C9BEC7C160BA5DB3E62831303130383135A457BAF4A4BDA769AAA9292E584C53> 機 械 三 甲 01 811001 王 振 祥 國 立 高 雄 應 用 科 技 大 學 模 具 工 程 系 甄 選 入 學 嘉 義 縣 縣 立 水 上 國 中 機 械 三 甲 02 811002 王 紹 誠 弘 光 科 技 大 學 生 物 醫 學 工 程 系 登 記 分 發 嘉 義 縣 縣 立 水 上 國 中 機 械 三 甲 03 811003 江 彥 廷 中 臺 科 技 大 學 牙 體 技 術 暨

More information

nbqw.PDF

nbqw.PDF 2 3 4 5 76,010,200 70,837,163.15 21,694,835.69 6,306,522.69-91,305,083.54 77,237,115.30 0 12,237,082.86 0 0 8,169,816.92 20,406,899.78 0 53,541.43 0 0 0 53,541.43 76,010,200 83,020,704.58 21,694,835.69

More information

内部结构框图 SDI LSB 16Bit 移位寄存器 (1 16Bit 通道 ) MSB 0 15 LSB MSB 输出开 / 关数据锁存 (1 16Bit 通道 ) 0 15 R-EXT 16 通道恒流输出驱动 OUT0 OUT1 OUT14 OUT15 图 2 管脚信息 SDI OUT0 OUT

内部结构框图 SDI LSB 16Bit 移位寄存器 (1 16Bit 通道 ) MSB 0 15 LSB MSB 输出开 / 关数据锁存 (1 16Bit 通道 ) 0 15 R-EXT 16 通道恒流输出驱动 OUT0 OUT1 OUT14 OUT15 图 2 管脚信息 SDI OUT0 OUT 特性描述 是 D 显示面板设计的驱动 IC, 它内建的 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成平行输出数据格式 具有 16 个电流源, 可以在每个输出端口提供 2~60mA 恒定电流量以驱动 D, 每个 OUT 驱动通道输出可短接后得到更大的电流输出, 且当环境发生变化时, 对其输出电流影响很小 同时可以选用不同阻值 (REXT) 的外接电阻来调整 各输出端口的电流大小, 因此,

More information

AD7292 目录 特性... 应用... 功能框图... 概述... 修订历史... 2 技术规格... 3 ADC 技术规格... 3 DAC 技术规格... 4 通用规格... 5 温度传感器技术规格... 5 时序规格... 6 绝对最大额定值... 7 热阻... 7 ESD 警告...

AD7292 目录 特性... 应用... 功能框图... 概述... 修订历史... 2 技术规格... 3 ADC 技术规格... 3 DAC 技术规格... 4 通用规格... 5 温度传感器技术规格... 5 时序规格... 6 绝对最大额定值... 7 热阻... 7 ESD 警告... 集成 ADC DAC 温度传感器和 GPIO 的 0 位监控和控制系统 AD7292 产品特性 0 位 SAR ADC 8 个多路复用模拟输入通道单端工作模式差分工作模式 5 V 模拟输入范围 V REF 2 V REF 或 4 V REF 输入范围输入以 A GND 或 V DD 为参考进行测量 4 个单调 0 位 5 V DAC 建立时间 :2 µs 上电复位至 0 V 吸电流与源电流 :0 ma

More information

98-02臺師大游騰達.doc

98-02臺師大游騰達.doc 1 2009.09.21~2009.11.21 ( 1466-1560) ( ) ( ) ( ) ( ) ( ) ( ) ( Skype ) 2 ( ) ( ) ( ) ( ) ( ) 5-8 ( 1 ) 5-6 1 ( ) ( ) U 3-4 2 3 ( ) ( ) ( ) ( ) (20-25 ) ( ) 2 ( ) ( ) 3 ( ) ( ) ( ) 25 20 10-15 2 ( ) (1-2

More information

N-沟道功率MOS管/ N-CHANNEL POWER MOSFET SIF830

N-沟道功率MOS管/ N-CHANNEL POWER MOSFET SIF830 特点 : 热阻低导通电阻低栅极电荷低, 开关速度快输入阻抗高 符合 RoHS 规范 FEATURES FEATURES: LOW THERMAL RESISTANCE LOW RDS(ON) TO IMIZE CONDUCTIVE LOSS LOW GATE CHARGE FOR FAST SWITCHING HIGH INPUT RESISTANCE 应用 : 低压高频逆变电路续流电流保护电流 APPLICATION:

More information

TONE RINGER

TONE RINGER 三通道 6 阶高清视频滤波驱动 芯片概述 是一个 3 通道视频缓冲器, 它内部集成 6dB 增益的轨到轨输出驱动器和 6 阶输出重建滤波器 的 -3dB 带宽为 35MHz, 压摆率为 160V/us 比无源 LC 滤波器与外加驱动的解决方案能提供更好的图像质量 它单电源供电范围为 +2.5V 到 +5.5V, 并有极低的工作电流 27.5mA, 非常适用于电池供电应用 的输入信号为 DAC 的输出,

More information

AD87/AD88 目 录 技 术 规 格... 3 绝 对 最 大 额 定 值... 6 最 大 功 耗... 6 ESD 警 告... 6 典 型 工 作 特 性... 8 工 作 原 理... 7 输 入 级... 7 交 越 选 择... 7 输 出 级... 8 直 流 误 差... 8

AD87/AD88 目 录 技 术 规 格... 3 绝 对 最 大 额 定 值... 6 最 大 功 耗... 6 ESD 警 告... 6 典 型 工 作 特 性... 8 工 作 原 理... 7 输 入 级... 7 交 越 选 择... 7 输 出 级... 8 直 流 误 差... 8 低 失 真 高 速 轨 到 轨 输 入 / 输 出 放 大 器 AD87/AD88 特 性 高 速 3 db 带 宽 :9 MHz (G = ) 压 摆 率 : V/μs 低 失 真 SFDR: dbc @ MHz SFDR:8 dbc @ 5 MHz 可 选 输 入 交 越 阈 值 低 噪 声 4.3 nv/ Hz.6 pa/ Hz 低 失 调 电 压 :9 µv( 最 大 值 ) 低 功 耗 :

More information

第一章

第一章 1 2 3 4 5 6 7 8 9 10 11 12 13 14 1500 1450 1400 1350 1300 1250 1200 15 16 17 18 19 20 21 22 23 24 25 26 27 28 INPUT2006 29 30 31 32 33 34 35 9000 8500 8000 7500 7000 6500 6000 5500 5000 4500 4000 3500

More information

0000001

0000001 烟 台 市 综 合 实 践 教 育 研 究 室 文 件 烟 教 实 研 发 2016 4 号 烟 台 市 综 合 实 践 教 育 研 究 室 关 于 公 布 第 二 届 全 市 中 小 学 综 合 实 践 活 动 优 课 及 优 质 课 程 资 源 评 选 获 奖 名 单 的 通 知 各 县 市 区 教 体 局 教 研 室, 开 发 区 综 合 实 践 教 育 中 心, 高 新 区 教 育 办 公

More information

input commom-mode range) output swing (open loop gain) (GBW) ( phase margin) (gain margin)

input commom-mode range) output swing (open loop gain) (GBW) ( phase margin) (gain margin) OP AMP... 4 1. :... 4 2.... 5 2.1... 5 2.2... 6 3.... 6 3.1... 7 3.1.1... 7 3.1.2... 7 3.1.3... 7 3.1.4... 8 3.2 (Symbol)... 8 4.... 9 4.1... 9 4.1.1 Folded cascode... 9 4.1.2... 9 Fig 7... 10 4.1.3...

More information

Microsoft Word - SM8013.doc

Microsoft Word - SM8013.doc SM8013 特点 适用于小于 36W 的开关电源系统 待机功耗小于 0.3W@265VAC 内置优化的 OCP 补偿 自适应多模式工作, 根据负载情况, 自动切换到 Burst 模式 PFM 模式或 PWM 模式 内置前沿消隐电流 (LEB) 内置斜率补偿电路 逐周期峰值电流限制 开机软启动 具有过流保护 过载保护 VDD 过压保护等多种保护 封装形式 :DIP8 SOP8 SOT23-6 概述

More information