目录 特性... 1 概述... 1 功能框图... 1 产品聚焦... 1 修订历史... 2 技术规格... 3 时序规格... 5 绝对最大额定值... 6 ESD 警告... 6 热阻... 6 引脚配置和功能描述... 7 典型工作特性... 9 术语 电路信息 转

Size: px
Start display at page:

Download "目录 特性... 1 概述... 1 功能框图... 1 产品聚焦... 1 修订历史... 2 技术规格... 3 时序规格... 5 绝对最大额定值... 6 ESD 警告... 6 热阻... 6 引脚配置和功能描述... 7 典型工作特性... 9 术语 电路信息 转"

Transcription

1 8 通道 1 MSPS 12 位 SAR ADC, 内置温度传感器 AD7298 特性 12 位 SAR ADC 8 路单端输入通道序列器功能高吞吐速率 :1 MSPS 模拟输入范围 :0 V 至 2.5 V 12 位温度数字转换器温度传感器精度 :±1 C 温度范围 : 40 C 至 +125 C 额定电压 (V DD):2.8 V 至 3.6 V 逻辑电压 (V DRIVE):1.65 V 至 3.6 V 掉电模式电流 : <10 μa 2.5 V 内部基准电压源内部上电复位高速串行接口 SPI 20 引脚 LFCSP 封装 概述 AD7298 是一款 12 位 高速 低功耗 8 通道 逐次逼近型 ADC, 内置温度传感器 它采用 3.3 V 单电源供电, 吞吐速率最高可达 1MSPS 该器件内置一个低噪声 宽带宽采样保持放大器, 可处理超过 30 MHz 以内的输入频率 AD7298 提供一个可编程序列器, 可以用于选择预编程的通道转换序列 该器件具有 2.5 V 的片内基准电压源, 可以将其禁用以便使用外部基准电压源 AD7298 内置一个高精度带隙温度传感器,12 位 ADC 对其进行监控和数字化, 以提供 0.25 C 的分辨率 该器件具有一个与 SPI 和 DSP 接口标准兼容的四线式串行接口 V REF V IN0 V IN7 V DD INPUT MUX PD/RST REF 功能框图 T/H TEMP SENSOR BUF AD7298 图 1 GND 12-BIT SUCCESSIVE APPROXIMATION ADC SEQUENCER CONTROL LOGIC T SENSE _BUSY SCLK DOUT DIN CS V DRIVE 产品聚焦 1. 非常适合监控电信 过程控制和工业控制等各种应用系统中的系统变量 2. 高吞吐速率 (1MSPS) 和低功耗 3. 8 路带通道序列器的单端输入 可以选择通道顺序,ADC 将按该顺序循环执行转换 4. 集成 0.25 C 分辨率的温度传感器 它采用先进的设计技术, 可在高吞吐速率下实现极低的功耗 该器件还提供灵活的功耗 / 吞吐速率管理选项, 采用 20 引脚 LFCSP 封装 Rev. B Information furnished by Analog Devices is believed to be accurate and reliable. However, no responsibility is assumed by Analog Devices for its use, nor for any infringements of patents or other rights of third parties that may result from its use. Specifications subject to change without notice. No license is granted by implication or otherwise under any patent or patent rights of Analog Devices. Trademarks and registered trademarks are the property of their respective owners. One Technology Way, P.O. Box 9106, Norwood, MA , U.S.A. Tel: Fax: Analog Devices, Inc. All rights reserved. ADI 中文版数据手册是英文版数据手册的译文, 敬请谅解翻译中可能存在的语言组织或翻译错误,ADI 不对翻译中存在的差异或由此产生的错误负责 如需确认任何词语的准确性, 请参考 ADI 提供的最新英文版数据手册

2 目录 特性... 1 概述... 1 功能框图... 1 产品聚焦... 1 修订历史... 2 技术规格... 3 时序规格... 5 绝对最大额定值... 6 ESD 警告... 6 热阻... 6 引脚配置和功能描述... 7 典型工作特性... 9 术语 电路信息 转换器操作 模拟输入 温度传感器操作 温度传感器均值计算 V DRIVE 内部或外部基准电压源 控制寄存器 工作模式 传统多通道工作模式 重复操作 掉电模式 AD7298 上电 复位 串行接口 温度传感器读取 布局和配置 电源旁路和接地 温度监控 外形尺寸 订购指南 修订历史 2011 年 6 月 修订版 A 至修订版 B 更改表 1 中内部温度传感器的 精度 参数 年 1 月 修订版 0 至修订版 A 删除输入阻抗参数... 3 增加 8 pf 的输入电容参数... 3 更改图 将 模拟输入 部分中的 C1 值更改为 8 pf 更改图 更改 订购指南 部分 年 9 月 修订版 0: 初始版 Rev. B Page 2 of 24

3 技术规格除非另有说明,V DD = 2.8 V 至 3.6 V,V DRIVE = 1.65 V 至 3.6 V,f SAMPLE = 1 MSPS,f SCLK = 20 MHz,V REF = 2.5 V( 内部 ), T A = 40 C 至 +125 C 表 1 参数 最小值 典型值 最大值 单位 测试条件 / 注释 动态性能 fin = 50 khz 正弦波 信噪比 (SNR) 1, db 信纳比 (SINAD) db 总谐波失真 (THD) db 无杂散动态范围 (SFDR) db 交调失真 (IMD) fa = 40.1 khz, fb = 41.5 khz 二阶项 84 db 三阶项 93 db 通道间隔离 100 db fin = 50 khz, fnoise = 60 khz 采样保持器 3 孔径延迟 12 ns 3 孔径抖动 40 ps 全功率带宽 30 3 db db 直流精度分辨率积分非线性 (INL) 1 微分非线性 (DNL) 1 失调误差 1 失调误差匹配 1 失调温漂增益误差 1 增益误差匹配 1 12 Bits ±0.5 ±1 LSB ±0.5 ±0.99 LSB 保证 12 位无失码 ±2 ±4.5 LSB ±2.5 ±4.5 LSB 4 ppm/ C ±1 ±4 LSB ±1 ±2.5 LSB 增益温漂 0.5 ppm/ C 模拟输入 输入电压范围 0 VREF V 直流漏电流 ±0.01 ±1 µa 输入电容 32 pf 采样模式 8 pf 保持模式 基准电压输入 / 输出 4 基准输出电压 V ±0.3% 最大值,@ 25 C 长期稳定性 150 ppm 1000 小时 输出电压迟滞 50 ppm 5 基准输入电压范围 V 直流漏电流 ±0.01 ±1 µa 外部基准电压施加于引脚 VREF V REF 输出阻抗 1 Ω V REF 温度系数 ppm/ C V REF 噪声 60 µv rms 带宽 = 10 MHz AD7298 Rev. B Page 3 of 24

4 参数 最小值 典型值 最大值 单位 测试条件 / 注释 逻辑输入 输入高电压 V INH 0.7 VDRIVE V 输入低电压 V INL +0.3 VDRIVE V 输入电流 I IN ±0.01 ±1 µa VIN = 0 V 或 VDRIVE 3 输入电容 C IN 3 pf 逻辑输出 输出高电压 V OH VDRIVE 0.3 V VDRIVE < 1.8 VDRIVE 0.2 V VDRIVE 1.8 输出低电压 V OL 0.4 V 悬空态漏电流 ±0.01 ±1 µa 3 悬空态输出电容 8 pf 内部温度传感器 工作范围 精度 ±1 ±2 C TA = 40 C 至 +85 C ±1 ±3 C TA = +85 C 至 +125 C 分辨率 0.25 C LSB 转换速率 转换时间 1 t tsclk 针对 V IN0 至 V IN7,1 个周期延迟 100 T SENSE 温度传感器通道 3 采样保持器采集时间 100 ns 满量程阶跃输入 吞吐速率 1 MSPS f SCLK = 20 MHz, 针对模拟电压转换, 1 个周期延迟 10 KSPS 针对 T SENSE 通道,1 个周期延迟 电源要求 数字输入 = 0 V 或 V DRIVE VDD V VDRIVE V ITOTAL 6 VDD = 3.6 V, VDRIVE = 3.6 V 正常模式 ( 工作状态 ) ma 正常模式 ( 静态 ) ma 部分掉电模式 ma 完全掉电模式 TA = 40 C 至 +25 C 10 TA = 40 C 至 +125 C 7 功耗 正常模式 ( 工作状态 ) mw VDD = 3 V, VDRIVE = 3 V 22.7 mw 正常模式 ( 静态 ) mw 部分掉电模式 mw 完全掉电模式 TA = 40 C 至 +25 C 36 TA = 40 C 至 +125 C 1 参见 术语 部分 2 除非另有说明, 所有用分贝 (db) 表示的规格均参考满量程输入 FSR, 并用低于满量程 0.5 db 的输入信号进行测试 3 样片在初次发布期间均经过测试, 以确保符合标准要求 4 指 25 C 时的引脚 V REF 额定电压 5 使用外部 V REF 时, 可能需要对温度传感器的结果进行校正 ( 参见 温度传感器均值计算 部分 ) 6 I TOTAL 是流入 V DD 和 V DRIVE 的总电流 7 除非另有说明, 额定功耗是在 V DD = V DRIVE = 3.6 V 下测得

5 时序规格 除非另有说明,V DD = 2.8 V 至 3.6 V,V DRIVE = 1.65 V 至 3.6 V,V REF = 2.5 V( 内部 ),T A = 40 C 至 125 C 样片在初次发布 期间均经过测试, 以确保符合标准要求 所有输入信号均指定 tr = tf = 5 ns(10% 到 90% 的 V DRIVE ) 并从 1.6V 电平起开始计时 表 2 参数 T MIN T MAX 的限值 单位 测试条件 / 注释 tconvert t2 + (16 tsclk) μs( 最大值 ) 转换时间 820 ns( 典型值 ) 各 ADC 通道 V IN0 至 V IN7,f SCLK = 20 MHz 100 μs( 最大值 ) 温度传感器通道 fsclk 1 50 khz( 最小值 ) 外部串行时钟的频率 20 MHz( 最大值 ) 外部串行时钟的频率 tquiet 6 ns( 最小值 ) 重复和非重复模式下从串行读取结束到下一次电压转换开始所需的最短静默时间 t2 10 ns( 最小值 ) CS 到 SCLK 建立时间 t ns( 最大值 ) 从 CS( 下降沿 ) 到 DOUT 三态禁用的延迟时间 t4 1 SCLK 下降沿后的数据访问时间 35 ns( 最大值 ) V = 1.65 V DRIVE 至 3 V 28 ns( 最大值 ) V DRIVE = 3 V 至 3.6 V t5 0.4 tsclk ns( 最小值 ) SCLK 低电平脉冲宽度 t6 0.4 tsclk ns( 最小值 ) SCLK 高电平脉冲宽度 t ns( 最小值 ) SCLK 到 DOUT 的有效保持时间 t8 1 16/34 ns( 最小值 / 最 SCLK 下降沿到 DOUT 高阻态 大值 ) t9 5 ns( 最小值 ) SCLK 下降沿之前的 DIN 建立时间 t10 4 ns( 最小值 ) SCLK 下降沿之后的 DIN 保持时间 t ns( 最大值 ) T SENSE_BUSY 下降沿到 CS 下降沿 t ns( 最大值 ) 从 CS 上升沿到 DOUT 高阻态的延迟时间 tpower-up_partial 1 μs( 最大值 ) 从部分掉电模式上电所需的时间 tpower-up 6 ms( 最大值 ) 内部基准电压源从完全掉电模式上电所需的时间 1 在 DOUT 上有 15 pf 负载电容的条件下测得 Rev. B Page 5 of 24

6 绝对最大额定值 表 3 参数 VDD 至 GND, GND1 额定值 0.3 V 至 +5 V VDRIVE 至 GND, GND1 0.3 V 至 + 5 V 模拟输入电压至 GND1 0.3 V 至 3 V 数字输入电压至 GND 0.3 V 至 VDRIVE V 数字输出电压至 GND 0.3 V 至 VDRIVE V VREF 至 GND1 0.3 V 至 +3 V GND1 至 GND 0.3 V 至 +0.3 V 输入电流至除电源引脚外的任何引脚 ±10 ma 工作温度范围 40 C 至 +125 C 存储温度范围 65 C 至 +150 C 结温 150 C 无铅回流焊 温度 260(+0) C ESD 3.5 kv ESD 警告 热阻 ESD( 静电放电 ) 敏感器件 带电器件和电路板可能会在没有察觉的情况下放电 尽管本产品具有专利或专有保护电路, 但在遇到高能量 ESD 时, 器件可能会损坏 因此, 应当采取适当的 ESD 防范措施, 以避免器件性能下降或功能丧失 表 4. 热阻 封装类型 θja θjc 单位 20 引脚 LFCSP 封装 C/W 注意, 超出上述绝对最大额定值可能会导致器件永久性损坏 这只是额定最值, 不表示在这些条件下或者在任何其它超出本技术规范操作章节中所示规格的条件下, 器件能够正常工作 长期在绝对最大额定值条件下工作会影响器件的可靠性 Rev. B Page 6 of 24

7 7 AD7298 引脚配置和功能描述 V IN3 1 V IN4 2 V IN5 3 V IN6 4 V IN SCLK 14 DOUT 13 DIN 12 T SENSE _BUSY 11 CS V REF D CAP V DD 20 V IN2 19 V IN1 18 V IN0 17 PD/RST 16 V DRIVE GND1 GND AD7298 TOP VIEW (Not to Scale) NOTES 1. THE EXPOSED METAL PADDLE ON THE BOTTOM OF THE LFCSP PACKAGE SHOULD BE SOLDERED TO PCB GROUND FOR PROPER FUNCTIONALITY AND HEAT DISSIPATION 表 5. 引脚功能描述引脚编号引脚名称描述 1 至 5, 18 至 20 VIN3, VIN4, VIN5, VIN6, VIN7, VIN0, VIN1, VIN2 图 2. 引脚配置 模拟输入 AD7298 具有 8 路单端模拟输入, 这些输入通过多路复用方式连接到片内采样保持器 每个输入通道可以接受 0 V 至 2.5 V 的模拟输入 任何未使用的输入通道应连接到 GND1, 以免拾取噪声 6 GND1 地 AD7298 内部基准电压电路的接地基准点 外部基准电压信号和所有模拟输入信号都应参考此 GND1 电压 GND1 引脚应连接到系统的 GND 平面 所有接地引脚在理想情况下应保持等电位, 并且电位差 ( 甚至在瞬态电压存在情况时 ) 不得超过 0.3 V V REF 引脚应通过一个 10 μf 去耦电容去耦至此接地引脚 7 VREF 内部 / 外部基准电压源 2.5 V 标称内部基准电压出现在该引脚上 如果对输出进行缓冲, 则片内基准电压可以从此引脚获得, 并外加于系统的其余部分 应将去耦电容连接到此引脚, 以便对基准电压缓冲器去耦 为获得最佳性能, 建议使用 10 μf 去耦电容将此引脚去耦到 GND1 如果需要, 可以禁用内部基准电压, 并将外部基准电压施加到此引脚 外部基准电压的输入范围为 2.0 V 至 2.5 V 8 DCAP 去耦电容引脚 去耦电容 ( 建议使用 1 μf) 连接到此引脚, 以便对内部 LDO 去耦 9 GND 地 AD7298 上所有模拟和数字电路的接地基准点 GND 引脚应连接到系统的接地平面 所有接地引脚在理想情况下应保持等电位, 并且电位差 ( 甚至在瞬态电压存在情况时 ) 不得超过 0.3 V D CAP 和 V DD 引脚均应去耦到此 GND 引脚 10 VDD 电源电压 (2.8 V 至 3.6 V) 应利用 10 μf 和 100 nf 去耦电容将此电源去耦到 GND 11 CS 片选, 低电平有效数字输入 此引脚在此输入的下降沿触发, 采样保持器进入保持模式, 且转换启动 此输入还会使能串行数据帧传输 当 CS 为低电平时, 输出总线使能, 转换结果在 DOUT 输出上可用 12 TSENSE_BUSY 输出繁忙 当温度传感器转换启动时, 此引脚变为高电平, 并一直保持高电平, 直到转换完成 13 DIN 数据输入, 逻辑输入 待写入 AD7298 控制寄存器的数据通过此输入提供, 并在 SCLK 的下降沿逐个输入该寄存器 14 DOUT 串行数据输出 AD7298 的转换结果以串行数据流形式通过此输出提供 各位在 SCLK 输入的下降沿逐个输出 AD7298 的输出数据流包括 4 个地址位 ( 表示转换结果对应的通道 ) 和 12 个转换数据位 (MSB 优先 ) 电压通道的输出编码为直接二进制, 温度传感器结果则为二进制补码 15 SCLK 串行时钟, 逻辑输入 串行时钟输入提供用于访问 AD7298 中数据的 SCLK Rev. B Page 7 of 24

8 引脚编号 引脚名称 描述 16 VDRIVE 逻辑电源输入 此引脚的电源电压决定接口的工作电压 应将此引脚去耦至 GND 此引脚的电压范围为 1.65 V 至 3.6 V, 可以小于 V DD 电压, 但不得比它高出 0.3 V 以上 17 PD/RST 掉电引脚 此引脚将器件置于完全掉电模式 ; 不需要工作时, 它可以帮助省电 将此引脚拉低至少 1 ns 至多 100 ns 时, 器件就会复位 如果超过了上述最长时间, 器件将进入掉电模式 当 AD7298 处于完全掉电模式时, 模拟输入必须回到 0 V EPAD EPAD 为使器件正常工作和散热,LFCSP 封装底部的裸露金属焊盘应焊接到 PCB 接地层 Rev. B Page 8 of 24

9 典型工作特性 AMPLITUDE (db) V DD = V DRIVE = 3V f SAMPLE = MHz f IN = 50kHz f SCLK = 20MHz SNR = THD = INL (LSB) T A = 25 C V DRIVE = 3V V DD = 3V INL MAX INL MIN FREQUENCY (khz) REFERENCE VOLTAGE (V) 图 3. 典型 FFT 图 6. INL 与 V REF 的关系 T A = 25 C V DRIVE = 3V V REF = 2.5V V DD = 3 V DNL MAX INL (LSB) INL (LSB) T A = 25 C V DRIVE = 3V V DD = 3V DNL MIN CODE 图 4. 典型 ADC INL REFERENCE VOLTAGE (V) 图 7. DNL 与 V REF 的关系 DNL (LSB) T A = 25 C V DRIVE = 3V V REF = 2.5V V DD = 3 V EFFECTIVE NUMBER OF BITS CODE V DD = 3V V DRIVE = 3V V REF (V) 图 5. 典型 ADC DNL 图 8. 有效位数与 V REF 的关系 Rev. B Page 9 of 24

10 3.0 V DD = V DRIVE = 3V V REF (V) ISOLATION (db) CURRENT LOAD (ma) 图 9. V REF 与基准输出电流驱动的关系 f NOISE (khz) 图 12. 通道间隔离,f IN = 50 khz R IN = 47Ω TEMPERATURE READING ( C) SINAD (db) R IN = 0Ω R IN = 47Ω R IN = 33Ω R IN = 100Ω TIME (Seconds) 图 10. 对热冲击的响应 ( 从室温到 50 C 搅拌油温 ) R IN = 200Ω INPUT FREQUENCY (khz) 图 13. 不同源阻抗下信纳比 (SINAD) 与模拟输入频率的关系 V DD = 3V V DRIVE = 3V PSRR (db) SINAD (db) k 10k 100k 1M 10M 100M RIPPLE FREQUENCY (Hz) 图 11. 电源抑制比 (PSRR) 与电源纹波频率的关系, 无电源去耦 V DD = 3V V DRIVE = 3V V REF (V) 图 14. SINAD 与基准电压的关系 Rev. B Page 10 of 24

11 V DD = V DRIVE = 3V TEMPERATURE ERROR ( C) POWER (mw) TEMPERATURE ( C) THROUGHPUT (ksps) 图 V 时的温度精度 图 18. 正常模式下 V DD = 3 V 时功耗与吞吐速率的关系 R SOURCE = 47Ω R SOURCE = 200Ω C 0 C +25 C +85 C +105 C +125 C V DRIVE = 3V THD (db) R SOURCE = 100Ω R SOURCE = 43Ω R SOURCE = 33Ω TOTAL CURRENT (µa) R SOURCE = 0Ω SIGNAL FREQUENCY (khz) 图 16. 不同源阻抗下总谐波失真 (THD) 与模拟输入频率的关系 V DD (V) 图 19. 不同温度下完全掉电电流与电源电压的关系 V DD = V DRIVE = 3V 5 CURRENT (ma) V DD CURRENT 1 V DRIVE CURRENT THROUGHPUT (ksps) 图 17. 平均电源电流与吞吐速率的关系 Rev. B Page 11 of 24

12 术语 信纳比 (SINAD) 在 ADC 输出端测得的信号对噪声及失真比 这里的 信号 是基波幅值的均方根值 噪声为所有达到采样频率一半 (f S/2, 直流信号除外 ) 的非基波信号之和 在数字化过程中, 这个比值的大小取决于量化级数, 量化级数越多, 量化噪声就越小 对于一个正弦波输入的理想 N 位转换器, 信纳比理论值计算公式为 : 信纳比 = (6.02 N ) db 因此,12 位转换器的 SINAD 理论值为 74 db 总谐波失真 (THD) 所有谐波均方根和与基波的比值 对于 AD7298, 其定义为其中 :V 1 是基波幅度的均方根值 ;V 2 V 3 V 4 V 5 和 V 6 是二次到六次谐波幅度的均方根值 峰值谐波或杂散噪声在 ADC 输出频谱 ( 最高达 f S/2, 直流信号除外 ) 中, 下一个最大分量的均方根值与基波均方根值的比 通常情况下, 此参数值由频谱内的最大谐波决定, 但对于谐波淹没于本底噪声内的 ADC, 它为噪声峰值 积分非线性 ADC 传递函数与一条通过 ADC 传递函数端点的直线的最大偏差 传递函数有两个端点, 起点在低于第一个码转换的 1 LSB 处的零电平, 终点在高于最后一个码转换的 1 LSB 处的满量程 微分非线性 ADC 中任意两个相邻码之间所测得变化值与理想的 1 LSB 变化值之间的差异 失调误差第一个码转换 (00 000) 到 (00 001) 与理想值 ( 即 GND1+1 LSB) 的偏差 失调误差匹配任何两个通道之间失调误差的差异 增益误差校正失调误差之后, 最后一个码转换 ( 到 ) 与理想值 ( 即 REFIN 1 LSB) 的偏差 增益误差匹配任何两个通道之间增益误差的差异 采样保持器采集时间采样保持放大器在转换结束后恢复跟踪模式 采样保持采集时间是转换结束后, 采样保持放大器输出达到最终值 ( 在 ±1 LSB 内 ) 所需的时间 电源抑制比 (PSRR) 电源抑制比定义为满量程频率 f 下 ADC 输出功率与频率 f S 下施加于 ADC V DD 的 100 mv 峰峰值正弦波功率的比值 输入信号的频率变化范围为 5 khz 至 25 MHz 其中 : Pf 为频率 f 下 ADC 的输出功率 Pf S 是频率 f S 下 ADC 的输出功率 Rev. B Page 12 of 24

13 电路信息 AD7298 是一款高速 8 通道 12 位 ADC, 内置温度传感 器 该器件可以采用 2.8 V 至 3.6 V 的电源供电, 每个模拟输入通道的吞吐速率可达 1 MSPS AD7298 为用户提供了片内采样保持器 ADC 和串行接口, 采用 20 引脚 LFCSP 封装 AD7298 具有 8 个单端输入通道, 并提供通道重复功能, 允许用户选择一个通道序列, 从而让 ADC 利用相继的 CS 下降沿对这些通道循环往复地执行转换 串行时钟输入访问器件中的数据, 控制写入 ADC 的数据传输, 并为逐次逼近型 ADC 提供时钟源 AD7928 的模拟输入范围是 0 V 至 V REF AD7298 工作时有 1 个周期的延迟, 也就是说, 只有转换周期结束后, 串行传输才会提供转换结果 AD7298 内置一个高精度带隙温度传感器,12 位 ADC 对其进行监控和数字化, 以提供 0.25 C 的分辨率 AD7298 提供灵活的电源管理选项, 以便用户在给定的吞吐速率下实现最佳功耗性能 这些选项通过对控制寄存器的部分掉电位 PPD 编程以及使用 PD/RST 引脚来选择 转换器操作 AD7298 是一款基于电容 DAC 的 12 位逐次逼近型 ADC 图 20 和图 21 显示了该 ADC 的原理示意图 ADC 包括控制逻辑 SAR 和容性 DAC, 这些电路可以加上和减去采样电容中的固定电荷数量, 使比较器恢复到平衡状态 图 20 显示的是采样阶段的 ADC SW2 闭合,SW1 处于位置 A 比较器处于平衡状态, 采样电容采集选定 V IN 通道上的信号 V IN GND1 A SW1 B SW2 COMPARATOR 图 20. ADC 采样阶段 CAPACITIVE DAC CONTROL LOGIC 当 ADC 启动转换 ( 见图 21) 时,SW2 断开, 而 SW1 移至位置 B, 使比较器变得不平衡 控制逻辑和容性 DAC 可以加上和减去固定的电荷数量, 使比较器恢复到平衡状态 当比较器重新平衡后, 转换就已经完成 控制逻辑产生 ADC 的输出代码 图 23 显示了 ADC 的传递函数 V IN GND1 A SW1 B SW2 COMPARATOR CAPACITIVE DAC CONTROL LOGIC 模拟输入图 22 显示了 AD7298 模拟输入结构的等效电路 二极管 D1 和 D2 提供模拟输入的 ESD 保护 切记, 模拟输入信号决不能超过内部产生的 2.5 V(D CAP)LDO 电压 300mV 以上, 否则会造成二极管正偏, 并开始向基板内传导电流 这些二极管可以传导但不会对器件造成彻底损坏的最大电流为 10 ma 图 22 中的电容 C1 通常约为 8 pf, 并且主要在引脚电容上 电阻 R1 是一个集总元件, 由开关 ( 即采样保持开关 ) 的导通电阻组成, 且还包括输入多路复用器的导通电阻, 总电阻典型值约为 155 Ω 电容 C2 是 ADC 采样电容, 典型值为 34 pf V IN C1 pf D CAP (2.5V) D1 D2 图 21. ADC 转换阶段 R1 C2 pf CONVERSION PHASE: SWITCH OPEN TRACK PHASE: SWITCH CLOSED 图 22. 等效模拟输入电路 在交流应用中, 建议在相应的模拟输入引脚用一个 RC 低通滤波器来滤除模拟输入信号的高频成份 在对谐波失真和信噪比要求严格的应用中, 模拟输入应采用一个低阻抗源进行驱动 高源阻抗会显著影响 ADC 的交流特性 这种情况下可能需要使用一个输入缓冲放大器 通常根据具体应用的性能要求来选择运算放大器 ADC 传递函数 AD7298 模拟输入通道转换结果的输出编码为直接二进制, 温度转换结果则为二进制补码 所设计的码跃迁在连续 LSB 值上 ( 即 1 LSB 2 LSB 等等 ) 进行 AD7298 的 LSB 大小为 V REF/4096 对于直接二进制编码,AD7298 的理想传递特性如图 23 所示 Rev. B Page 13 of 24

14 ADC CODE NOTES 1. V REF IS 2.5V. 0V 1LSB 1LSB = V REF /4096 ANALOG INPUT +V REF 1LSB 温度传感器操作 AD7298 内置一个本地温度传感器 该片内带隙温度传感器测量 AD7298 的芯片温度 I 4 I 图 23. 直接二进制传递特性 AD7298 上的温度传感器模块基于三电流原理 ( 见图 24), 三个电流分别通过一个二极管, 并测量其正向压降, 这样就能排除串联电阻所引起的误差, 准确计算出温度 8 I I BIAS V DD 温度转换包括两个阶段 : 积分和转换 积分在 CS 下降沿启动 完成温度结果的积分和转换大约需要 100 μs 当积分完成时, 转换自动启动 一旦温度积分启动,T SENSE_BUSY 信号就会变为高电平, 指示正在进行温度转换, 并且保持高电平, 直到转换完成 理论上, 温度测量电路可以 0.25 C 的分辨率测量 512 C 至 +511 C 范围内的温度 然而, 超出 T A(AD7298 的额定温度范围 ) 的温度不在器件的保证工作温度范围内 将控制寄存器的 T SENSE 位置 1, 可选择温度传感器 温度传感器均值计算 AD7298 包含温度传感器均值功能, 用以提高温度测量的精度 要使能温度传感器均值功能, 控制寄存器的 T SENSEAVG 位和 T SENSE 位均必须置 1 这种模式下, 器件会在内部对温度求取平均值, 从而降低噪声对温度结果的影响 每次执行 T SENSE 转换时都会测量温度,T SENSE 结果寄存器中的结果利用移动平均方法来确定 平均结果可通过以下公式计算 : T SENSE AVG 7 = 8 ( Previous_ Average_ Result ) + ( Current _ Result) 使能均值功能时, 读取的 T SENSE 结果为 T SENSEAVG 结果, 即移 动平均温度测量结果 1 8 在控制寄存器中选择温度传感器和均值模式 ( 位 D1 和 D5) 后,AD7298 提供的第一个 T SENSE 转换结果为实际的第一个 INTERNAL SENSE TRANSISTOR BIAS DIODE 图 24. 内部温度传感器的顶层结构 V OUT+ TO ADC V OUT T SENSE 转换结果 如果写入控制寄存器, 而 T SENSEAVG 位的内容发生改变, 那么均值功能将复位, 下一个 T SENSE 平均转换结果为当前的温度转换结果 在随后的控制寄存器写入操作中, 如果 T SENSEAVG 位的状态无变化, 则均值功能将重新初始化, 并继续计算累计平均值 用户可以将控制寄存器中的 T SENSEAVG 位设为 0, 从而禁用均值功能 AD7298 上电时, 均值功能默认禁用 测量温度通道的总时间典型值为 100 μs Rev. B Page 14 of 24

15 温度值格式 ADC 的一个 LSB 对应 0.25 C ADC 产生的温度读数以 12 位 二进制补码形式存储, 正负温度测量结果均适用 温度数据格式如表 6 所示 表 6. 温度数据格式 温度 ( C) 数字输出 温度转换公式如下 : 正温度 = ADC 码 /4 负温度 = (4096 ADC 码 )/4 上述公式仅适用于 V REF 为 2.5 V 的情况 如果使用外部基准电压源, 温度传感器正常工作所需的外部基准电压为 2 V 至 2.5 V 使用小于 2.5 V 的外部基准电压时, 温度结果可以利用下式计算, 其中 V EXT_REF 为外部基准电压的值 V DRIVE AD7298 还提供 V DRIVE 特性 V DRIVE 控制串行接口的工作电压 V DRIVE 特性使 ADC 能够轻松与 1.8 V 和 3 V 处理器接口 例如, 如果 AD7298 采用 3.3 V VDD 供电, 则 V DRIVE 引脚可以采用 1.8 V 电源供电 因此, 采用 3.3 V V DD 时,AD7298 能够在更大的动态范围内工作, 同时仍能与 1.8 V 处理器接口 注意, 应确保 V DRIVE 不超过 V DD 0.3 V 以上 ( 参见 绝对最大额定值 部分 ) 内部或外部基准电压源 AD7298 既可在内部 2.5 V 基准电压下工作, 也可在外部施加的基准电压下工作 控制寄存器的 EXT_REF 位用于确定是否使用内部基准电压源 如果选择了控制寄存器中的 EXT_REF 位, 则可以通过 V REF 引脚施加外部基准电压 上电时, 使能内部基准电压 合适的外部基准电压源包括 AD780 AD1582 ADR431 REF193 和 ADR391 内部基准电压电路由一个 2.5 V 带隙基准电压源和一个基准电压缓冲器组成 当 AD7298 在内部基准电压模式下工作时,V REF 引脚提供 2.5 V 内部基准电压, 该引脚应利用一个 10 μf 电容去耦到 GND1 将内部基准电压施加于系统的其它地方之前, 建议对其进行缓冲 当转换器处于静态时, 内部基准电压源能够提供 2 ma 的源电流 基准电压缓冲器需要 5.5 ms 的上电时间, 并在上电期间给 10 μf 去耦电容充电 Rev. B Page 15 of 24

16 控制寄存器 AD7298 的控制寄存器是一个 16 位只写寄存器 数据在 SCLK 的下降沿从 AD7298 的 DIN 引脚载入 从器件读出转换结果的同时, 数据通过 DIN 线路传输 DIN 线路上传输的数据对应于下一个转换的 AD7298 配置 每次数据传输需要 16 个串行时钟 只有在前 16 个时钟下降沿 (CS 下降沿之后 ) 提供的信息才会被载入控制寄存器 MSB 表示数据流的第一位 各位的功能如表 7 和表 8 所示 上电时, 控制寄存器的默认内容为全 0 表 7. 控制寄存器位功能 MSB LSB D15 D14 D13 D12 D11 D10 D9 D8 D7 D6 D5 D4 D3 D2 D1 D0 WRITE REPEAT CH0 CH1 CH2 CH3 CH4 CH5 CH6 CH7 TSENSE DONTC DONTC EXT_REF TSENSEAVG PPD 表 8. 控制寄存器位功能描述 位 引脚名称 描述 D15 WRITE 写入此位的值决定是否将随后的 15 位载入控制寄存器 如果此位为 1, 则将后续 15 位写入控制寄存器 ; 如果为 0, 则其余 15 位不载入控制寄存器, 控制寄存器保持不变 D14 REPEAT 此位使能对选定的通道序列执行重复转换 D13 至 D6 CH0 至 CH7 这 8 个通道选择位在当前转换结束时加载, 用于选择下一串行传输中要转换的模拟输入通道, 或者选择在随后的串行传输中进行转换的通道序列 每个 CHX 位对应一个模拟输入通道 选择要转换的通道或通道序列的方法是将 1 写入相应的 CHX 位 对应于转换结果的通道地址位先于 12 个数据位在 DOUT 上输出 待转换的下一个通道由多路复用器在第 14 个 SCLK 下降沿选择 D4 TSENSE 写入 1 可以使能温度转换 当选择温度传感器进行转换时,T SENSE_BUSY 引脚在下一个 CS 下降沿变为高电平, 指示转换正在进行 ; 上一个转换结果可以在执行温度转换的同时读取 一旦 T SENSE_BUSY 变为低电平, 就可以在 100 ns 后将 CS 拉低, 以便读取 T SENSE 转换结果 4 至 3 DONTC 无关 D2 EXT_REF 写入逻辑 1 可选择使用外部基准电压源 外部基准电压的输入范围为 1 V 至 2.5 V 外部基准电压不应超过 2.5 V, 否则会影响器件的性能 D1 TSENSEAVG 写入 1 可以使能温度传感器均值功能 均值模式使能时,AD7298 内部计算转换结果的移动平均值, 以确定最终 T SENSE 结果 ( 详情参见 温度传感器均值计算 部分 ) 这种模式可降低噪声对最终 T SENSE 结果的影响 选择此功能不会自动选择 T SENSE 进行转换 要启动温度传感器转换, 还必须将 T SENSE 位置 1 D0 PPD 写入 1 时, 选择部分掉电模式 这种模式下, 某些内部模拟电路关断 AD7298 在部分掉电模式下会保留控制寄存器中的信息 器件将一直处于此模式, 直到向此位写入 0 表 9. 通道地址位 ADD3 ADD2 ADD1 ADD0 模拟输入通道 VIN VIN VIN VIN VIN VIN VIN VIN TSENSE 使能均值功能的 T SENSE Rev. B Page 16 of 24

17 工作模式 为使用户拥有更大的灵活性,AD7298 提供多种不同的工作模式 通过设置控制寄存器的内容, 可以选择所需的模式 传统多通道工作模式 AD7298 可以像传统多通道 ADC 一样工作, 各串行传输选择下一个要转换的通道 启动转换之前, 必须写入控制寄存器以配置并选择所需的输入通道 在传统工作模式下, CS 信号用于使能第一个写操作的帧传输, 以通过 DIN 引脚写入转换器 这种工作模式下, 控制寄存器的 REPEAT 位设为逻辑低电平 0, 因此 REPEAT 功能禁用 在对控制寄存器的初始写操作期间, 出现在 DOUT 引脚上的数据无效 第一个 CS 下降沿启动对控制寄存器的写操作以配置器件, 然后在第二个 CS 下降沿启动对所选模拟输入通道 (V IN0) 的转 换, 并第三个 CS 下降沿提供结果 (V IN2) 以供读取 AD7298 工作时有 1 个周期的延迟, 因此, 启动转换的周期结束后, 经过一个串行读取周期才能提供对应的转换结果 由于该器件工作时有 1 个周期的延迟, 控制寄存器会配置好在下一个 CS 下降沿启动的下一个转换, 但相应结果的第一位直到随后的 CS 下降沿才会输出, 如图 25 所示 如果控制寄存器中选择了一个以上的通道,AD7298 将在相继的 CS 下降沿上按升序转换所有选定的通道 控制寄存器选定的所有通道都已转换完毕后,AD7298 停止转换, 直到用户重新写入控制寄存器以选择下一个通道进行转换 操作原理如图 26 所示 转换序列完成后或未选定通道时, DOUT 返回全 1 CS SCLK DOUT INVALID DATA INVALID DATA CONVERSION RESULT FOR CHANNEL 1 CONVERSION RESULT FOR CHANNEL 4 DIN DATA WRITTEN TO CONTROL REGISTER CHANNEL 1 SELECTED DATA WRITTEN TO CONTROL REGISTER CHANNEL 4 SELECTED NO WRITE TO THE CONTROL REGISTER NO WRITE TO THE CONTROL REGISTER 图 25. 配置 AD7298 的转换和读取操作, 选定一个通道进行转换 CS SCLK DOUT INVALID DATA INVALID DATA CONVERSION RESULT FOR CHANNEL 1 DIN DATA WRITTEN TO CONTROL REGISTER CH 1 AND 2 SELECTED NO WRITE TO THE CONTROL REGISTER DATA WRITTEN TO CONTROL REGISTER CHANNEL 5 SELECTED CS SCLK DOUT CONVERSION RESULT FOR CHANNEL 2 CONVERSION RESULT FOR CHANNEL 5 DIN NO WRITE TO THE CONTROL REGISTER NO WRITE TO THE CONTROL REGISTER 图 26. 配置 AD7298 的转换和读取操作, 选定多个通道进行转换 Rev. B Page 17 of 24

18 CS SCLK DOUT INVALID DATA INVALID DATA CONVERSION RESULT FOR CHANNEL 0 DIN NO WRITE TO THE CONTROL REGISTER NO WRITE TO THE CONTROL REGISTER DATA WRITTEN TO CONTROL REGISTER CH 0, CH 1, AND CH 2 SELECTED: REPEAT = 1 CS SCLK DOUT CONVERSION RESULT FOR CHANNEL 1 CONVERSION RESULT FOR CHANNEL 2 CONVERSION RESULT FOR CHANNEL 0 DIN NO WRITE TO THE CONTROL REGISTER 重复操作控制寄存器的 REPEAT 位允许用户选择一个通道序列, 以便让 AD7298 连续转换 控制寄存器的 REPEAT 位置 1 时, AD7298 按升序连续遍历选定的通道, 从最低通道开始转换控制寄存器中选定的所有通道 序列转换完成后,AD7298 返回控制寄存器中选定的第一个通道, 并重新开始序列转换 在重复工作模式下, 选定通道的序列转换持续到对 AD7298 的控制寄存器重新编程时为止 如果控制寄存器的 T SENSE 位置 1, 则在序列中的最后一个模拟输入通道转换完成后进行温度转换 一旦启动重复操作, 即无需写入控制寄存器, 除非需要更改 AD7298 的配置 WRITE 位必须设为 0, 或者将 DIN 线路连接低电平, 以确保控制寄存器不会被意外覆盖, 否则自动转换序列将中断 在重复工作模式下, 只要写入控制寄存器就会复位操作周期, 而无论所选通道有无变化 因此, 写操作后 AD7298 执 NO WRITE TO THE CONTROL REGISTER 图 27. 配置重复模式下的转换和读取操作 NO WRITE TO THE CONTROL REGISTER 行的下一个转换将是序列中的第一个选定通道 要选择通道序列, 必须针对要进行转换的每个模拟输入将相关的通道位设为逻辑高电平 (1) 例如, 如果 REPEAT 位 =1, 则 CH0 CH1 CH2=1 V IN0 模拟输入在写入控制寄存器后的第一个 CS 下降沿转换,V IN1 通道在随后的 CS 下降沿转换, 同时 V IN0 转换结果可供读取 写操作后的第三个 CS 下降沿启动对 V IN2 的转换, 同时 V IN1 结果可供读取 AD7298 工作时有 1 个周期的延迟, 因此, 启动转换的周期结束后, 经过一个串行读取周期才能提供对应的转换结果 这种工作模式允许连续进行通道转换, 而无需重新编程控制寄存器或在每个串行传输上写入器件, 因而简化了器件的操作 图 27 说明了如何设置 AD7298 以连续转换特定的通道序列 若要退出重复工作模式并返回传统的多通道 ADC 工作模式, 应在下一个串行写操作中将 REPEAT 位设为 Rev. B Page 18 of 24

19 掉电模式为了提供灵活的电源管理选项,AD7298 具有多种省电工作模式 针对不同的应用要求, 可以选择不同的选项以优化功耗和吞吐速率 AD7298 的掉电工作模式由控制寄存器的掉电 (PPD) 位和器件的 PD/RST 引脚控制 将电源初始施加于 AD7298 时, 应确保将器件置于所需的工作模式 正常模式正常模式旨在提供最快的吞吐速率, 此时 AD7298 始终处于完全上电状态, 因此用户不必担心上电时间问题 图 28 显示了 AD7298 在正常模式下的一般工作原理图 转换在 CS 的下降沿启动, 采样保持器则进入保持模式 在第 14 个 SCLK 下降沿, 采样保持器返回采样模式, 开始对模拟输入采样, 如 串行接口 部分所述 在数据传输的前 16 个时钟周期中, 通过 DIN 线路提供给 AD7298 的数据载入控制寄存器 ( 前提是 WRITE 位设为 1) 在正常模式下, 只要转换期间的写入传输中 PPD 位设为 0, 那么在转换结束时, 器件将保持完全上电状态 在正常模式下, 为确保连续工作, 每个数据写操作都应向 PPD 位写入 0 完成转换并访问转换结果需要 16 个串行时钟周期 为获得额定性能, 吞吐速率不得超过 1 MSPS 转换完成并且 CS 返回高电平状态后, 至少必须等待静默时间 t QUIET 逝去后, 才能再次拉低 CS 以启动另一次转换并访问上次转换的结果 CS SCLK DOUT DIN 1 4 CHANNEL ADDRESS BITS + CONVERSION RESULT DATA WRITTEN TO CONTROL REGISTER IF REQUIRED 图 28. 正常工作模式部分掉电模式这种模式下,AD7298 的某些内部电路关断 包含 16 个 SCLK 时钟周期的当前串行写操作完成后,AD7298 在 CS 上升沿进入部分掉电模式 要进入部分掉电模式, 应在最后请求的读取 AD7298 操作中将控制寄存器的 PPD 位设为 1 处于部分掉电模式后, 如果 CS 变为低电平,AD7298 将通过 DOUT 引脚传输全 1 值 如果控制寄存器中使能了温度传感器的均值功能, 那么一旦器件进入部分掉电模式, 均值功能就会复位 在控制寄存器的掉电位 (PPD) 变为逻辑零 (0) 之前,AD7298 一直处于部分掉电模式 写入控制寄存器以禁用掉电位之后,AD7298 在 CS 的上升沿开始上电 经过 t QUIET 时间后, 必须对控制寄存器完成一个全 16 SCLK 的写操作, 以将其内容更新为随后转换所需的通道配置 有效转换在下一个 CS 下降沿启动 由于 AD7298 具有 1 个周期的延迟, 因此退出部分掉电模式后的第一个转换结果是在第四个串行传输中提供, 如图 29 所示 第一个周期更新 PPD 位, 第二个周期更新配置和通道 ID 位, 第三个周期完成转换, 第四个周期访问 DOUT 有效结果 使用这种模式可以降低器件的整体功耗 PART IS IN PARTIAL POWER DOWN PART BEGINS TO POWER UP ON CS RISING EDGE. THE PART IS FULLY POWERED UP ONCE THE WRITE TO THE CONTROL REGISTER IS COMPLETED. t QUIET t QUIET CS SCLK DOUT INVALID DATA INVALID DATA DIN WRITE TO CONTROL REGISTER, PPD = 0. WRITE TO THE CONTROL REGISTER, SELECT CH1, PPD = 0 NO WRITE TO CONTROL REGISTER CONTROL REGISTER CONFIGURED TO POWER UP DEVICE. SELECT ANALOG INPUT CHANNELS FOR CONVERSION. THE NEXT CYCLE WILL CONVERT THE FIRST CHANNEL PROGRAMMED IN THIS WRITE OPERATION. AD7298 CONVERTING CHANNEL 1 NEXT CYCLE HAS CHANNEL 1 RESULT AVAILABLE FOR READING 图 29. 部分掉电工作模式 Rev. B Page 19 of 24

20 完全掉电模式这种模式下,AD7298 的所有内部电路都关断, 控制寄存器或任何其它内部寄存器都不保留任何信息 如果控制寄存器中使能了温度传感器的均值功能 (T SENSE AVG), 那么一旦器件进入掉电模式, 均值功能就会复位 将 PD/RST 引脚拉低 100 ns 以上,AD7298 就会进入完全掉电模式 当 AD7298 处于完全掉电模式时,ADC 输入必须回到 0 V PD/RST 引脚与时钟不同步, 因此可以随时切换状态 将 PD/RST 引脚的逻辑电平拉回高逻辑状态, 器件就会上电到正常工作状态 以较低吞吐速率工作时, 可以利用完全关断功能来降低 AD7298 的平均功耗 重新编程控制寄存器并启动有效转换之前, 用户应确保 t POWER_UP 时间已逝去 AD7298 上电 AD7298 内置上电复位电路, 后者可以将控制寄存器设置为全 0 的默认值, 从而使能内部基准电压源, 并将器件配置为正常工作模式 上电时, 默认使能内部基准电压源, 其上电时间 ( 最大值 ) 为 6 ms 如果使用外部基准电压源, 用户无需等待内部基准电压源完全上电 初始上电 500 μs 后,AD7298 的数字接口即可完全正常工作 因此,500 μs 后用户就可以写入控制寄存器以切换到外部基准电压模式 然后, 一旦 V REF 引脚上的外部基准电压可用,AD7298 就可以进行转换 将电源初始施加于 AD7298 时, 用户必须等待 500 μs 的额定时间, 然后才能设置控制寄存器以选择要转换的通道 复位 AD7298 内置复位功能, 可用来将器件和所有内部寄存器 ( 包括控制寄存器 ) 的内容复位到默认状态 要激活复位操作, 应将 PD/RST 引脚拉低并保持 100 ns 以下的时间 该引脚与时钟不同步, 因此可以随时切换状态 如果 PD/RST 引脚处于低电平状态的时间超过 100 ns, 器件将进入完全掉电模式 为确保器件正常工作,PD/RST 引脚上的逻辑电平必须保持稳定 Rev. B Page 20 of 24

21 串行接口 图 30 给出了 AD7298 串行接口的详细时序图 串行时钟提供转换时钟, 并在每次转换期间控制进出 AD7298 的信息传输 CS 信号启动数据传输和转换过程 CS 下降沿将采样保持器置于保持模式, 同时器件对模拟输入进行采样, 总线脱离三态 转换也在此时启动, 需要 16 个 SCLK 周期才能完成 采样保持器在第 14 个 SCLK 下降沿返回跟踪模式, 如图 30 的 B 点所示 在第 16 个 SCLK 下降沿或 CS 的上升沿,DOUT 线路返回三态 如果在 16 个 SCLK 尚未完成之前就出现 CS 上升沿, 则转换将被终止,DOUT 线路返回三态, 控制寄存器不更新 ; 否则,DOUT 在第 16 个 SCLK 下降沿返回三态 完成转换过程并访问 AD7298 中的数据需要 16 个串行时钟周期 对于 AD7298,4 个用于表示转换结果所对应通道的地址位 (ADD3 至 ADD0) 先于 12 个数据位 ( 参见表 9) CS 变为低电平以提供微控制器或 DSP 需读取的第一个地址位 余下的数据则在随后的 SCLK 下降沿逐个输出, 从第二个地址位开始 因此, 在串行时钟的第一个下降沿不仅会提供第一个地址位以供读取, 而且会输出第二个地址位 剩余的 3 个地址位和 12 个数据位在随后的 SCLK 下降沿逐个输出 数据传输的最后一位在第 15 个下降沿输出, 并在第 16 个下降沿有效, 可供读取 在 SCLK 较慢的应用中, 可以在各 SCLK 上升沿读取数据, 具体视 SCLK 频率而定 在 CS 下降沿之后的第一个 SCLK 上升沿, 可提供第一个地址位 ; 在第 15 个 SCLK 上升沿, 可提供最后一个数据位 如果 MSB( 即 WRITE 位 ) 已置 1, 则对控制寄存器写入信息发生在数据传输中的前 16 个 SCLK 下降沿 从 AD7298 读取的 16 位字总是包括 4 个通道地址位 ( 表示转换结果所对应的通道 ) 和 12 个转换结果位 t QUIET CS t ACQUISITION t 2 t 6 B SCLK t 5 DOUT THREE- STATE t 3 ADD3 ADD2 t 4 t 7 ADD1 ADD0 DB11 DB10 DB2 DB1 DB0 t 8 THREE- STATE DIN t 9 t 10 WRITE REPEAT CH0 CH1 CH2 CH3 EXT_REF T SENSE AVG PPD 图 30. 串行接口时序图 Rev. B Page 21 of 24

22 温度传感器读取温度传感器转换包括两个阶段 : 积分阶段和转换阶段, 详情参见 温度传感器操作 部分 积分阶段在 CS 的下降沿启动, 完成后转换阶段自动启动 当温度转换的积分阶段启动时,T SENSE_BUSY 信号变为高电平, 指示正在进行温度转换, 并且保持高电平, 直到转换完成 AD7298 测量和转换温度通道的总时间最大值为 100 μs 一旦 T SENSE_BUSY 信号变为低电平, 指示温度转换已完成, 则下一个 CS 下降沿必须在 100 ns 之后出现 如果 T SENSE_BUSY 下降沿到下一个 CS 下降沿的时间不满足 100 ns 的最短时间要求, 则下一个转换将被破坏, 但 CS 帧传输的温度结果不受影响 之所以设定这一限制, 是为了确保下一个转换有充足的采样时间 一旦 T SENSE_BUSY 信号变为高电平, 用户就可以提供一个 CS 下降沿以使能上一个转换结果的帧读取, 并根据需要设置控制寄存器 ( 见图 31) 读取上一个转换结果后,AD7298 会忽略 T SENSE_BUSY 信号为高电平期间出现的任何后续 CS 下降沿 如果在 T SENSE_BUSY 信号为高电平期间提供额外的 CS 下降沿,AD7298 将提供全 1 的无效数字输出 另一方面, 如果 CS 在 T SENSE_BUSY 为高电平期间保持高电平, 则 DOUT 总线保持三态 如果用户在 T SENSE_BUSY 变为高电平后的前 16 个 SCLK 周期中写入控制寄存器, 则针对下一个转换 ( 在 T SENSE_BUSY 变为低电平后的后续 CS 下降沿启动 ) 的器件配置就会改变 如果用户在 T SENSE_BUSY 变为高电平后的前 16 个 SCLK 周期中写入控制寄存器, 将器件配置为部分掉电模式, 则温度传感器转换将中止, 器件在第 16 个 SCLK 下降沿进入部分掉电状态 因此, 如果 CS 信号会在 T SENSE_BUSY 为高电平期间切换, 建议不要写入控制寄存器 在温度转换阶段期间, 当 CS 切换时, 务必确保 WRITE 位设为 0 如果使用 10 khz 以上的 SCLK 频率, 则完成温度转换需要一个以上的标准读取周期 这种情况下, 用户可以监控 T SENSE_BUSY 信号, 从而判断转换是否完成以及结果是否可供读取 THE TEMPERATURE INTEGRATION BEGINS ENSURES ADEQUATE ACQUISITION TIME FOR NEXT ADC CONVERSION CS SCLK t 11 DOUT PREVIOUS CONVERSION RESULT TEMPERATURE SENSOR RESULT DIN DATA WRITTEN TO CONTROL REGISTER CH T SENSE SELECTED CONFIGURE CONTROL REGISTER FOR NEXT CONVERSION T SENSE _BUSY THE TEMPERATURE CONVERSION IS COMPLETED 图 31. 温度传感器转换的串行接口时序图 Rev. B Page 22 of 24

23 布局和配置电源旁路和接地 为实现最佳性能, 必须精心考虑使用 AD7298 的 PCB 的电源 和接地回路布局 AD7298 所在的 PCB 应具有单独的模拟部分和数字部分, 各部分应有自己的板面积 AD7298 应位于 PCB 的模拟部分中 通过 10 μf 和 0.1 μf 电容将 AD7298 的电源去耦到地 这些电容应尽可能靠近该器件,0.1 μf 电容最好正对着该器件 0.1 μf 电容必须具有低有效串联电阻 (ESR) 和低有效串联电感 (ESL), 因此可以使用普通陶瓷型电容 针对内部逻辑开关引起的瞬态电流所导致的高频, 该 0.1 μf 电容可提供低阻抗接地路径 10 μf 电容应为钽珠型电容 电源走线应尽可能宽, 以提供低阻抗路径, 并减小电源线路上的毛刺效应 通过数字地将时钟和其它具有快速开关数字信号的元件屏蔽起来, 使之不影响电路板的其它器件 尽可能避免数字信号与模拟信号交叠 当走线跨过电路板相反两侧时, 应确保这些走线彼此垂直, 以减小电路板的馈通效应 最佳电路板布局技术是微带技术, 其中电路板的元件侧专用于接地层, 信号走线则布设在焊接侧 但是, 这种技术对于双层电路板未必始终可行 温度监控 AD7298 非常适合监控热环境 裸片可精确反映影响附近集成电路的确切热条件 AD7298 测量并转换其本身半导体芯片表面的温度 使用该器件测量附近热源的温度时, 必须考虑热源和 AD7298 之间的热阻 如果热阻确定, 则可从 AD7298 的输出推导出热源的温度 从热源传输到 AD7298 裸片上的热传感器的热量有 60% 之多经由铜走线和焊盘散发掉 在 AD7298 上的焊盘中,GND 焊盘传输的热量最多 因此, 要测量一个热源的温度, 建议尽可能降低 AD7298 GND 焊盘与热源的 GND 之间的热阻 Rev. B Page 23 of 24

24 外形尺寸 PIN 1 INDICATOR SQ BSC PIN 1 INDICATOR EXPOSED PAD SQ SEATING PLANE TOP VIEW MAX 0.02 NOM COPLANARITY REF BOTTOM VIEW COMPLIANT TO JEDEC STANDARDS MO-220-WGGD. 图 引脚 LFCSP_WQ 封装,4mmx4mm, 超薄体 (CP-20-8) 尺寸单位 :mm 0.25 MIN FOR PROPER CONNECTION OF THE EXPOSED PAD, REFER TO THE PIN CONFIGURATION AND FUNCTION DESCRIPTIONS SECTION OF THIS DATA SHEET B 订购指南 1 型号 温度范围 封装描述 封装选项 AD7298BCPZ 40 C 至 +125 C 20 引脚引脚架构芯片级封装 [LFCSP_WQ] CP-20-8 AD7298BCPZ-RL7 40 C 至 +125 C 20 引脚引脚架构芯片级封装 [LFCSP_WQ] CP-20-8 EVAL-AD7298SDZ 评估板 1 Z = 符合 RoHS 标准的器件 Analog Devices, Inc. All rights reserved. Trademarks and registered trademarks are the property of their respective owners. D08754sc-0-6/11(B) Rev. B Page 24 of 24

AD87/AD88 目 录 技 术 规 格... 3 绝 对 最 大 额 定 值... 6 最 大 功 耗... 6 ESD 警 告... 6 典 型 工 作 特 性... 8 工 作 原 理... 7 输 入 级... 7 交 越 选 择... 7 输 出 级... 8 直 流 误 差... 8

AD87/AD88 目 录 技 术 规 格... 3 绝 对 最 大 额 定 值... 6 最 大 功 耗... 6 ESD 警 告... 6 典 型 工 作 特 性... 8 工 作 原 理... 7 输 入 级... 7 交 越 选 择... 7 输 出 级... 8 直 流 误 差... 8 低 失 真 高 速 轨 到 轨 输 入 / 输 出 放 大 器 AD87/AD88 特 性 高 速 3 db 带 宽 :9 MHz (G = ) 压 摆 率 : V/μs 低 失 真 SFDR: dbc @ MHz SFDR:8 dbc @ 5 MHz 可 选 输 入 交 越 阈 值 低 噪 声 4.3 nv/ Hz.6 pa/ Hz 低 失 调 电 压 :9 µv( 最 大 值 ) 低 功 耗 :

More information

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD 1/16 T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma (@T = 25 C, @Vdd = 3V) VDD 1.8 3.0 3.6 V (1) 0.08 0.3 µa Idd 300 450 500 µa 0.25

More information

untitled

untitled 0755-82134672 Macroblock MBI6655 1 LED Small Outline Transistor 1A 3 LED 350mA 12V97% 6~36 Hysteretic PFM 0.3Ω GSB: SOT-89-5L (Start-Up) (OCP) (TP) LED Small Outline Package 5 MBI6655 LED / 5 LED MBI6655

More information

Microsoft Word - LR1122B-B.doc

Microsoft Word - LR1122B-B.doc UNISONIC TECHNOLOGIES CO., LTD LOW NOISE ma LDO REGULATOR DESCRIPTION The UTC is a typical LDO (linear regulator) with the features of High output voltage accuracy, low supply current, low ON-resistance,

More information

untitled

untitled Macroblock 6~36 1A - (PWM) - (PWM) 1,024 3 LED 350mA12V 97% Hysteretic PFM 0.3Ω (UVLO)(Start-Up)(OCP) (TP) LED 6 PCB Mini Small Outline Package GMS : MSOP-8L-118mil Small Outline Package GD: SOP8L-150-1.27

More information

目录 特性...1 功能框图...1 概述...1 产品聚焦...1 修订历史...2 技术规格...3 I 2 C 时序规格...5 绝对最大额定值...6 热阻...6 ESD 警告...6 引脚配置和功能描述...7 典型工作特性...8 术语...11 电路信息...12 转换器操作...1

目录 特性...1 功能框图...1 概述...1 产品聚焦...1 修订历史...2 技术规格...3 I 2 C 时序规格...5 绝对最大额定值...6 热阻...6 ESD 警告...6 引脚配置和功能描述...7 典型工作特性...8 术语...11 电路信息...12 转换器操作...1 内置温度传感器的 8 通道 I 2 C 12 位 SAR 型 ADC AD7291 特性 12 位 SAR ADC 8 个单端模拟输入通道模拟输入范围 :0 V 至 2.5 V 12 位温度 - 数字转换器温度传感器精度 :±1 C( 典型值 ) 通道序列器工作模式额定电压 (V DD ):2.8 V 至 3.6 V 逻辑电压 :V DRIVE = 1.65 V 至 3.6 V 2.5 V 内部基准电压源

More information

文件名

文件名 Microchip MCP3221 12 I 2 C TM 12 1LSB DNL 2LSB INL 250 A 5nA 1 A I 2 C TM I 2 C 100kHz I 2 C 400kHz 2 8 I 2 C 22.3ksps 2.7V 5.5V 40 +85 40 +125 SOT-23 Microchip MCP3221 12 A/D SOT-23 CMOS MCP3221 250 A

More information

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi SPHE8202R Design Guide V2.0 JUN, 2007 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com SPHE8202R Design Guide Important Notice

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

目录特性... 应用... 功能框图... 概述... 产品特色... 修订历史... 技术规格... 3 AD AD AD 时序规格... 9 绝对最大额定值... 0 热阻... 0 ESD 警告... 0 引脚配置和功能描述... 典型性能

目录特性... 应用... 功能框图... 概述... 产品特色... 修订历史... 技术规格... 3 AD AD AD 时序规格... 9 绝对最大额定值... 0 热阻... 0 ESD 警告... 0 引脚配置和功能描述... 典型性能 50 ksps 六通道 同步采样 双极性 6/4/- 位 ADC AD7656/AD7657/AD7658 特性 6 个独立 ADC 真双极性模拟输入引脚 / 软件可选范围 :±0 V ±5 V 高吞吐速率 :50 ksps icmos 工艺技术 低功耗 40 mw(50 ksps,5 V 电源 ) 宽输入带宽信噪比 (SNR):86.5 db(50 khz 输入频率 ) 片内基准电压源及缓冲器并行

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

GH1220 Hall Switch

GH1220 Hall Switch Unipolar Hall Switch - Medium Sensitivity Product Description The DH220 is a unipolar h all switch designed in CMOS technology. The IC internally includes a voltage regulator, Hall sensor with dynamic

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

HMC8 目录 产品特性... 应用... 功能框图... 概述... 修订历史... 技术规格...3 电气规格...3 数字控制电压... 偏置和电源电流... 绝对最大额定值...5 ESD 警告...5 引脚配置和功能描述...6 接口原理图...6 典型性能参数...7 插入损耗 回损和隔

HMC8 目录 产品特性... 应用... 功能框图... 概述... 修订历史... 技术规格...3 电气规格...3 数字控制电压... 偏置和电源电流... 绝对最大额定值...5 ESD 警告...5 引脚配置和功能描述...6 接口原理图...6 典型性能参数...7 插入损耗 回损和隔 高隔离度 硅 SPDT 非反射开关,9 khz 至 3. GHz HMC8 产品特性非反射式 5 Ω 设计正控制电压 : V/3.3 V 低插入损耗 :.68 db (8. GHz) 高隔离度 :8 db (8. GHz) 高功率处理 35 dbm( 通过路径 ) 7 dbm( 端接路径 ) 高线性度 db 压缩 (PdB):37 dbm( 典型值 ) 输入三阶交调截点 (IIP3):6 dbm(

More information

XT1861

XT1861 同步升压 DC-DC 变换器 产品概述 XT1861 系列产品是一款低功耗高效率 低纹波 工作频率高的 PFM 控制升压 DC-DC 变换器 XT1861 系列产品仅需要 3 个外部元器, 即可完成低输入的电池电压输入 用途 1~3 个干电池的电子设备 数码相机 电子词典 ED 手电筒 ED 灯 血压计 MP3 遥控玩具 无线耳机 无线鼠标键盘 医疗器械 防丢器 汽车防盗器 充电器 VCR PD 等手持电子设备

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

Microsoft Word - HTL7G06S009P_V2.3_CH.doc

Microsoft Word - HTL7G06S009P_V2.3_CH.doc Document Number: HTL7G6S9P Product Data Sheet Rev. 2.3, 1/17 LDMOS 射频功率晶体管 HTL7G6S9P 1. 产品描述 HTL7G6S9P 是一款为 VHF/UHF 频段射频功率放大器而设计的 LDMOS 射频功率晶体管 器件内部集成静电保护电路 1-6MHz, 8W, 7.2V WIDE BAND RF POWER LDMOS TRANSISTOR

More information

AN-80 各单通道 ADC 采用先进的 CMOS 工艺制造, 提供 引脚 LFCSP 封装, 额定温度范围为 0ºC 至 +8ºC 工业温度范围 设计和布局考虑因素对于布局设计师, 引脚排列间的唯一差异是数据输出位的分配 所有双通道转换器中, 引脚 和引脚 8 分别为 A 和 B 的 MSB, 该

AN-80 各单通道 ADC 采用先进的 CMOS 工艺制造, 提供 引脚 LFCSP 封装, 额定温度范围为 0ºC 至 +8ºC 工业温度范围 设计和布局考虑因素对于布局设计师, 引脚排列间的唯一差异是数据输出位的分配 所有双通道转换器中, 引脚 和引脚 8 分别为 A 和 B 的 MSB, 该 AN-80 应用笔记 One Technology Way P.O. Box Norwood, MA 00- Tel: 8/-00 Fax: 8/- www.analog.com 利用引脚兼容高速 ADC 简化设计任务 作者 :Robert M. Clarke 简介选择 ADC 可能是系统设计师最棘手的问题 转换器分辨率和采样速率决定系统性能 然而, 设计通常是基于一系列无法验证的假设, 除非原型系统经过测试

More information

第 卷 第 期 年 月 半 导 体 学 报! " # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% )

第 卷 第 期 年 月 半 导 体 学 报!  # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% ) 第 卷 第 期 年 月!"# $%&'%' $!&'#%#$1/#1 $'! /18103 2?/03101?/18103 /3 0,?/0301.13 )*+!!! '!,!! -.&' $!'! 4%%&1)/1(7%&)03(%)%&,%*(1&0)%$-0*,%30)17*1*)0(+1(1+&1*+*),)1; &113(%44(10&.0701&0-&00*/)%;()1%-1+%&0)0*1*)%

More information

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi MICROCHIP EVM Board : APP001 1-1. APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pin 16 I/O Extension Interface 1-2. APP001 Block_A Block_B

More information

iml v C / 0W EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the current flowin

iml v C / 0W EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the current flowin iml8683-220v C / 0W EVM - pplication Notes iml8683 220V C 0W EVM pplication Notes Table of Content. IC Description... 2 2. Features... 2 3. Package and Pin Diagrams... 2 4. pplication Circuit... 3 5. PCB

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

iml v C / 4W Down-Light EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the cur

iml v C / 4W Down-Light EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the cur iml8683-220v C / 4W Down-Light EVM - pplication Notes iml8683 220V C 4W Down Light EVM pplication Notes Table of Content. IC Description... 2 2. Features... 2 3. Package and Pin Diagrams... 2 4. pplication

More information

AD7292 目录 特性... 应用... 功能框图... 概述... 修订历史... 2 技术规格... 3 ADC 技术规格... 3 DAC 技术规格... 4 通用规格... 5 温度传感器技术规格... 5 时序规格... 6 绝对最大额定值... 7 热阻... 7 ESD 警告...

AD7292 目录 特性... 应用... 功能框图... 概述... 修订历史... 2 技术规格... 3 ADC 技术规格... 3 DAC 技术规格... 4 通用规格... 5 温度传感器技术规格... 5 时序规格... 6 绝对最大额定值... 7 热阻... 7 ESD 警告... 集成 ADC DAC 温度传感器和 GPIO 的 0 位监控和控制系统 AD7292 产品特性 0 位 SAR ADC 8 个多路复用模拟输入通道单端工作模式差分工作模式 5 V 模拟输入范围 V REF 2 V REF 或 4 V REF 输入范围输入以 A GND 或 V DD 为参考进行测量 4 个单调 0 位 5 V DAC 建立时间 :2 µs 上电复位至 0 V 吸电流与源电流 :0 ma

More information

数字带通 带阻 高通滤波器的设计 把一个归一化原型模拟低通滤波器变换成另一个所需类型的模拟滤波器, 再将其数字化 直接从模拟滤波器通过一定的频率变换关系完成所需类型数字滤波器的设计 先设计低通型的数字滤波器, 再用数字频率变化方法将其转换成所需类型数字滤波器

数字带通 带阻 高通滤波器的设计 把一个归一化原型模拟低通滤波器变换成另一个所需类型的模拟滤波器, 再将其数字化 直接从模拟滤波器通过一定的频率变换关系完成所需类型数字滤波器的设计 先设计低通型的数字滤波器, 再用数字频率变化方法将其转换成所需类型数字滤波器 数字带通 带阻 高通滤波器的设计 把一个归一化原型模拟低通滤波器变换成另一个所需类型的模拟滤波器, 再将其数字化 直接从模拟滤波器通过一定的频率变换关系完成所需类型数字滤波器的设计 先设计低通型的数字滤波器, 再用数字频率变化方法将其转换成所需类型数字滤波器 模拟原型方法 : 模拟低通 - 模拟带通 H ( j) H ( j) 3 3 3 模拟原型方法 : 模拟低通 - 模拟带通 H ( j) 模拟低通

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

PCM-3386用户手册.doc

PCM-3386用户手册.doc PCM-3386 BBPC-4x86 10/100M PC/104 (Lanry technology Co. Ltd. Zhuhai) 38 1012836 (Address: Room 1012,Linhai Building,No. 38,west of Shihua Road,Zhuhai City,Guangdong Province,China) (post code)519015 (phone)0756-3366659

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

18 位 1.5 LSB INL 250 ksps PulSAR 差分 ADC, 采用 MSOP 或 QFN 封装 AD7691 产品特性 18 位分辨率 无失码吞吐速率 :250 ksps 积分非线性 (INL): 典型值 ±0.75 LSB, 最大值 ±1.5 LSB(FSR 的 ±6 ppm)

18 位 1.5 LSB INL 250 ksps PulSAR 差分 ADC, 采用 MSOP 或 QFN 封装 AD7691 产品特性 18 位分辨率 无失码吞吐速率 :250 ksps 积分非线性 (INL): 典型值 ±0.75 LSB, 最大值 ±1.5 LSB(FSR 的 ±6 ppm) 8 位.5 LSB INL 25 ksps PulSAR 差分 ADC, 采用 MSOP 或 QFN 封装 产品特性 8 位分辨率 无失码吞吐速率 :25 ksps 积分非线性 (INL): 典型值 ±.75 LSB, 最大值 ±.5 LSB(FSR 的 ±6 ppm) 动态范围 :2 db( 典型值,25 ksps) 过采样动态范围 :25 db ( ksps) 无噪声分辨率 :2 位 ( ksps)

More information

器之 间 向一致时为正 相反时则为负 ③大量电荷的定向移动形成电 流 单个电荷的定向移动同样形成电流 3 电势与电势差 1 陈述概念 电场中某点处 电荷的电势能 E p 与电荷量 q Ep 的比值叫做该点处的电势 表达式为 V 电场中两点之间的 q 电势之差叫做电势差 表达式为 UAB V A VB 2 理解概念 电势差是电场中任意两点之间的电势之差 与参考点的选择无关 电势是反映电场能的性质的物理量

More information

ESD.xls

ESD.xls Transient Suppressor Reverse Reverse ESD Capacitance Stand-off Beakdown Package Contact/Air Channel Circuit Diagram Pin Configuration Remark CMTLCP020CR35BFE CMTLDF02CR35AFE CMTLDF02CR50BLE CSP020 (pf)

More information

Microsoft Word - ML63S_8.doc

Microsoft Word - ML63S_8.doc Series DC-DC Converter Application ower Source of ortable roducts almtops ortable Cameras and Video Recorders Wireless mouse and keyboard Ordering Information Features Small number of external components:

More information

供充放一体电源及充电电源手册_0_.doc

供充放一体电源及充电电源手册_0_.doc 2010. 05 供充放一体电源及充电电源 适用于铅酸电池 锂电池 镍氢电池 实现不间断供电 采用自动均 / 浮充 恒流 / 恒压 零电流 -ΔV 检测 / 涓充 / 滴充技术 提供各种保护 显示各种工作状态 目录 SCD 供充放一体电源 ( 锂电池系列 )-----------1 SCD 供充放一体电源 ( 铅酸电池系列 )----------3 C 充电电源 ( 镍氢电池系列 )-------------------------5

More information

AD8 目录 目录... 2 采用 ±5 V 电源时的技术规格... 3 采用 +5 V 电源时的技术规格... 4 绝对最大额定值... 5 热阻... 5 ESD 警告... 5 典型性能参数... 6 测试电路 应用 电路配置 视频线路驱动器 低

AD8 目录 目录... 2 采用 ±5 V 电源时的技术规格... 3 采用 +5 V 电源时的技术规格... 4 绝对最大额定值... 5 热阻... 5 ESD 警告... 5 典型性能参数... 6 测试电路 应用 电路配置 视频线路驱动器 低 1.5 GHz 超高速运算放大器 AD8 产品特性高速 3 db 带宽 :1.5 GHz (G = +1) 65 MHz 全功率带宽 (,V O = 2 V p-p) 压摆率 :4,1 V/μs.1% 建立时间 :12 ns 出色的视频特性.1 db 平坦度 :17 MHz 差分增益 :.2% 差分相位 :.1 输出过驱恢复 :22 ns 低噪声 : 输入电压噪声 :1.6 nv/ Hz 宽带宽范围内低失真无杂散动态范围

More information

untitled

untitled 2013/08/23 Page1 26 Ver.1.2 2013/08/23 Page2 26 Ver.1.2 2013/08/23 Page3 26 Ver.1.2 -JO8 DIM EN 5 GND GND -FA6 SOT23-6 6 SEN SW VIN 4 DIM 3 2 1 -GG5 VIN SEN GND DIM 5 SW 1 SOT89-5 4 3 2 TO-252 -HE5 VIN

More information

Microsoft Word - DW01

Microsoft Word - DW01 用途 / Purpose: 用于锂离子 / 锂聚合物可充电电池组 For lithium ion / lithium polymer rechargeable battery pack 特点 / Features: (1) 高电压检测电路 / Precision voltage detection circuit 过充检测电压 Overcharge detection voltage 4.3 过充恢复电压

More information

Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provided by SUNPLUS TECHNOLO

Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provided by SUNPLUS TECHNOLO Car DVD New GUI IR Flow User Manual V0.1 Jan 25, 2008 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com Important Notice SUNPLUS

More information

Microsoft Word - AP1515V02

Microsoft Word - AP1515V02 Document No. Rev.: V0.20 Page: 1 of 9 Revision History Rev. DRN # History Initiator Effective Date V01 V02 Initial document 黃宗文 Add second package description 葉宗榮 2014/05/15 2015/09/08 Initiator: 雷晨妤 (DCC)

More information

DATASHEET SEARCH SITE ==

DATASHEET SEARCH SITE == 特性描述 是应用于电子秤低频测量的单通道模拟前端 该器件可以接受直接来自传感器的低电平的输入信号, 然后产生串行的数字输出 利用 Σ-Δ 转换技术实现了 24 位无丢失代码性能 通过选定的输入信号被送到一个基于模拟调制器的增益可编程专用前端 片内数字滤波器处理调制器的输出信号, 通过通信口发命令可调节滤波器的截止点和输出更新速率, 从而对数字滤波器的第一个陷波进行编程 只需 2.6~5.5V 单电源供电

More information

HXJ9005技术资料

HXJ9005技术资料 概 述 一 款 双 通 道 桥 接 的 音 频 功 率 放 大 器, 在 5V 电 源 电 压 4Ω 负 载 时, 可 提 供 3.5W 的 功 率 具 有 低 功 耗 关 断 模 式 和 过 温 保 护 功 能 在 电 路 启 动 时, 具 有 缓 冲 及 防 抖 动 功 能 此 外, 当 接 立 体 耳 机 时, 芯 片 可 以 单 终 端 工 作 模 式 驱 动 立 体 耳 机 具 有 外 部

More information

HM53XXA/B 35V,1.6μA 超低静态电流,200mA, 低压差线性稳压器 概述 HM53XX 系列是丏为功耗敏感应用研发设计的一款高输入电压 超低功耗的低压差线性稳压器 最大允许的输入电压可达 35V, 丐输出 100mA 电流时输入输出电压差仅 300mV 典型情况下, 静态电流 1.

HM53XXA/B 35V,1.6μA 超低静态电流,200mA, 低压差线性稳压器 概述 HM53XX 系列是丏为功耗敏感应用研发设计的一款高输入电压 超低功耗的低压差线性稳压器 最大允许的输入电压可达 35V, 丐输出 100mA 电流时输入输出电压差仅 300mV 典型情况下, 静态电流 1. 概述 HM53XX 系列是丏为功耗敏感应用研发设计的一款高输入电压 超低功耗的低压差线性稳压器 最大允许的输入电压可达 35V, 丐输出 100mA 电流时输入输出电压差仅 300mV 典型情况下, 静态电流 1.6μA, 具有几个固定的输出电压 1.8V,2.5V,3.0V,3.3V,3.6V,4.0V, 4.2V,5.0V IC 内部集成了短路保护和热关断功能 尽管主要为固定电压调节器而设计,

More information

iml88-0v C / 8W T Tube EVM - pplication Notes. IC Description The iml88 is a Three Terminal Current Controller (TTCC) for regulating the current flowi

iml88-0v C / 8W T Tube EVM - pplication Notes. IC Description The iml88 is a Three Terminal Current Controller (TTCC) for regulating the current flowi iml88-0v C / 8W T Tube EVM - pplication Notes iml88 0V C 8W T Tube EVM pplication Notes Table of Content. IC Description.... Features.... Package and Pin Diagrams.... pplication Circuit.... PCB Layout

More information

<4D6963726F736F667420576F7264202D20C4CFBEA9D0C2B0D9A3A8363030363832A3A9A3BAC7C9BDB3BFAAB3F6BAC3D3F1C0B4A3ACB9D8D7A2D2B5CEF1BDE1B9B9B5F7D5FBA3BBCDB6D7CAC6C0BCB6A1B0BDF7C9F7CDC6BCF6A1B12E646F63>

<4D6963726F736F667420576F7264202D20C4CFBEA9D0C2B0D9A3A8363030363832A3A9A3BAC7C9BDB3BFAAB3F6BAC3D3F1C0B4A3ACB9D8D7A2D2B5CEF1BDE1B9B9B5F7D5FBA3BBCDB6D7CAC6C0BCB6A1B0BDF7C9F7CDC6BCF6A1B12E646F63> 巧 匠 开 出 好 玉 来, 关 注 业 务 结 构 调 整 公 司 调 研 南 京 新 百 (600682) 投 资 评 级 : 谨 慎 推 荐 2009-11-27 市 场 数 据 2009 年 11 月 26 日 当 前 价 格 ( 元 ) 9.46 52 周 价 格 区 间 ( 元 ) 4.48-10.59 总 市 值 ( 百 万 ) 3389.72 流 通 市 值 ( 百 万 ) 2835.22

More information

截 至 2016 年 3 月 23 日, 农 林 牧 渔 板 块 累 计 涨 幅 为 -13.99%, 在 申 万 28 个 一 级 行 业 分 类 中 排 名 第 八, 在 年 初 至 今 所 有 板 块 全 线 下 跌 的 情 况 下, 农 林 牧 渔 板 块 跌 幅 相 对 较 小 主 要 原

截 至 2016 年 3 月 23 日, 农 林 牧 渔 板 块 累 计 涨 幅 为 -13.99%, 在 申 万 28 个 一 级 行 业 分 类 中 排 名 第 八, 在 年 初 至 今 所 有 板 块 全 线 下 跌 的 情 况 下, 农 林 牧 渔 板 块 跌 幅 相 对 较 小 主 要 原 甘 肃 省 上 市 公 司 研 究 系 列 报 告 行 业 点 评 报 告 行 业 研 究 : 农 林 牧 渔 报 告 日 期 :2016 年 3 月 23 日 猪 价 屡 创 新 高, 禽 价 有 望 接 棒 农 林 牧 渔 行 业 点 评 华 龙 证 券 研 究 员 : 刘 喆 执 业 证 书 编 号 :S0230513080001 TEL:0931-4890521 EMAIL:liuzhe0606@163.com

More information

Intersil精密模拟器件

Intersil精密模拟器件 Intersil 1nV/vHz & 0.00017% 1nV/ Hz Hz IC +125 DCPs VOUT I 2 C Intersil 450nA ( ) 2nA na / IntersilIC 2.8mm 1.6mm / V I SS µa (Max.) V OS (mv) (Max.) I B (pa) @1kHz (nv/ Hz ) khz (Tye.)(dB) (Tye.)(dB)

More information

目录 特性...1 应用...1 概述...1 功能框图...1 修订历史...2 技术规格...3 SPI 时序规格...4 绝对最大额定值...5 ESD 警告...5 引脚配置和功能描述...6 典型工作特性...7 工作原理...9 电路信息...9 转换器详解...9 温度测量...9 单

目录 特性...1 应用...1 概述...1 功能框图...1 修订历史...2 技术规格...3 SPI 时序规格...4 绝对最大额定值...5 ESD 警告...5 引脚配置和功能描述...6 典型工作特性...7 工作原理...9 电路信息...9 转换器详解...9 温度测量...9 单 ±0.5 C 精度 16 位数字 SPI 温度传感器 ADT7310 特性高性能温度精度 ±0.5 C( 40 C 至 +105 C,2.7 V 至 3.6 V) ±0.4 C( 40 C 至 +105 C,3.0 V) 16 位温度分辨率 :0.0078 C 上电后快速完成首次温度转换 :6 ms 易于实施用户无需进行温度校准 / 校正无需线性校正低功耗 1SPS( 每秒采样率 ) 省电模式正常模式下为

More information

5991-1117CHCN.indd

5991-1117CHCN.indd 开 关 电 源 测 量 应 用 指 南 使 用 Agilent InfiniiVision 3000/4000 X 系 列 示 波 器 并 结 合 开 关 电 源 测 量 选 件 简 介 配 有 开 关 电 源 测 量 选 件 的 Agilent 3000 和 4000 X 系 列 示 波 器 能 够 提 供 一 个 快 速 且 方 便 的 方 法, 帮 助 您 分 析 开 关 电 源 的 可 靠

More information

untitled

untitled 975 AirMeter TM Test Tool 用 户 手 册 August 2006 Rev. 1, 12/11(Simplified Chinese) 2006-2011 Fluke Corporation. All rights reserved. Specifications are subject to change without notice. All product names

More information

Xear 3D USB CH-IN-2 SPKs 2 6 :

Xear 3D USB CH-IN-2 SPKs 2 6 : 13 6 CH-IN-2 SPKs 2 6 : 13 2003 7 0 13 Notice The content furnished in this document is C-Media audio product knowledge for customers reference However, C-Media Inc assumes no responsibility for the consequences

More information

Logitech Wireless Combo MK45 English

Logitech Wireless Combo MK45 English Logitech Wireless Combo MK45 Setup Guide Logitech Wireless Combo MK45 English................................................................................... 7..........................................

More information

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual Subject LD5515 Demo Board Model Name (5V/1.5A) Key Features Built-In Pump Express TM Operation Flyback topology with PSR Control Constant Voltage Constant Current High Efficiency with QR Operation (Meet

More information

ARK-14013/14017/14018

ARK-14013/14017/14018 ARK-24017F 8 ARK-24017F : 8 2 ii 1997~2000,,,,,, 1...3 1.1 ARK...3 1.2 ARK-24017F...3 ARK-24017F?...3 ARK-24017F...3 ARK-24017F...3 ARK-24017F...5 ARK-24017F...6...7 2.1...7 2.2...7 ARK...7...8...8...8...9

More information

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC MODEL 61509/61508/61507/ 61609/61608/61607 PROGRAMMABLE AC POWER SOURCE MODEL 61509/61508/61507/ 61609/61608/61607 61509/61609: 6kVA 61508/61608: 4.5kVA 61507/61607: 3kVA : 0-175V/0-350V/Auto : DC, 15Hz-2kHz

More information

AD89/AD83/AD84 目 录 技 术 规 格...3 采 用 ±5 V 电 源 时 的 技 术 规 格...3 采 用 +5 V 电 源 时 的 技 术 规 格...4 采 用 +3 V 电 源 时 的 技 术 规 格...5 绝 对 最 大 额 定 值...6 最 大 功 耗...6 典

AD89/AD83/AD84 目 录 技 术 规 格...3 采 用 ±5 V 电 源 时 的 技 术 规 格...3 采 用 +5 V 电 源 时 的 技 术 规 格...4 采 用 +3 V 电 源 时 的 技 术 规 格...5 绝 对 最 大 额 定 值...6 最 大 功 耗...6 典 特 性 低 功 耗 每 个 放 大 器 的 电 源 电 流 :.3 ma 高 速 -3 db 带 宽 :5 MHz (G = +) 压 摆 率 :6 V/μs.% 建 立 时 间 :8 ns 轨 到 轨 输 入 和 输 出 输 入 超 过 供 电 轨 mv 不 会 反 相 宽 电 源 电 压 范 围 :.7V 至 V 失 调 电 压 :6 mv( 最 大 值 ) 低 输 入 偏 置 电 流 +.7

More information

Microsoft PowerPoint - IC测试培训二.ppt

Microsoft PowerPoint - IC测试培训二.ppt IC 测试培训 第二章 IC 测试实例 By 孙鹏程 29-9-8 1 2.1 LDO 基础知识 本章要点 2.2 LDO 主要参数及意义 2.3 LDO 测试方案 2.4 LDO 测试程序 2 2.1 LDO 基础知识 什么是 LDO? LDO:(low dropout regulator) 低压差线性稳压器, 其基本电路可由串联调整管 VT 取样电阻 R1 和 R2 比较放大器 A 组成 取样电压加在比较器

More information

DATASHEET SEARCH SITE ==

DATASHEET SEARCH SITE == 矽诺威一级代理龙创威电子小柯 13652437521 描述 特性 是一颗单通道 AB 类音频功率放大器 在 5V 电源供电,THD+N=10%,4 欧姆负载上可以输出 2.5W 的功率 优异的噪声和 THD 指标可以提供高品质的音频信号放大 极少的外围元件就能提供芯片稳定工作, 大大减少了 PCB 面积并降低成本 具有关断功能, 极大的延长系统的待机时间 过热保护功能增强系统的可靠性 POP 声抑制功能改善了系统的听觉感受,

More information

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family small, speedy, safe Eextremely modular Up to 64 modules per bus de Quick reaction time: up to 20 µs A new Member of the Cube Family Murrelektronik s modular I/O system expands the field-tested Cube family

More information

种类 标准型 (UL 规格 CSA 规格认证型 ) 极数机能接点构成 型号 线圈额定电压 型号 线圈额定电压 最小包装单位 单稳型 a 接点 a 接点 ( 高容量型 ) -4P-US -74P-US DC DC P-FD-US -74P-FD-US DC DC5

种类 标准型 (UL 规格 CSA 规格认证型 ) 极数机能接点构成 型号 线圈额定电压 型号 线圈额定电压 最小包装单位 单稳型 a 接点 a 接点 ( 高容量型 ) -4P-US -74P-US DC DC P-FD-US -74P-FD-US DC DC5 a5a 8Aab 2a 2b5A 98mW -4P-US -74P-US AC3,000VkV UL508 CSA SEV FD AgSnln + 2 RoHS - - - - - - a( ) ab(2 ) 2 2a(2 ) 0 2b(2 ) U ( -4 K 2 ( -4 2 2 7 4 7 P AgCd FD AgSnIn DC ND 77 US UL CSA U P -98 种类 标准型 (UL

More information

Converting image (bmp/jpg) file into binary format

Converting image (bmp/jpg) file into binary format RAiO Image Tool 操作说明 Version 1.0 July 26, 2016 RAiO Technology Inc. Copyright RAiO Technology Inc. 2013 RAiO TECHNOLOGY INC. www.raio.com.tw Revise History Version Date Description 0.1 September 01, 2014

More information

Electrical and Optical Clock Data Recovery Solutions - Data Sheet

Electrical and Optical Clock Data Recovery Solutions - Data Sheet 32 GBd N1076A 32 GBd N1077A / 64 GBd N1076B 64 GBd N1078A / 64 GBd NRZ PAM4 O/E < 100 fs RMS JSA PLL ...3...4...4...5 /...6...8...11 N1076A...12 N1076B DCA-M...13 N1077A...15 N1078A DCA-M...17...21...

More information

PS1608 Series PS1608-1R0NT PS1608-1R5NT PS1608-2R2NT PS1608-3R3NT PS1608-4R7NT PS1608-6R8NT PS1608-8R2NT PS1608-0MT PS1608-0MT PS1608-0MT PS1608-0MT P

PS1608 Series PS1608-1R0NT PS1608-1R5NT PS1608-2R2NT PS1608-3R3NT PS1608-4R7NT PS1608-6R8NT PS1608-8R2NT PS1608-0MT PS1608-0MT PS1608-0MT PS1608-0MT P 风华高科 PS 系列功率电感 PS SERIES SMD POWER INDUCTORS 特征 FEATURES: 大电流 ; igh s aturation current 屏蔽结构 ; Magnetic shielded 适合于表面贴装, 适合于回流焊 SMT type, suitable for solder reflow. 应用 APPLICATIONS 1. 移动通信, 笔记本电脑 ; Portable

More information

×××芯片用户手册

×××芯片用户手册 用户手册 通讯地址 : 深圳市南山区蛇口南海大海 1079 号花园城数码大厦 A 座 9 楼邮政编码 :518057 公司电话 :+(86 755)86169257 传真 :+(86 755)86169057 公司网站 :www.chipsea.com 第 1 页, 共 13 页 历史修改记录 历史修改记录 时间 记录 版本号 2012-12-19 更换新 LOGO, 重新发布 1.0 第 2 页,

More information

数 字 隔 离 器 光 耦 隔 离 器 或 者 电 磁 隔 离 器 用 来 将 系 统 现 场 的 ADC DAC 和 信 号 调 理 电 路 与 数 字 端 的 控 制 器 隔 离 开 来 如 果 模 拟 端 的 系 统 也 必 须 实 现 充 分 隔 离 的 话, 在 输 入 或 者 输 出 的

数 字 隔 离 器 光 耦 隔 离 器 或 者 电 磁 隔 离 器 用 来 将 系 统 现 场 的 ADC DAC 和 信 号 调 理 电 路 与 数 字 端 的 控 制 器 隔 离 开 来 如 果 模 拟 端 的 系 统 也 必 须 实 现 充 分 隔 离 的 话, 在 输 入 或 者 输 出 的 图 1 PLC 系 统 架 构, 示 出 了 各 种 不 同 的 I/O 模 块 功 能 PLC 系 统 包 含 输 入 模 块 输 出 模 块 和 输 入 / 输 出 模 块 因 为 许 多 输 入 和 输 出 都 涉 及 现 实 世 界 中 的 模 拟 变 量 而 控 制 器 是 数 字 式 的 PLC 系 统 硬 件 设 计 任 务 将 主 要 围 绕 如 下 方 面 展 开 : 数 模 转

More information

DCR (Max.) CKST uH/M 0.1±20% CKST uH/M 0.22±20% CKST uH/M 0.47±20% CKST uH/M 0

DCR (Max.) CKST uH/M 0.1±20% CKST uH/M 0.22±20% CKST uH/M 0.47±20% CKST uH/M 0 B E FEATURES 特性 1.Shielded construction 屏蔽罩结构 2.High current rating up to DC 65Amp 高电流范围可到 64A 3.High frequency rang up to 5MHz 宽频范围可到 5MHz 4.Very low DC resistance 低值电流 5.Low noise 低损耗 6.ROHS compliant

More information

MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需

MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需 MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需检测电阻, 能检测交直流电流和外围电路简单 检测电流方案为电流传感器 APCL-C784 和 C79B

More information

untitled

untitled Portable Electrode B91901070 B91901133 量 ECG 路 更 量 路 performance RF 量 路 Portable Electrode 便利 量 portable electrode 路 濾 濾 行 electrode 類 FM modulation scheme ECG 類 數 RF RF demodulate 利 Elvis Labview ECG

More information

Microsoft Word - 山西焦化(600740)--焦炭价格上涨提升业绩,市场整合带来机会 doc

Microsoft Word - 山西焦化(600740)--焦炭价格上涨提升业绩,市场整合带来机会 doc 公 司 研 究 中 国 A 股 市 场 采 掘 煤 炭 开 采 Mining Coal Mining 2010 年 2 月 23 日 Type your comment here 市 场 数 据 20010 年 2 月 23 日 当 前 价 格 ( 元 ) 8.19 52 周 价 格 区 间 ( 元 ) 5.3-12.15 总 市 值 ( 百 万 ) 4672.68 流 通 市 值 ( 百 万 )

More information

untitled

untitled 2006-4-25 2006-4-26 2 2006-4-26 3 20 50 6 2006-4-26 4 µ 2006-4-26 5 CERN LEP/LHC 2006-4-26 6 L3 Detector 2006-4-26 7 2006-4-26 8 ATLAS Detector (A Toroidal LHC ApparatuS) 2006-4-26 9 CMS Detector 2006-4-26

More information

a) Rating and Characteristics Disk Type 05D *Rated Rated Peak Varistor Clamping Typ. cap. Series Part No. Rated Voltage Energy Rated Power Current(8 2

a) Rating and Characteristics Disk Type 05D *Rated Rated Peak Varistor Clamping Typ. cap. Series Part No. Rated Voltage Energy Rated Power Current(8 2 ZR NR Series (Radial type) Disk type general use ZR type (Low voltage) and NR type (Medium/High Voltage) are for protection of electronics and control eqiupments from surge and noise. 1. Features Superior

More information

EMI LOOPS FILTERING EMI ferrite noise suppressors

EMI LOOPS FILTERING EMI ferrite noise suppressors (HighSpeedBoardDesign) (HIGHSPEEDBOARDDESIGN) 1 1 3 1.1 3 1.1.1 3 1.1.2 vs 4 1.1.3 5 1.1.4 8 1.2 9 1.2.1 9 1.2.2 vs 1 1.3 1 1.3.1 11 1.3.1.1 11 1.3.1.2 12 1.3.1.3 12 1.3.1.4 12 1.3.1.5 12 2. 2.1 14 2.1.1

More information

行业

行业 PCL-1800 PCL-1800 1.1...2 1.1.1 1K FIFO...2 1.1.2...2 1.1.3 16...3 1.1.4...3 1.1.5...3 1.1.6...3 1.2...3 1.3...4 1.4...5 2.1...5 2.2...6 2.2.1...6 2.2.2...6 2.2.3 D/A...7 2.2.4...7 2.2.5 TRIG0 GATE0...8

More information

DataNet_Solution2.ai

DataNet_Solution2.ai ourtec FOURIERTECHNOLOGIES DataNet 24 / 7 创新的 监控解决方案 DataNet ZigBee DataNet ZigBee 2.4 GHz 65,000 4 20 ma, 0 1 V,, 2, NTC, J 2.4 GHz API www.fourtec.com DataNet 解决方案智能的无线数据记录网络 DataNet 6 DataNet / DataNet

More information

目 录 特 性...1 应 用... 1 概 述... 1 功 能 框 图... 1 修 订 历 史... 规 格...3 时 序 规 格...5 绝 对 最 大 额 定 值... 6 ESD 警 告... 6 引 脚 配 置 和 功 能 描 述...7 典 型 性 能 参 数... 8 输 出 噪

目 录 特 性...1 应 用... 1 概 述... 1 功 能 框 图... 1 修 订 历 史... 规 格...3 时 序 规 格...5 绝 对 最 大 额 定 值... 6 ESD 警 告... 6 引 脚 配 置 和 功 能 描 述...7 典 型 性 能 参 数... 8 输 出 噪 特 性 电 容 数 字 转 换 器 采 用 单 芯 片 解 决 方 案 的 新 标 准 可 与 单 一 或 差 分 接 地 式 传 感 器 接 口 分 辨 率 : 最 低 af( 即 最 高 19.5-bit ENOB) 精 度 :1 ff 线 性 度 :.1% 共 模 ( 不 可 变 ) 电 容 最 大 可 达 17 pf 满 量 程 ( 可 变 ) 电 容 范 围 :±8 pf 更 新 速 率

More information

Pin Configurations Figure2. Pin Configuration of FS2012 (Top View) Table 1 Pin Description Pin Number Pin Name Description 1 GND 2 FB 3 SW Ground Pin.

Pin Configurations Figure2. Pin Configuration of FS2012 (Top View) Table 1 Pin Description Pin Number Pin Name Description 1 GND 2 FB 3 SW Ground Pin. Features Wide 3.6V to 32V Input Voltage Range Output Adjustable from 0.8V to 30V Maximum Duty Cycle 100% Minimum Drop Out 0.6V Fixed 300KHz Switching Frequency 12A Constant Output Current Capability Internal

More information

FPGAs in Next Generation Wireless Networks WPChinese

FPGAs in Next Generation Wireless Networks WPChinese FPGA 2010 3 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 FPGAs in Next Generation Wireless Networks GSM GSM-EDGE 384kbps CDMA2000

More information

邏輯分析儀的概念與原理-展示版

邏輯分析儀的概念與原理-展示版 PC Base Standalone LA-100 Q&A - - - - - - - SCOPE - - LA - - ( Embedded ) ( Skew ) - Data In External CLK Internal CLK Display Buffer ASIC CPU Memory Trigger Level - - Clock BUS Timing State - ( Timing

More information

第十四章 STC单片机比较器原理及实现

第十四章 STC单片机比较器原理及实现 第 14 章 STC 单片机比较器 原理及实现 何宾 2015.02 1 本章主要内容 STC 单片机比较器结构 STC 单片机比较器寄存器组 STC 单片机比较器应用 2 STC 单片机比较器结构 STC15W 系列单片机内置了模拟比较器 对于 STC15W201S STC15W404S, 以及 STC15W1K16S 系 列单片机的比较器内部结构 3 STC 单片机比较器结构 S T C 15W

More information

A20_banana_v12_141107

A20_banana_v12_141107 hrsday, gst, of heet ate: ev ocment mber ize itle anana ro.,, lace & close to I I I I I I I I M M M M Q Q Q Q Q Q Q Q Q Q Y Q Q Q Q Q Y Q Q Q Q Q Q Q Q M Q J Q Q Q Q Q Q M Q Q Y Q Q# Q Q# Q Q# Q J Q# QM

More information

样 本 基 金 平 均 仓 位 微 升 近 3 月 仓 位 水 平 变 化 不 大 根 据 我 们 金 元 证 券 的 基 金 仓 位 监 测 模 型,69 只 样 本 基 金 的 仓 位 ( 截 止 日 期 : 2011.3.4) 为 82.48%, 处 于 历 史 均 值 之 上, 相 比 上

样 本 基 金 平 均 仓 位 微 升 近 3 月 仓 位 水 平 变 化 不 大 根 据 我 们 金 元 证 券 的 基 金 仓 位 监 测 模 型,69 只 样 本 基 金 的 仓 位 ( 截 止 日 期 : 2011.3.4) 为 82.48%, 处 于 历 史 均 值 之 上, 相 比 上 中 国 证 券 市 场 基 金 研 究 基 金 研 究 Fund Research 2011 年 3 月 7 日 近 3 月 基 金 仓 位 水 平 变 化 不 大 股 性 B 类 分 级 基 金 可 获 利 了 结 基 金 仓 位 监 测 与 市 场 周 报 (2.28-3.4) 根 据 我 们 金 元 证 券 的 基 金 仓 位 监 测 模 型,69 只 样 本 基 金 的 仓 位 ( 截 基

More information

Autodesk Product Design Suite Standard 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品

Autodesk Product Design Suite Standard 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品 Autodesk Product Design Suite Standard 20122 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品设计 Autodesk Product Design Suite Standard 版本包包括以下软件产产品

More information

s 2002

s 2002 s 2002 List of all Catalogs from Electrical Installation Technology (ET) Electrical Installation Catalogs Technology ( / ) Miniature Circuit-Breakers, Residual Current Operated Circuit- Breakers and Modular

More information

Table of Contents Power Film Capacitors Power Film Capacitors Series Table Product Type Series Voltage Capacitance() Page DC-Link Power Film Capacitors Power Film Capacitors Power Film Capacitors Power

More information

Stability for Op Amps

Stability for Op Amps R ISO CF Tim Green Electrical Engineering R ISO CF CF Output Pin Compensation R ISO Tina SPICE Tina SPICE V OUT V IN AC Tina SPICE (Transient Real World Stability Test)23 R O /40V OPA452 (piezo actuator)

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 STC 单片机比较器原理及实现 主讲 : 何宾 Email:hebin@mail.buct.edu.cn 2016.03 STC 单片机比较器结构 STC15W 系列单片机内置了模拟比较器 对于 STC15W201S STC15W404S, 以及 STC15W1K16S 系列单片机的比较器内部结 构 STC 单片机比较器结构 从图中可以看出, 比较器正端输入 CMP+ 的输入电压来自单片机的 P5.5

More information

1. 請 先 檢 查 包 裝 內 容 物 AC750 多 模 式 無 線 分 享 器 安 裝 指 南 安 裝 指 南 CD 光 碟 BR-6208AC 電 源 供 應 器 網 路 線 2. 將 設 備 接 上 電 源, 即 可 使 用 智 慧 型 無 線 裝 置 進 行 設 定 A. 接 上 電 源

1. 請 先 檢 查 包 裝 內 容 物 AC750 多 模 式 無 線 分 享 器 安 裝 指 南 安 裝 指 南 CD 光 碟 BR-6208AC 電 源 供 應 器 網 路 線 2. 將 設 備 接 上 電 源, 即 可 使 用 智 慧 型 無 線 裝 置 進 行 設 定 A. 接 上 電 源 1. 請 先 檢 查 包 裝 內 容 物 AC750 多 模 式 無 線 分 享 器 安 裝 指 南 安 裝 指 南 CD 光 碟 BR-6208AC 電 源 供 應 器 網 路 線 2. 將 設 備 接 上 電 源, 即 可 使 用 智 慧 型 無 線 裝 置 進 行 設 定 A. 接 上 電 源 B. 啟 用 智 慧 型 裝 置 的 無 線 Wi-Fi C. 選 擇 無 線 網 路 名 稱 "edimax.setup"

More information

02 Keysight 34460A/61A/65A/70A - Truevolt DMM Truevolt DMM 1 µa pico second Truevolt DMM 4.3 Keysight Truevolt DMM Keysight Truevolt 34460A 34461A 344

02 Keysight 34460A/61A/65A/70A - Truevolt DMM Truevolt DMM 1 µa pico second Truevolt DMM 4.3 Keysight Truevolt DMM Keysight Truevolt 34460A 34461A 344 Keysight Technologies 34460A 34461A 34465A (6 ) 34470A (7 ) Truevolt DMM 02 Keysight 34460A/61A/65A/70A - Truevolt DMM Truevolt DMM 1 µa pico second Truevolt DMM 4.3 Keysight Truevolt DMM Keysight Truevolt

More information

CD4066中文资料:CD4066是四双向模拟开关,主要用作模拟或数字信号的多路传输。引出端排列与CC4016一致,但具有比较低的导通阻抗。另外,导通阻抗在整个输入信号范围内基本上变。CD4066由四个相互独立的双向开关组成,每个开关有一个控制信号,开关中的p和n器件在控制信号作用下同时开关。这种结

CD4066中文资料:CD4066是四双向模拟开关,主要用作模拟或数字信号的多路传输。引出端排列与CC4016一致,但具有比较低的导通阻抗。另外,导通阻抗在整个输入信号范围内基本上变。CD4066由四个相互独立的双向开关组成,每个开关有一个控制信号,开关中的p和n器件在控制信号作用下同时开关。这种结 CD466 中文资料 CD466 的引脚功能下图所示 每个封装内部有 4 个独立的模拟开关 每个模拟开关有输入 输出 控制三个端子 其中输入端和输出端可互换 当控制端加高电平时 开关导通 ; 当控制端加低电平时开关截止 模拟开关导通时 导通电阻为几十欧姆 ; 模拟开关截止时 呈现很高的阻抗 可以看成为开路 模拟开关可传输数字信号和模拟信号 可传输的模拟信号的上限频率为 4 各开关间的串扰很小 典型值为

More information

Microsoft Word - P SDV series.DOC

Microsoft Word - P SDV series.DOC 片式压敏电阻器 SDV 系列 Chip SDV Series Operating Temp. : -55 ~ +125 特征 SMD 结构适合高密度安装 优异的限压比, 响应时间短 (

More information

BA 2002, 3 IPCS WWW.EASTCATO.COM - - - - - -1-3 -15 - - - - - - WWW.EASTCATO.COM BA 1 2 3 4 20% DC12V A-1 WWW.EASTCATO.COM ok A-2 WWW.EASTCATO.COM RS232 RS485 EC-NET : B-1 WWW.EASTCATO.COM EC-NET 14 I/O

More information

input commom-mode range) output swing (open loop gain) (GBW) ( phase margin) (gain margin)

input commom-mode range) output swing (open loop gain) (GBW) ( phase margin) (gain margin) OP AMP... 4 1. :... 4 2.... 5 2.1... 5 2.2... 6 3.... 6 3.1... 7 3.1.1... 7 3.1.2... 7 3.1.3... 7 3.1.4... 8 3.2 (Symbol)... 8 4.... 9 4.1... 9 4.1.1 Folded cascode... 9 4.1.2... 9 Fig 7... 10 4.1.3...

More information

Datasheet SHT1x (SHT10, SHT11, SHT15) SMD SHT1x ( SHT10, SHT11 SHT15) Sensirion SHT1x CMOSens SHT1X LCC 14 A/D SHT7X PCB SHTA ± ±

Datasheet SHT1x (SHT10, SHT11, SHT15) SMD SHT1x ( SHT10, SHT11 SHT15) Sensirion SHT1x CMOSens SHT1X LCC 14 A/D SHT7X PCB SHTA ± ± Datasheet SHTx (SHT0, SHT, SHT5) SMD SHTx ( SHT0, SHT SHT5) Sensirion SHTx CMOSens SHTX LCC 4 A/D SHT7X PCB SHTA 7.47 ±0.05 4. ±0. 0.95 ±0..83 ±0.05.7 ±0.05 3.5 ±0..0 ±0..5 ±0. sensor opening.5 ±0. A5Z

More information

目录 特性... 应用... 功能框图... 概述... 产品聚焦... 修订历史... 技术规格... 5 V 电气特性.... V 电气特性 V 时序规格 V 时序规格... 绝对最大额定值... 7 ESD 警告... 7 引脚配置和功能描述... 8 术语... 0

目录 特性... 应用... 功能框图... 概述... 产品聚焦... 修订历史... 技术规格... 5 V 电气特性.... V 电气特性 V 时序规格 V 时序规格... 绝对最大额定值... 7 ESD 警告... 7 引脚配置和功能描述... 8 术语... 0 CMOS 0 MHz 三通道 8 位高速视频 DAC ADV75 特性吞吐量 :0 MSPS 三个 8 位 DAC RS-4A/RS-70 兼容输出互补输出 DAC 输出电流范围 :.0 ma 至.5 ma TTL 兼容输入.5 V 内部基准电压源 +5 V/+. V 单电源供电 48 引脚 LQFP 和 LFCSP 封装低功耗 :0 mw( 最小值, V) 低功耗 ( 待机模式 ): mw( 典型值,

More information

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 :

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 : SA 系列 / C-Link 产品特点 引用标准 : IEC 61071 结构 : 金属化聚丙烯膜结构 封装 : 聚酯胶带, 树脂填充 电气特性 工作温度 : - 40 至 + 85 C 范围 : 15 至 500μF 额定电压 : 500 至 1100 VC 偏差 : ± 5%, ± 10% 损耗因素 : 2 10-3 @100z 20±5 C 预期寿命 : 100,000 小时 @Un, 70

More information

1 1

1 1 1 1 2 Idea Architecture Design IC Fabrication Wafer (hundreds of dies) Sawing & Packaging Block diagram Final chips Circuit & Layout Design Testing Layout Bad chips Good chips customers 3 2 4 IC Fabless

More information

BC04 Module_antenna__ doc

BC04 Module_antenna__ doc http://www.infobluetooth.com TEL:+86-23-68798999 Fax: +86-23-68889515 Page 1 of 10 http://www.infobluetooth.com TEL:+86-23-68798999 Fax: +86-23-68889515 Page 2 of 10 http://www.infobluetooth.com TEL:+86-23-68798999

More information

聚洵半导体产品介绍 [兼容模式]

聚洵半导体产品介绍 [兼容模式] 高性能模拟及混合信号芯片 Gainsil. The Signal 目录 01 公司简介 02 产品 Roadmap 03 产品介绍 公司简介 聚洵聚洵半导体科技 ( 上海 ) 有限公司 ( 简称聚洵 Gainsil) 座落在中国 硅谷 之称的张江高科技园区 是一家专注于高性能 高品质模拟和混合信号集成电路研发和销售管理的高科技公司 公司产品广泛应用于 : 通讯网络, 消费电子, 多媒体, 工业自动控制,

More information

untitled

untitled 0755 85286856 0755 82484849 路 4.5V ~5.5V 流 @VDD=5.0V,

More information

发行说明, 7.0.1 版

发行说明, 7.0.1 版 发 行 说 明 Websense Web Security Websense Web Filter 7.0.1 版 本 版 本 的 新 特 点 Websense Web Security 和 Websense Web Filter 的 7.0.1 版 本 均 已 本 地 化 为 以 下 语 言 : 法 语 德 语 意 大 利 语 日 语 葡 萄 牙 语 简 体 中 文 西 班 牙 语 繁 体 中 文

More information

2 12

2 12 SHENZHEN BRILLIANT CRYSTAL TECHNOLOGIC CO.,LTD. The specification for the following models Graphic LCM serial communication control board CB001 PROPOSED BY APPROVED Design Approved TEL:+86-755-29995238

More information