半导体集成电路——TTL电路产品手册模板

Size: px
Start display at page:

Download "半导体集成电路——TTL电路产品手册模板"

Transcription

1 Ver 位 100MSPS 模数转换器 产品使用手册 产品型号 :B9288 B9288 0

2 版本控制页 版本号 发布日期 更改章节 更改说明 备注 更改模板, 完善内容 增加 1.3 免责声明, 删除 8.3 产品环境 试验和可靠性 第八章修改 8.3 节市场二部联系方式 1

3 目 录 一 产品概述 产品特点 产品用途及应用范围 免责声明... 1 二 产品工作条件 绝对最大额定值 推荐工作条件 热特性参数... 2 三 封装及引出端说明 引出端排列 外形尺寸说明... 5 四 产品功能 产品的基本工作原理 单元模块结构与工作原理 芯片系统结构和工作原理... 9 五 产品电特性... 9 六 典型应用 七. 应用注意事项 产品应用说明 对电源的要求和推荐使用电路 产品防护 八 用户关注产品信息 产品鉴定信息 产品标识 研制生产单位联系方式 附录 1 对应替代国外产品情况

4 一 产品概述 1.1 产品特点 双通道 8bit,100MSPS ADC 低功耗, 在 100MSPS 下, 单通道功耗为 90mW 片内的基准和采样保持电路 每个通道有 475MHz 的模拟带宽 每个通道有 1Vp-p 的模拟输入范围 单电源电压, 标准为 3V, 可以接受 2.7V~3.6V 每个通道可单独工作 2 的补码和偏移 2 进制输出 输出数据对齐模式 封装形式为 48 管脚的 CQFP 产品用途及应用范围 B9288 是一款双通道 8 位数据转换器, 它拥有片内的采样保持电路, 并进行了设计优化, 使其成本更低, 功耗更低, 尺寸更小并且更易于使用 这款产品在 100MSPS 的转换速率下, 在其整个输入范围内拥有优秀的动态性能 每个通道都可以独立的工作 这款 ADC 需要 1 个 3V 的电源 ( 可以接受 2.7V~3.6V 的电压范围 ) 和一个基准时钟 对大部分应用来说, 这款 ADC 并不需要外在的基准或者驱动电路 它的数字输出分为两种模式 TTL/CMOS, 一个单独的输出电源管脚来提供两种输出电平 3V 或者 2.5V 时钟输入是 TTL/CMOS 兼容的, 8bit 的数字输出可以工作在 3V(2.5V~3.6V) 的电源电压 用户功能选项可以对待机模式, 数据格式, 数据时序进行控制 在待机模式下, 数字输出置为高阻态 B9288 是用先进的 CMOS 工艺实现, 封装形式为 48 管脚的 CQFP 封装, 可以工作在温度范围内 (-55 o C~ +125 o C) 1.3 免责声明 本手册版权归北京微电子技术研究所所有, 并保留一切权利 未经书面许可, 任何单位 组织和个人不得将此文档中的任何部分公开 转载或以其他方式散发给第三方, 否则将追究 其法律责任 1

5 本手册版本将不定期更新, 请在使用本产品之前联系本单位销售部门获取本手册的最新版本 用户因未严格按本手册要求保存 使用本产品, 致使产品工作异常或损坏, 造成任何直接或间接损失, 本单位不承担任何责任 除本手册说明之外, 请勿接受第三方指导或参考第三方资料对本产品进行操作, 用户对本手册有疑问之处请与本单位销售部门联系 二 产品工作条件 2.1 绝对最大额定值 参数名称 参数符号 参数值 单位 电源电压 V D -0.3 ~+4.0 V 贮存温度 T STG -65~+150 热阻 θ JC 19 /W 结温 T J 175 引线耐焊接温度 T H,10s 260 ( 设备焊 );300( 手工焊 ) (10s) 2.2 推荐工作条件 参数名称 参数符号 参数值 单位 电源电压 V DDD 3 V 外接参考电压 V REF 1.2 V 工作温度范围 T A -55~ 热特性参数 参数名称参数符号测试条件参数值单位 热阻, 结到壳 Rth(J-C) 5.0 /W 2

6 三 封装及引出端说明 3.1 引出端排列 GND 1 PIN 1 36 NC AINA 2 35 NC AINB 3 34 GND DFS 4 33 VDD REFINA 5 32 GND 6 REFOUT REFINB 7 B VD VD S GND S VDD BINB 10 BINA 11 GND GND NC NC NC=NO CONNECT 13 VD 14 ENCB 15 VDD 16 GND 17 (MSB) D7B 18 D6B VD ENCA VDD GND D7A (MSB) D6A D5A D4A D3A D2A D1A D0A 19 D5B 20 D4B 21 D3B 22 D2B 23 D1B 24 D0B 引出端排列 引出端 序号 符号 引脚 属性 引出端 序号 符号 引脚 属性 1 GND 地 25 NC 无连接 2 A IN A 输入 26 NC 无连接 3 A IN B 输入 27 GND 地 4 DFS a 输入 28 V DD 电源 5 REF IN A a 输入 29 GND 地 6 REF OUT 输出 30 V D 电源 7 REF IN B a 输入 31 V D 电源 8 S1 a 输入 32 GND 地 9 S2 a 输入 33 V DD 电源 10 B IN B 输入 34 GND 地 11 B IN A 输入 35 NC 无连接 12 GND 地 36 NC 无连接 13 V D 电源 37 D0 A 输出 14 a ENC B 输入 38 D1 A 输出 15 V DD 电源 39 D2 A 输出 3

7 16 GND 地 40 D3 A 输出 17 D7 B 输出 41 D4 A 输出 18 D6 B 输出 42 D5 A 输出 19 D5 B 输出 43 D6 A 输出 20 D4 B 输出 44 D7 A 输出 21 D3 B 输出 45 GND 地 22 D2 B 输出 46 V DD 电源 23 D1 B 输出 47 a ENC A 输入 24 D0 B 输出 48 VD 电源 注 : a 输入端不能浮空 引脚功能描述 序数引脚名称引脚描述 1,12,16,27,29 32,34,45 GND 地 2 A IN A 通道 A 的模拟输入 3 A IN B 通道 A 的模拟输入 ( 互补端 ) 4 DFS a 5 REF IN A a 数据输出模式选择 :( 低电平表示偏移二进制码 高电平表示 2 的补码 ) 通道 A 的基准电压 6 REF OUT 内部基准电压 7 REF IN B a 8 S1 a 9 S2 a 通道 B 的基准电压 用户功能选项 用户功能选项 10 B IN B 通道 B 的模拟输入 ( 互补端 ) 11 B IN A 通道 B 的模拟输入 13,30,31,48 V D 模拟部分的电源 (3V) 14 ENC B a 通道 B 的时钟输入 15,28,33,46 V DD 数字部分的电源 (3V) D7 B -D0 B 通道 B 的数字输出 25,26,35,36 NC 空管脚 D0 A -D7 A 通道 A 的数字输出 47 ENC A a 通道 A 的时钟 注 : a 输入端不能浮空 4

8 3.2 外形尺寸说明 封装类型为 48 管脚的 CQFP48 封装, 外形及尺寸如图 图 3-2 外形及尺寸 数值 ( 单位 : 毫米 ) 尺寸符号 最小 公称 最大 A A b c 0.15 e 0.5 Z 1.15 D/E D1/E L

9 四 产品功能 4.1 产品的基本工作原理 VDD ENCA 时钟 A IN A A IN B REF IN A 跟随 / 保持 ADC 8 输出寄存器 8 D7 A -D0 A S1 REFOUT 基准源 S2 REF IN B DFS B IN A B IN B ENCB 时钟 跟随 / 保持 ADC 输出寄存器 8 8 D7 B -D0 B VD GND VDD 图 4-1 电路结构图 4.2 单元模块结构与工作原理 B9288 是一款应用开关电容电路的每级一位的流水线结构 这些级提供了高五位的转换同时驱动了后面 3 位的 flash 每级都提供了足够的冗余位和误差校正来补偿比较器的精确度 输入缓冲器是差分的可接受多种输入模式 : 交流, 直流, 差分转单端模式 输出级对齐数据, 进行误差校正并把数据传输到输出驱动级 输出驱动级是有一个独立的电源供电, 可以提供合适的输出摆幅 两条通道之间的性能没有明显差异 使用 B9288 时必须有良好的高速设计经验 为了使性能最优, 去耦电容必须放置的离芯片越近越好, 减小芯片管脚和电容 (B9288 评估版采用 0603 表面贴电容 ) 之间由于互联线以及通孔造成的电感 推荐放置 0.1uF 的电容在电源和地线上, 用来进行高频滤波,1 个 10uF 的电容进行低频滤波 V REFIN 管脚也需要 0.1uF 的去耦电容 推荐采用独立的电源层和公共的地层 数据输出线尽量短 (<1 英寸 ), 减小转换时引入的片上噪声 6

10 1. 时钟输入 任何一款高速 ADC 都对用户提供的采样时钟非常敏感 一个跟随保持电路本质上是一 个混合器 任何噪声, 失真或者抖动会和信号融合在一起然后被输出 因为上述原因,B9288 的时钟输入需要仔细的设计, 用户需要仔细考虑时钟源 时钟输入是 TTL 和 CMOS 兼容的 2. 数据输出 为了实现低功耗, 数据输出是 TTL/CMOS 兼容 在待机模式下, 输出驱动级被置于高 阻态 有一个数据输出格式选项, 这样就提供了 2 的补码格式 ( 置高 ), 偏移 2 进制格式 ( 置 低 ) 3. 模拟输入 B9288 的模拟输入端是一个差分 buffer 为了得到最好的动态特性, 两个互补输入端的 阻抗最好一样 B9288 的模拟输入级在设计时需要考虑输入过载对其造成的影响 一般的输 入范围是 1Vp-p, 中间电平为 VD* 电压基准 在芯片内部有一个稳定而且精准的 1.18V 基准电压 在正常工作模式下, 可以将管脚 5, 7 和 6 连在一起, 这样就可以使用内部的基准 B9288 的输入范围可以通过调整基准电压进 行改变 当基准电压变化 ±5% 时性能不会受到影响 模拟输入范围会随着基准电压的变化而 变化 5. 时序 SAMPLE N SAMPLE N+2 AINA,AINB SAMPLE N+5 ta SAMPLE N+1 SAMPLE N+3 SAMPLE N+4 teh tel 1/fs ECODEA,B tpd ty D7A-D0A DATA N-4 DATA N-3 DATA N-2 DATA N-1 DATA N DATA N+1 DATA N+2 D7B-D0B DATA N-4 DATA N-3 DATA N-2 DATA N-1 DATA N DATA N+1 DATA N+2 图 4-2 普通工作模式, 相同的时钟,(s1=1,s2=0), 通道时序图 7

11 SAMPLE N SAMPLE N+2 SAMPLE N+5 AINA,AINB ta SAMPLE N+1 SAMPLE N+3 SAMPLE N+4 teh tel 1/fs ECODEA ECODEB tpd ty D7A-D0A DATA N-4 DATA N-3 DATA N-2 DATA N-1 DATA N DATA N+1 DATA N+2 D7B-D0B DATA N-4 DATA N-3 DATA N-2 DATA N-1 DATA N DATA N+1 DATA N+2 图 4-3 普通工作模式, 两个时钟,(s1=1,s2=0) 通道时序图 B9288 可以提供 4 个流水级的数据延迟输出 数据输出在时钟上升沿的一个传输延迟后准备好 ( 见图 4-2,4-3 和 4-4) 数据输出的线的长度和负载应尽可能小, 这样可以减小瞬变, 这种瞬变会影响转换器的动态特性 B9288 的最小转换速率是 1MSPS 当转换速率低于 1MSPS 时, 动态性能会降低 待机模式的恢复时间是 15 个时钟周期 SAMPLE N SAMPLE N+2 SAMPLE N+4 SAMPLE N+5 AINA,AINB ta SAMPLE N+1 SAMPLE N+3 teh tel 1/fs ECODEA ECODEB tpd ty D7A-D0A DATA N-4 DATA N-3 DATA N-2 DATA N-1 DATA N DATA N+1 DATA N+2 D7B-D0B DATA N-4 DATA N-3 DATA N-2 DATA N-1 DATA N DATA N+1 DATA N+2 图 4-4 数据对齐模式, 两个时钟,(s1=1,s2=1) 通道时序图 8

12 6. 用户功能选项有两个管脚 S1,S2 可以用来提供工作模式的选择 这些模式可以使两条通道都处于待机模式 ( 除了基准源 ), 或者只使 B 通道处于待机模式 两种模式都会使输出级以及时钟输入置于高阻态 其它的模式可以使 B 通道的输出延迟半个周期 例如, 如果给 B9288 加入两个反相的时钟, 打开数据对齐模式, 可以使两个通道的数据同时输出 如果相同的时钟加入两个通道并且数据对齐模式开启, 通道 B 的数据会有 180 的相位差 如果同样的时钟加入两个通道, 数据对齐模式关闭, 两个通道会同时输出 表 4-1 用户功能选项 S1 S2 封装形式 A,B 通道都处于待机模式只有 B 通道处于待机模式普通工作模式 ( 数据对齐模式关闭 ) 数据对齐模式 ( 在 clock A 的上升沿, 两个通道的数据都已准备好, 通道 B 的数据被延迟半个周期 ) 4.3 芯片系统结构和工作原理 B9288 是与 ADI 公司工业级产品 AD9288 功能兼容的军级 A/D 转换器产品 五 产品电特性 条件 ( 除另有规定外, 参数 符号 -55 T A 125 最小典型最大单位 V DD =V D =+3V,GND=0V ) 分辨率 RES 8 bit 积分非线性误差 E L LSB 微分非线性误差 E DL LSB 增益误差 E G %FS 输入范围 V ins Vpp 9

13 基准输出电压 V REF V 输出高电平电压 V OH V 输出低电平电压 V OL V 功耗 P W 双通道都工作模式,f SAMPLE =100MSPS mw 单通道工作模式,f SAMPLE =100MSPS mw 待机模式,f SAMPLE =100MSPS 6 15 mw 输入共模 V in cm V 输入电阻 R IN kω 输入电容 C IN 7 10 pf 满功率模拟带宽 BW p MHz 信噪比 SNR f in =10MHz, 差分输入,-0.7dBFS, f SAMPLE =100MSPS db 信噪失真比 SINAD f in =10MHz, 差分输入,-0.7dBFS, f SAMPLE =100MSPS db 无杂散动态范围 SFDR f in =10MHz, 差分输入,-0.7dBFS, f SAMPLE =100MSPS db 通道隔离度 CIR f in =10MHz, 差分输入,-0.7dBFS, f SAMPLE =100MSPS db 有效位数 ENOB f in =10MHz, 差分输入,-0.7dBFS, f SAMPLE =100MSPS Bit 最高转换频率 f s MHz 10

14 六 典型应用 图 6-1 B9288 典型应用图上图所示为 B9288 作为交流偶和输入时的典型应用 为了取得 B9288 的最好性能, 推荐将输入信号做单端转差分处理, 通常会用巴伦将输入信号转为差分信号 如果硬要进行单端输入的话, 则需要将输入信号端 AIN 或 BIN 的负端通过 0.1uF 的电容接地, 保证输入端的共模电平为 1V 左右 当 B9288 作为直流耦合输入时, 推荐采用运放将输入信号转为差分信号, 例如 AD8138, 同时也要保证运放的共模电平在 1V 左右 时钟信号的输入尽量采用抖动噪声小的时钟源 PCB 设计时, 去耦电容要合理安排, 每个电源输入端都要放置一个 0.1uF 电容, 且就近放置 11

15 七. 应用注意事项 7.1 产品应用说明 使用过程中注意过电应力, 以免导致产品电应力失效 7.2 对电源的要求和推荐使用电路 在电源方面要做好电源的去耦工作, 可在电源引脚加 0.1uF 的去耦电容 7.3 产品防护 电装及防护措施器件应采取防静电措施进行操作 推荐下列操作措施 : a) 器件应在防静电的工作台上操作 ; b) 试验设备和器具应接地 ; c) 不能直接用手触摸器件引线, 应佩戴防静电指套和腕带 ; d) 器件应存放在防静电材料制成的容器中 ; e) 生产 测试 使用及流转过程工作区域内应避免使用能引起静电的塑料 橡胶或丝织物 ; f) 相对湿度应尽可能保持在 20%~70% 包装器件包装应至少满足以下要求 : a) 由无腐蚀的材料制成 ; b) 具有足够的强度, 能够经得起搬运过程中的震动和冲击 ; c) 用抗静电材料涂敷过或浸渍过, 具备足够的抗静电能力 ; d) 能够牢固的把所装器件支撑在一定的位置 ; e) 能保持器件引线不发生变形 ; f) 没有锋利的棱角 ; g) 能安全容易的移动 检查和替换器件 ; h) 一般不使用聚氯乙稀 氯丁橡胶 乙烯树脂和聚硫化物等材料, 也不允许使用有硫 盐 酸 碱等腐蚀成分的材料, 使用具有低放气指数 低尘粒脱落的材料制造为宜 12

16 7.3.3 运输和贮存器件在运输和贮存过程中, 至少应满足以下要求 : a) 运输 : 在避免雨 雪直接影响的条件下, 装有产品的包装箱可以用任何运输工具运输 但不能和带有酸性 碱性和其它腐蚀性物体堆放在一起 b) 贮存 : 包装好的产品应贮存在环境温度为 15 ~25, 相对湿度不大于 25%~65%, 周围没有酸 碱或其它腐蚀性气体且通风良好的库房里 八 用户关注产品信息 8.1 产品鉴定信息 鉴定执行标准 鉴定情况 鉴定产品批次 1448 总规范名称及编号 详细规范名称及编号 半导体集成电路总规范 GJB597A-1996 半导体集成电路 B9288 型 8 位双通道 100MSPS 模数转换器详细规范 Q/Zt 附加技术条件 质量等级 QJB(B)/K 鉴定试验日期 ~ 鉴定试验机构 北京微电子技术研究所 鉴定报告编号 产品标识 B92888 产品标识如下图所示, QJB(B)/K 为用户标识,B92888 为器件型号,XXXX 为器件批次, 为防静电等级标识,001 为序号 13

17 8.3 研制生产单位联系方式 通信地址 : 北京市丰台区东高地四营门北路 2 号邮政编码 : 联系部门 : 市场二部电话 / 传真 : / AD/DA 部张丛丛电话 : /

18 附录 1 对应替代国外产品情况 替代国外型号 :AD9288 国外生产商 :ADI 对比项 国内产品 国外产品 差异性 兼容性分析 采样精度 8 位 8 位 输入通道数 2 个 2 个 采样频率 100MSPS 100MSPS 电源电压 3V 3V 信噪比 43dB 47.5dB 相当 信噪失真比 42.5dB 47dB 相当 积分非线性误差 ±1.5LSB ±1.5LSB 相当 微分非线性误差 ±1.0LSB ±1.5LSB 相当 封装形式 CQFP48 QFP48 国外产品提供的是塑封产品 质量可靠性 GJB B R 国外产品为塑封 15

半导体集成电路——TTL电路产品手册模板

半导体集成电路——TTL电路产品手册模板 Ver 1.3 抗辐照负向电流驱动器 产品使用手册 产品型号 :BM2711MQRH 版本控制页 版本号发布日期更改章节更改说明备注 1.0 2018. 2 1.1 2018. 8 第四章 增加了电路的逻辑关系表, 明 确器件最多允许导通的通道 数为 2 路 1.2 2018. 9 增加 1.3 免责声明 1.3 2018.12 第八章修改 8.3 节市场二部联系方式 1 目 录 一 产品概述...

More information

半导体集成电路——TTL电路产品手册模板

半导体集成电路——TTL电路产品手册模板 Ver 1.2 辐射加固 5V 四路差分线驱动器 产品使用手册 产品型号 : 版本控制页 版本号发布日期更改章节 更改说明 备注 1.0 2017.3 1.1 2018.4 更改模板, 修正笔误 1.2 2018.9 增加 1.3 免责声明, 修改 7.1 产品应用 说明及 7.2 产品防护, 删除 8.3 产品环 境试验和可靠性 目录 一 产品概述 -------------------------------------------------------------------------------------------------------------------

More information

版本控制页 版本号 发布日期 更改章节 更改说明 备注 V / / 初稿 V / 增加 B54AC2525RHD 相关内容 第 1 章 增加 1.3 免责声明 6.6 修改 6.6 产品防护章节, V 增加 输出振铃

版本控制页 版本号 发布日期 更改章节 更改说明 备注 V / / 初稿 V / 增加 B54AC2525RHD 相关内容 第 1 章 增加 1.3 免责声明 6.6 修改 6.6 产品防护章节, V 增加 输出振铃 Ver 1.2 辐射加固时钟驱动器 产品使用手册 产品型号 :B54AC2525RH 版本控制页 版本号 发布日期 更改章节 更改说明 备注 V1.0 2018.1.5 / / 初稿 V1.1 2018.4.19 / 增加 B54AC2525RHD 相关内容 第 1 章 增加 1.3 免责声明 6.6 修改 6.6 产品防护章节, V1.2 2018.9.18 6.4 增加 输出振铃抑制 6.5 增加

More information

版本控制页 版本号发布日期更改章节更改说明备注 增加 1.3 免责声明 ; 修改 6.7 产品防护 章节 ; 增加附录 1 对应替代国外产品 情况

版本控制页 版本号发布日期更改章节更改说明备注 增加 1.3 免责声明 ; 修改 6.7 产品防护 章节 ; 增加附录 1 对应替代国外产品 情况 Ver 1.1 16 位总线收发器 产品使用手册 产品型号 :B54LVT162245 版本控制页 版本号发布日期更改章节更改说明备注 1.0 2018-4 1.1 2018-9 增加 1.3 免责声明 ; 修改 6.7 产品防护 章节 ; 增加附录 1 对应替代国外产品 情况 目录 一 产品概述... 1 1.1 产品特点... 1 1.2 产品用途及应用范围... 1 1.3 免责声明... 1

More information

版本控制页 版本号发布日期更改章节更改说明备注 增加 1.3 免责声明 ; 修改 6.6 产品防护 章节 ; 增加附录 1 对应替代国外产品 情况

版本控制页 版本号发布日期更改章节更改说明备注 增加 1.3 免责声明 ; 修改 6.6 产品防护 章节 ; 增加附录 1 对应替代国外产品 情况 Ver 1.1 辐射加固八位三态输出缓冲器 产品使用手册 产品型号 :B54LVC244RH 版本控制页 版本号发布日期更改章节更改说明备注 1.0 2018-4 1.1 2018-9 增加 1.3 免责声明 ; 修改 6.6 产品防护 章节 ; 增加附录 1 对应替代国外产品 情况 目录 一 产品概述... 1 1.1 产品特点... 1 1.2 产品用途及应用范围... 1 1.3 免责声明...

More information

版本控制页 版本号发布日期更改章节更改说明备注 第六章增加 6.1 节 质量等级及执行标准 第六章增加 6.3 节 贮存条件 附录 2 增加典型应用电路说明 更改全文格式 1

版本控制页 版本号发布日期更改章节更改说明备注 第六章增加 6.1 节 质量等级及执行标准 第六章增加 6.3 节 贮存条件 附录 2 增加典型应用电路说明 更改全文格式 1 Ver 1.3 抗辐照高可靠 64 路模拟开关电路 产品使用手册 产品型号 :BM2720MQRH 版本控制页 版本号发布日期更改章节更改说明备注 1.0 2013.9 1.1 2014.9 第六章增加 6.1 节 质量等级及执行标准 第六章增加 6.3 节 贮存条件 1.2 2015.9 附录 2 增加典型应用电路说明 1.3 2018.2 更改全文格式 1 目 录 1 产品特性... 1 2 产品概述...

More information

半导体集成电路——TTL电路产品手册模板

半导体集成电路——TTL电路产品手册模板 Ver 2.0 5V CMOS 四路 LVDS 差分接收器 产品使用手册 产品型号 : 版本控制页 版本号 发布日期 更改章节 更改说明 备注 1.0 2018.4.11 更改模板 ( 按新模板进行正文章节调整, 且 原附录内容按新模板移入对应正文章节 ), 修改笔误 ; 2.0 2018.8.15 一三五七八附录 1 更新可兼容的国外产品更新图 3 表 3 成型图及成型尺寸修正图 5 图 6 的

More information

第 卷 第 期 年 月 半 导 体 学 报! " # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% )

第 卷 第 期 年 月 半 导 体 学 报!  # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% ) 第 卷 第 期 年 月!"# $%&'%' $!&'#%#$1/#1 $'! /18103 2?/03101?/18103 /3 0,?/0301.13 )*+!!! '!,!! -.&' $!'! 4%%&1)/1(7%&)03(%)%&,%*(1&0)%$-0*,%30)17*1*)0(+1(1+&1*+*),)1; &113(%44(10&.0701&0-&00*/)%;()1%-1+%&0)0*1*)%

More information

文件名

文件名 Microchip MCP3221 12 I 2 C TM 12 1LSB DNL 2LSB INL 250 A 5nA 1 A I 2 C TM I 2 C 100kHz I 2 C 400kHz 2 8 I 2 C 22.3ksps 2.7V 5.5V 40 +85 40 +125 SOT-23 Microchip MCP3221 12 A/D SOT-23 CMOS MCP3221 250 A

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小由外接电阻来调整 封装图 SSOP24L-0.635-D1.40 (mm) ( SM16125ES ) 特点 16 通道恒流源输出 电流输出大小不因输出端负载电压变化而变化

More information

Microsoft Word - B54ACS164245SRH、B54ACS164245S、B54ACS164245SCS产品使用手册Ver1.6(公开)

Microsoft Word - B54ACS164245SRH、B54ACS164245S、B54ACS164245SCS产品使用手册Ver1.6(公开) Ver 1.6 多用途双向收发器 产品使用手册 产品型号 :B54ACS164245SRH/B54ACS164245S/ B54ACS164245SCS 版本控制页 版本号 发布日期 更改章节 更改说明 备注 1.0 2010-12-11 1.1 2014-2-15 手册格式更改 1.2 2014-3-1 第 9 章 增加 9.2 节 标准成形图 增加 9.3 节 电装要求 1.3 2014-7-15

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

半导体集成电路——TTL电路产品手册模板

半导体集成电路——TTL电路产品手册模板 Ver 1.6 12 位 120MSPS 数模转换器 产品使用手册 产品型号 :B9762 0 版本控制页 版本号发布日期更改章节更改说明备注 1.0 2013.8 1.1 2014.9 第六章 增加 6.1 节 质量等级及执行标准 增加 6.3 节 贮存条件 1.2 2016.9 第七章增加 3.3V 工作电压下动态参数 1.3 2018.2 更改模板 1.4 2018.4 第九章增加 CLCC

More information

Microsoft Word - HTL7G06S009P_V2.3_CH.doc

Microsoft Word - HTL7G06S009P_V2.3_CH.doc Document Number: HTL7G6S9P Product Data Sheet Rev. 2.3, 1/17 LDMOS 射频功率晶体管 HTL7G6S9P 1. 产品描述 HTL7G6S9P 是一款为 VHF/UHF 频段射频功率放大器而设计的 LDMOS 射频功率晶体管 器件内部集成静电保护电路 1-6MHz, 8W, 7.2V WIDE BAND RF POWER LDMOS TRANSISTOR

More information

半导体集成电路——TTL电路产品手册模板

半导体集成电路——TTL电路产品手册模板 Ver 1.4 通用智能刷新控制电路 产品使用手册 产品型号 :BSV1CQRH 版本控制页 版本号 发布日期 更改章节 更改说明 备注 1.0 2016.4 1.1 2016.6 附录 1 增加注意事项 1.2 2017.7 应用说明 修订 i_done_fpga 说明及 JTAG 链路设 计说明 1.3 2018.2 更换格式 1.4 2018.8 七. 应用注 意事项 增加电源要求 2 目录

More information

引脚名称 QFN(4 4)-24L SSOP-24L ( 引脚间距 / 1.0) SOP-24L ( 引脚间距 1.27) 引脚描述 管脚号 管脚名称功能 QFN-24 SSOP-24/SOP-24L 1 4 LAT 数据锁存输入端 ( 低电平有效 ) 2 5 OUT0 3 6 OUT1

引脚名称 QFN(4 4)-24L SSOP-24L ( 引脚间距 / 1.0) SOP-24L ( 引脚间距 1.27) 引脚描述 管脚号 管脚名称功能 QFN-24 SSOP-24/SOP-24L 1 4 LAT 数据锁存输入端 ( 低电平有效 ) 2 5 OUT0 3 6 OUT1 D5056 带预充电管的 16 位 LED 控制器 D5056 是一款专用于大屏幕 LED 低压差 高精度带预充电管的 16 位恒流驱动芯片 内含高精度电流驱动电路 16 位移位寄存器 锁存器 温度补偿电路等 D5056 内置带预充电管, 可以解决列消隐问题 再配上我司解决行消隐的 D4973,LED 屏幕消隐问题可完美解决, 大大提高刷新率 D5056 可接受外部 CPU 编程控制信号, 分别控制

More information

XT1861

XT1861 同步升压 DC-DC 变换器 产品概述 XT1861 系列产品是一款低功耗高效率 低纹波 工作频率高的 PFM 控制升压 DC-DC 变换器 XT1861 系列产品仅需要 3 个外部元器, 即可完成低输入的电池电压输入 用途 1~3 个干电池的电子设备 数码相机 电子词典 ED 手电筒 ED 灯 血压计 MP3 遥控玩具 无线耳机 无线鼠标键盘 医疗器械 防丢器 汽车防盗器 充电器 VCR PD 等手持电子设备

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

Microsoft Word - DW01

Microsoft Word - DW01 用途 / Purpose: 用于锂离子 / 锂聚合物可充电电池组 For lithium ion / lithium polymer rechargeable battery pack 特点 / Features: (1) 高电压检测电路 / Precision voltage detection circuit 过充检测电压 Overcharge detection voltage 4.3 过充恢复电压

More information

技术文档

技术文档 地址 : 深圳市高新技术产业园南区高新南一道国微大厦 5 楼 ADD: Shenzhen High-tech Industrial Park,South Area GaoxinS.Ave.1 st,guowei Building. 电话 Tel:0755-26991331 传真 Fax:0755-26991336 邮编 :518057 网址 :www.chinaasic.com LED 恒流驱动芯片

More information

adsd

adsd HS8108 电脑开关电源控制器 概述 : HS8108 是 PWM 开关电源控制器, 主要用于台式 PC( 个人电脑 ) 的开关电源部分 HS8108 能够提供开关电源所有的控制和保护功能 : PWM 脉宽调制及推挽输出, 具有过压 欠压 过流 过功耗 远程控制 AC 掉电保护等功能, 两个内嵌的精密 431 调节器用作稳定 5V 辅助电源和 33V 输出电压, 内嵌的精密振荡器可以保证各种延时的精确性

More information

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 :

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 : SA 系列 / C-Link 产品特点 引用标准 : IEC 61071 结构 : 金属化聚丙烯膜结构 封装 : 聚酯胶带, 树脂填充 电气特性 工作温度 : - 40 至 + 85 C 范围 : 15 至 500μF 额定电压 : 500 至 1100 VC 偏差 : ± 5%, ± 10% 损耗因素 : 2 10-3 @100z 20±5 C 预期寿命 : 100,000 小时 @Un, 70

More information

MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需

MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需 MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需检测电阻, 能检测交直流电流和外围电路简单 检测电流方案为电流传感器 APCL-C784 和 C79B

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

ETA104 数据采集模块数据手册

ETA104 数据采集模块数据手册 Emtronix ETA104 数据采集模块数据手册 1. 概述 1.1 ETA104 介绍 ETA104 模拟数据采集模块 ( 下文简称 :ETA104 模块 ) 是基于英创公司 SBC840 工控应用底板 符合 DM5028 标准应用扩展模块 ETA104 模块上的模数转换功能, 采用 ADS7871 模拟信号转换芯片, 占用 ESMARC 工控主板的 SPI 总线进行通讯, 最高可实现 48K

More information

内部结构框图 SDI LSB 16Bit 移位寄存器 (1 16Bit 通道 ) MSB 0 15 LSB MSB 输出开 / 关数据锁存 (1 16Bit 通道 ) 0 15 R-EXT 16 通道恒流输出驱动 OUT0 OUT1 OUT14 OUT15 图 2 管脚信息 SDI OUT0 OUT

内部结构框图 SDI LSB 16Bit 移位寄存器 (1 16Bit 通道 ) MSB 0 15 LSB MSB 输出开 / 关数据锁存 (1 16Bit 通道 ) 0 15 R-EXT 16 通道恒流输出驱动 OUT0 OUT1 OUT14 OUT15 图 2 管脚信息 SDI OUT0 OUT 特性描述 是 D 显示面板设计的驱动 IC, 它内建的 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成平行输出数据格式 具有 16 个电流源, 可以在每个输出端口提供 2~60mA 恒定电流量以驱动 D, 每个 OUT 驱动通道输出可短接后得到更大的电流输出, 且当环境发生变化时, 对其输出电流影响很小 同时可以选用不同阻值 (REXT) 的外接电阻来调整 各输出端口的电流大小, 因此,

More information

35 007 373 9 092 44.472 1 175 248 731 773 1 907 021 10 162 706 19 1808 1847 3 1830 325 X (1) (2) (3) 406 453 8. Y X 2. 3. 4 5 6 7 8 9 10....... 11.

More information

<4D F736F F D B2FAC6B7CAB9D3C3CAD6B2E E34A3A8B9ABBFAAA3A9>

<4D F736F F D B2FAC6B7CAB9D3C3CAD6B2E E34A3A8B9ABBFAAA3A9> Ver 1.4 辐射加固多用途双向收发器 产品使用手册 产品型号 :B54ACS164245SARH 版本控制页 版本号发布日期更改章节更改说明备注 1.1 2017-04-18 1.2 2018-02-26 8.1 完善产品鉴定信息 8.3 增加产品环境试验和可靠性内容 1.3 2018-04-20 7.4 根据电路特性, 编写电路上电要求 第 1 章 增加 1.3 免责声明 1.4 2018-09-18

More information

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8 Version History 日期 版本 2016/8/4 1.00 2016/9/2 1.01 2016/11/29 1.02 2017/2/16 1.03 2017/6/9 1.04 2018/6/27 1.05 2018/8/8 1.06 新增 MG196 PBGA256S 封装信息 ; 修改 SSPI 模式的配置功能 ; 增加 QN88 封装信息 2019/3/27 1.07 增加电源供电要求

More information

HM53XXA/B 35V,1.6μA 超低静态电流,200mA, 低压差线性稳压器 概述 HM53XX 系列是丏为功耗敏感应用研发设计的一款高输入电压 超低功耗的低压差线性稳压器 最大允许的输入电压可达 35V, 丐输出 100mA 电流时输入输出电压差仅 300mV 典型情况下, 静态电流 1.

HM53XXA/B 35V,1.6μA 超低静态电流,200mA, 低压差线性稳压器 概述 HM53XX 系列是丏为功耗敏感应用研发设计的一款高输入电压 超低功耗的低压差线性稳压器 最大允许的输入电压可达 35V, 丐输出 100mA 电流时输入输出电压差仅 300mV 典型情况下, 静态电流 1. 概述 HM53XX 系列是丏为功耗敏感应用研发设计的一款高输入电压 超低功耗的低压差线性稳压器 最大允许的输入电压可达 35V, 丐输出 100mA 电流时输入输出电压差仅 300mV 典型情况下, 静态电流 1.6μA, 具有几个固定的输出电压 1.8V,2.5V,3.0V,3.3V,3.6V,4.0V, 4.2V,5.0V IC 内部集成了短路保护和热关断功能 尽管主要为固定电压调节器而设计,

More information

A20_banana_v12_141107

A20_banana_v12_141107 hrsday, gst, of heet ate: ev ocment mber ize itle anana ro.,, lace & close to I I I I I I I I M M M M Q Q Q Q Q Q Q Q Q Q Y Q Q Q Q Q Y Q Q Q Q Q Q Q Q M Q J Q Q Q Q Q Q M Q Q Y Q Q# Q Q# Q Q# Q J Q# QM

More information

AN-80 各单通道 ADC 采用先进的 CMOS 工艺制造, 提供 引脚 LFCSP 封装, 额定温度范围为 0ºC 至 +8ºC 工业温度范围 设计和布局考虑因素对于布局设计师, 引脚排列间的唯一差异是数据输出位的分配 所有双通道转换器中, 引脚 和引脚 8 分别为 A 和 B 的 MSB, 该

AN-80 各单通道 ADC 采用先进的 CMOS 工艺制造, 提供 引脚 LFCSP 封装, 额定温度范围为 0ºC 至 +8ºC 工业温度范围 设计和布局考虑因素对于布局设计师, 引脚排列间的唯一差异是数据输出位的分配 所有双通道转换器中, 引脚 和引脚 8 分别为 A 和 B 的 MSB, 该 AN-80 应用笔记 One Technology Way P.O. Box Norwood, MA 00- Tel: 8/-00 Fax: 8/- www.analog.com 利用引脚兼容高速 ADC 简化设计任务 作者 :Robert M. Clarke 简介选择 ADC 可能是系统设计师最棘手的问题 转换器分辨率和采样速率决定系统性能 然而, 设计通常是基于一系列无法验证的假设, 除非原型系统经过测试

More information

EG8403 芯片数据手册

EG8403 芯片数据手册 版本号日期描述 版本变更记录 V1.0 2013 年 01 月 30 日 EG8305 数据手册初稿 1 / 11 目录 1. 特点... 3 2. 概述... 3 3. 应用领域... 3 4. 引脚... 4 4.1. 引脚定义... 4 4.2. 引脚描述... 4 5. 结构框图... 5 6. 典型应用电路... 6 6.1 EG8305 典型应用电路图... 6 7. 电气特性... 6

More information

×××芯片用户手册

×××芯片用户手册 用户手册 通讯地址 : 深圳市南山区蛇口南海大海 1079 号花园城数码大厦 A 座 9 楼邮政编码 :518057 公司电话 :+(86 755)86169257 传真 :+(86 755)86169057 公司网站 :www.chipsea.com 第 1 页, 共 13 页 历史修改记录 历史修改记录 时间 记录 版本号 2012-12-19 更换新 LOGO, 重新发布 1.0 第 2 页,

More information

Microsoft Word - TM1812.doc

Microsoft Word - TM1812.doc 一 概述 TM1812 是 12 通道 LED( 发光二极管显示器 ) 驱动控制专用电路, 内部集成有 MCU 数 字接口 数据锁存器 LED 高压驱动等电路 通过外围 MCU 控制实现该芯片的单独辉 度 级联控制实现户外大屏 护栏管 幻彩灯条的彩色点阵发光控制 本产品性能优良, 质量可靠 采用 SOP16 的封装形式 二 特性说明 采用高压功率 CMOS 工艺 输出端口耐压 24V 芯片 VDD

More information

DATASHEET SEARCH SITE ==

DATASHEET SEARCH SITE == 特性描述 是应用于电子秤低频测量的单通道模拟前端 该器件可以接受直接来自传感器的低电平的输入信号, 然后产生串行的数字输出 利用 Σ-Δ 转换技术实现了 24 位无丢失代码性能 通过选定的输入信号被送到一个基于模拟调制器的增益可编程专用前端 片内数字滤波器处理调制器的输出信号, 通过通信口发命令可调节滤波器的截止点和输出更新速率, 从而对数字滤波器的第一个陷波进行编程 只需 2.6~5.5V 单电源供电

More information

文件编号

文件编号 DATA SHEET 高清视频编码电路 2015.01 成都振芯科技股份有限公司 高清晰视频编码电路 版本记录 :1.0 新旧版本改动比较 : 旧版当前版本文档页数文档页数 当前版本时间 :2015 年 01 月 主题 ( 和旧版本相比的主要变化 ) 如果您有技术 交付或价格方面的任何问题, 请联系成都振芯科技股份有限公司的相关办公室或当地 的代理商, 或访问官方网站 :http://www.corpro.cn

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

CD4066中文资料:CD4066是四双向模拟开关,主要用作模拟或数字信号的多路传输。引出端排列与CC4016一致,但具有比较低的导通阻抗。另外,导通阻抗在整个输入信号范围内基本上变。CD4066由四个相互独立的双向开关组成,每个开关有一个控制信号,开关中的p和n器件在控制信号作用下同时开关。这种结

CD4066中文资料:CD4066是四双向模拟开关,主要用作模拟或数字信号的多路传输。引出端排列与CC4016一致,但具有比较低的导通阻抗。另外,导通阻抗在整个输入信号范围内基本上变。CD4066由四个相互独立的双向开关组成,每个开关有一个控制信号,开关中的p和n器件在控制信号作用下同时开关。这种结 CD466 中文资料 CD466 的引脚功能下图所示 每个封装内部有 4 个独立的模拟开关 每个模拟开关有输入 输出 控制三个端子 其中输入端和输出端可互换 当控制端加高电平时 开关导通 ; 当控制端加低电平时开关截止 模拟开关导通时 导通电阻为几十欧姆 ; 模拟开关截止时 呈现很高的阻抗 可以看成为开路 模拟开关可传输数字信号和模拟信号 可传输的模拟信号的上限频率为 4 各开关间的串扰很小 典型值为

More information

SM16126 LED 显示屏驱动 ICIGGS2011V1.2 SM16126 概述 封装信息 SM16126 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据 产品名称 封装形式 塑封体尺寸 (mm) 脚间距 (mm) 转换成并行输出数据格式

SM16126 LED 显示屏驱动 ICIGGS2011V1.2 SM16126 概述 封装信息 SM16126 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据 产品名称 封装形式 塑封体尺寸 (mm) 脚间距 (mm) 转换成并行输出数据格式 SM16126 概述 封装信息 SM16126 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据 产品名称 封装形式 塑封体尺寸 (mm) 脚间距 (mm) 转换成并行输出数据格式 SM16126 工作电压为 3.3V 5V, 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流 ; 且单颗 IC 片内输出通道的电流差异小于 ±3%;

More information

供充放一体电源及充电电源手册_0_.doc

供充放一体电源及充电电源手册_0_.doc 2010. 05 供充放一体电源及充电电源 适用于铅酸电池 锂电池 镍氢电池 实现不间断供电 采用自动均 / 浮充 恒流 / 恒压 零电流 -ΔV 检测 / 涓充 / 滴充技术 提供各种保护 显示各种工作状态 目录 SCD 供充放一体电源 ( 锂电池系列 )-----------1 SCD 供充放一体电源 ( 铅酸电池系列 )----------3 C 充电电源 ( 镍氢电池系列 )-------------------------5

More information

SM16106 LED 显示屏驱动 IC IT1GIGV1.0 SM16106 概述 SM16106 是专为 LED 显示屏设计的驱动芯片, 内 建 CMOS 位移寄存器与锁存功能, 可以将串行的输入 数据转换成并行输出数据格式 SM16106 工作电压为 3.3V 5.0V, 提供 16 个电 流

SM16106 LED 显示屏驱动 IC IT1GIGV1.0 SM16106 概述 SM16106 是专为 LED 显示屏设计的驱动芯片, 内 建 CMOS 位移寄存器与锁存功能, 可以将串行的输入 数据转换成并行输出数据格式 SM16106 工作电压为 3.3V 5.0V, 提供 16 个电 流 SM16106 概述 SM16106 是专为 LED 显示屏设计的驱动芯片, 内 建 CMOS 位移寄存器与锁存功能, 可以将串行的输入 数据转换成并行输出数据格式 SM16106 工作电压为 3.3V 5.0V, 提供 16 个电 流源, 可以在每个输出端口提供 1mA 32mA 的恒定电 流 ; 且单颗 IC 片内输出电流差异小于 ±2.5%; 多颗 IC 间的输出电流差异小于 ±3.5%; 通道输出电流不随着输

More information

第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1 ) + ; & ).& &+ 1 & / ) 5 93 & 9 *67893: + 99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!"#$%&'!"#$%&' '& ( ')*&+,& '(-./01 &

第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1 ) + ; & ).& &+ 1 & / ) 5 93 & 9 *67893: + 99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!#$%&'!#$%&' '& ( ')*&+,& '(-./01 & 第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1)+ ; &).& &+ 1&/) 593 & 9 *67893:+99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!#$%&'!#$%&''&(')*&+,& '(-./01&+ -2 3456-78&9:;'& &'

More information

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD 1/16 T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma (@T = 25 C, @Vdd = 3V) VDD 1.8 3.0 3.6 V (1) 0.08 0.3 µa Idd 300 450 500 µa 0.25

More information

GW EDA VHDL VHDL VHDL VHDL ADC009 0 FPGA PC GW EDA a GW EDA beda README.TXT c d 0 e J MZH +V GND -V D/A +V GND S JA J D D D D D D D D C K J J VGA VGA B EDA JB B J HC B RS- CON CON HC PS/ CPLD/FPGA J RS-

More information

浙江朗威微系统有限公司

浙江朗威微系统有限公司 浙江朗威微系统有限公司 LW54123 漏电保护专用集成电路说明书 1 订购须知 联系信息 浙江朗威微系统有限公司 芯片名称 描述 封装类型 LW54123 高速对地漏电保护集成电路 P8/OP8 Add:No. 99 Huaxing Road, Hangzhou East oftware Park Building, 4th Floor enture Building 浙江省杭州市华星路 99 号东部软件园创业大厦

More information

EG8403 芯片数据手册

EG8403 芯片数据手册 版本号日期描述 版本变更记录 V1.0 2013 年 11 月 11 日 EG8371 数据手册初稿 2013 屹晶微电子有限公司版权所有 1 / 11 目录 1. 特点... 3 2. 概述... 3 3. 应用领域... 3 4. 引脚... 4 4.1. 引脚定义... 4 4.2. 引脚描述... 4 5. 结构框图... 5 6. 典型应用电路... 6 6.1 EG8371 典型应用电路图...

More information

AT9280CH

AT9280CH 芯片描述 是单芯片 单电源 8bit 32MSPS 模数转换器 ; 内部集成了采样保持放大器和电源基准源 使用多级差分流水线架构保证了 32MSPS 数据转换数率下全温度范围内无失码 的输入适合图像视频和通信系统应用 ; 用户可以根据实际需要选择单端输入或者差分输入, 也可根据需要选择输入范围和消除失调 内部集成的采样保持放大器, 既适合复用系统又适合开关全波电压范围的连续信道, 采样单信道输入频率可以超过奈奎斯特频率

More information

Electrical and Optical Clock Data Recovery Solutions - Data Sheet

Electrical and Optical Clock Data Recovery Solutions - Data Sheet 32 GBd N1076A 32 GBd N1077A / 64 GBd N1076B 64 GBd N1078A / 64 GBd NRZ PAM4 O/E < 100 fs RMS JSA PLL ...3...4...4...5 /...6...8...11 N1076A...12 N1076B DCA-M...13 N1077A...15 N1078A DCA-M...17...21...

More information

BW-1101К

BW-1101К BW-1101 ... 2... 3... 5... 6... 7... 8... 9... 10... 11... 14... 15... 16... 17... 18-1 - 4 3 2 1 9 18 7 14 5 10 3 6 1 0-2 - 0 0 1. 0 2. 1. 0 2. 1. 2. - 3 - 0 0 0 1. 2. 1. 0 2. - 4 - 0 0 0 0 0 0 0 NO TOUCH

More information

IC芯片自主创新设计实验

IC芯片自主创新设计实验 IC 芯片自主创新设计实验 设计报告 设计题目 : 格雷码计数器芯片设计 设计学生 : 吴东生 ( 集成电路 ) 景国新 ( 固体电子 ) 林道明 ( 集成电路 ) 连维重 ( 集成电路 ) 施望 ( 集成电路 ) 刘锦秀 ( 集成电路 ) 刘中伟 ( 集成电路 ) 李梦宁 ( 集成电路 ) 指导教师 : 阮爱武 杜涛 指导单位 : 电子设计自动化技术 课程组 一 格雷码计数器芯片设计概述 功能描述

More information

一、 概述

一、 概述 概述 FZH119 是一种 LED( 发光二极管显示器 ) 驱动控制与用电路, 内部集成有 MCU 数字接口 数据锁存器 LED 驱动等电路 本产品性能优良, 质量可靠 主要应用于电子产品 LED 显示屏驱动 采用 SOP28 的封装形式 特性说明 采用 CMOS 工艺 显示模式 (8 段 16 位 ) 辉度调节电路 ( 占空比 8 级可调 ) 两线串行接口 (SCLK,DIN) 振荡方式 : 内置

More information

COPO Microelectronics Co., Ltd ` CP2031 3W,Qi V1.2.1-Compliant Wireless Power Receiver and Power Supply 3W, 符合 Qi V1.2.1 无线功率接收及电源管理

COPO Microelectronics Co., Ltd ` CP2031 3W,Qi V1.2.1-Compliant Wireless Power Receiver and Power Supply 3W, 符合 Qi V1.2.1 无线功率接收及电源管理 3W,Qi V1.2.1-Compliant Wireless Power Receiver and Power Supply 3W, 符合 Qi V1.2.1 无线功率接收及电源管理 ------------------------------------------------------------------------------------------------------------------------------------

More information

<4D6963726F736F667420576F7264202D20D4D3D6BECEC4B8E5C4A3B0E52E646F63>

<4D6963726F736F667420576F7264202D20D4D3D6BECEC4B8E5C4A3B0E52E646F63> 1/8 文 / 杜 洋 自 从 我 的 爱 上 单 片 机 一 书 问 世, 爱 上 一 词 就 在 电 子 制 作 的 圈 子 里 小 火 了 一 把 随 后 又 出 现 了 爱 上 制 作 爱 上 Arduino, 还 有 一 大 堆 类 似 名 字 的 出 版 物 虽 然 每 一 本 的 内 容 都 很 精 彩, 但 总 这 么 爱 来 爱 去 的, 是 不 是 有 点 审 美 疲 劳 呢?

More information

DATASHEET SEARCH SITE ==

DATASHEET SEARCH SITE == 矽诺威一级代理龙创威电子小柯 13652437521 描述 特性 是一颗单通道 AB 类音频功率放大器 在 5V 电源供电,THD+N=10%,4 欧姆负载上可以输出 2.5W 的功率 优异的噪声和 THD 指标可以提供高品质的音频信号放大 极少的外围元件就能提供芯片稳定工作, 大大减少了 PCB 面积并降低成本 具有关断功能, 极大的延长系统的待机时间 过热保护功能增强系统的可靠性 POP 声抑制功能改善了系统的听觉感受,

More information

24位模数转换器

24位模数转换器 24 位高精度低电压模数转换器 特征 : 24 位分辨率 ( 无失码 ) 20.7 位有效位 (ENBO 128 倍放大 10Hz 输出 3.0V) 18.2 位不动码 (ENBO 128 倍放大 10Hz 输出 3.0V) 内部集成可编程增益 : 2 4 8 16 32 128 256 1024 2048 倍 输出码率可选 (Hz): 0.625 1.25 2.5 5 10 20( 默认 ) 40

More information

正文封面.PDF

正文封面.PDF (1999 ) 1999 1 1 1999 12 31 1 38 2 38 3 38 4 38 5 38 6 38 7 38 8 38 9 38 10 38 11 38 12 38 13 38 14 38 15 38 16 38 1 2 17 38 3.6%2.16% 13.56%3.12% 10%6.25% 10%5.88% 10%3.70% 1.10% 12.50% 13.13% 12.13%

More information

文件编号

文件编号 2015.3 成都振芯科技股份有限公司 版本记录 :1.0 新旧版本改动比较 : 旧版当前版本文档页数文档页数 当前版本时间 :2015 年 3 月 主题 ( 和旧版本相比的主要变化 ) 如果您有技术 交付或价格方面的任何问题, 请联系成都振芯科技股份有限公司的相关办公室或当地 的代理商, 或访问官方网站 :www.corpro.cn 谢谢! 编制时间 :2015 年 3 月由成都振芯科技股份有限公司发布发布地点

More information

98-02臺師大游騰達.doc

98-02臺師大游騰達.doc 1 2009.09.21~2009.11.21 ( 1466-1560) ( ) ( ) ( ) ( ) ( ) ( ) ( Skype ) 2 ( ) ( ) ( ) ( ) ( ) 5-8 ( 1 ) 5-6 1 ( ) ( ) U 3-4 2 3 ( ) ( ) ( ) ( ) (20-25 ) ( ) 2 ( ) ( ) 3 ( ) ( ) ( ) 25 20 10-15 2 ( ) (1-2

More information

TONE RINGER

TONE RINGER 三通道 6 阶高清视频滤波驱动 芯片概述 是一个 3 通道视频缓冲器, 它内部集成 6dB 增益的轨到轨输出驱动器和 6 阶输出重建滤波器 的 -3dB 带宽为 35MHz, 压摆率为 160V/us 比无源 LC 滤波器与外加驱动的解决方案能提供更好的图像质量 它单电源供电范围为 +2.5V 到 +5.5V, 并有极低的工作电流 27.5mA, 非常适用于电池供电应用 的输入信号为 DAC 的输出,

More information

换向阀 线圈系列 CO1 产品手册

换向阀 线圈系列 CO1 产品手册 系列 CO1 产品手册 2 Rexroth Pneumatics 系列 CO1 线圈宽度 30 mm A 型 3 线圈宽度 22 mm B 型 5 线圈宽度 15 mm 类型 C, 线圈组 7 线圈宽度 15 mm M8, 线圈组 9 补充性产品, 系列 CO1 线圈 online Rexroth Pneumatics 3 线圈宽度 30 mm A 型 标准化电路接口 EN 175301-803,

More information

Microsoft Word - P SDFL series.DOC

Microsoft Word - P SDFL series.DOC 片式铁氧体电感 SDFL 系列 Chip Ferrite Inductor SDFL Series Operating Temp. : -40 ~ +85 特征 迭层独石结构 高度可靠性 体积小 良好的磁屏蔽, 无交叉耦合 无引线结构, 适合表面安装 良好的可焊性和耐焊性 适合于流焊和回流焊用途 可用来抑制电子设备中的电磁干扰, 广泛的运用于通讯 视频 / 音频 计算机 遥控器等领域 FEATURES

More information

nbqw.PDF

nbqw.PDF 2 3 4 5 76,010,200 70,837,163.15 21,694,835.69 6,306,522.69-91,305,083.54 77,237,115.30 0 12,237,082.86 0 0 8,169,816.92 20,406,899.78 0 53,541.43 0 0 0 53,541.43 76,010,200 83,020,704.58 21,694,835.69

More information

<313031A4C9BEC7C160BA5DB3E62831303130383135A457BAF4A4BDA769AAA9292E584C53>

<313031A4C9BEC7C160BA5DB3E62831303130383135A457BAF4A4BDA769AAA9292E584C53> 機 械 三 甲 01 811001 王 振 祥 國 立 高 雄 應 用 科 技 大 學 模 具 工 程 系 甄 選 入 學 嘉 義 縣 縣 立 水 上 國 中 機 械 三 甲 02 811002 王 紹 誠 弘 光 科 技 大 學 生 物 醫 學 工 程 系 登 記 分 發 嘉 義 縣 縣 立 水 上 國 中 機 械 三 甲 03 811003 江 彥 廷 中 臺 科 技 大 學 牙 體 技 術 暨

More information

2 12

2 12 SHENZHEN BRILLIANT CRYSTAL TECHNOLOGIC CO.,LTD. The specification for the following models Graphic LCM serial communication control board CB001 PROPOSED BY APPROVED Design Approved TEL:+86-755-29995238

More information

ST 240MHz D/A SFDR 50MH 1MH 70dB 140MH 40HM -53dB RS-343A/RS-170 DA 2mA 26mA TTL 1.23 +5/+3.3 48LQFP 3 30mW 3 6mW -40 0 C +85 DA TTL 5 3.3 1 SYNC 2BLANK 5CMOS CMOS 48 LQFP shenzhenshuianjichengdianlu www.szsjcdl.com

More information

技术文档模板

技术文档模板 V6602 +3.3V/+5.0V 具有 ESD 保护 低摆率 RS-485 收发器 V6602 是一款半双工 低功耗 低摆率, 完全符合 EI/TI-485 标准要求的 RS-485 收发器 V6602 包括一个驱动器和一个接收器, 两者均可独立使能 当两者均禁用时, 系统输出高阻态 ( 三态 ) V6602 的高驱动能力, 允许 256 个发送器并接在同一通信总线上 低摆率 (low slew

More information

Microsoft Word - MC358CHN.doc

Microsoft Word - MC358CHN.doc MC358 低功耗双运算放大器 版本 2.0 内容 : 描述....2 脚位描述....3 电气特性.3-4 典型单电源应用........5 典型特性曲线.6-7 包装流程图....8 重要声明........9 修改历史 版本 发布日期 注释 V1.0 Jan. 10, 2007 初版 V2.0 Aug.8, 2010 更新规格书格式 516 Bld 4, National Software Base,

More information

Microsoft Word - 附件11_2_.doc

Microsoft Word - 附件11_2_.doc 附件 11 版本号 :FH-2013-001 柔性端头多层片式陶瓷电容器 MLCC WITH FLEX ITERM 一 特性 具有高强度的抗弯曲性能, 下弯可达到 3mm 可增加温度周期变化次数, 最多 3000 次 采用柔性端头体系 可减少线路板因弯曲导致的失效故障 应用范围 应用于高弯曲的线路板 应用于温度变化的线路 应用于汽车推进系统 一 FEATURE High mechanical performance

More information

RP7900 Series Regenerative Power System - Data Sheet

RP7900 Series Regenerative Power System - Data Sheet RP7900 RP7931A - RP7936A (200/208 VAC) RP7941A - RP7946A (400/480 VAC) RP7951A - RP7953A (200/208 VAC) RP7961A - RP7963A (400/480 VAC) 12V / HEV/EV 300V RP7900 Keysight RP7900 3U RP7900 950 V 800 A 10

More information

TONE RINGER

TONE RINGER 四通道低压 5V 全桥驱动描述 是一款四通道低压 5V 全桥驱动芯片, 为摄像 机 消费类产品 玩具和其他低压或者电池供电的运动控 制类应用提供了集成的电机驱动解决方案 能提供高达 0.8A 的输出电流 可以工作在 1.8~6V 的电源电压上 具有 PWM(IN1/IN2) 输入接口, 与行业标准器件 兼容, 并具有过温保护功能 具有省电模式 主要特点 四通道 H 桥电机驱动器 -- 驱动直流电机或其他负载

More information

Microsoft Word - DV-BD4053S305AD  UMC-PBB5 规格书.doc

Microsoft Word - DV-BD4053S305AD  UMC-PBB5 规格书.doc DV-BD4053S305AD USB2.0 BW/HDR Double LENS CAMERA 1.Product feature(产品特性)---------------------------------------------------------------2 2. SPECIFICATIONS(规格书)-----------------------------------------------------------------3

More information

Ceramic PTC Thermistor Catalogue

Ceramic PTC Thermistor Catalogue 特点 用途 1. 满足 RoHS 要求 2. 自我调节加热组件 3. 输出温度稳定 4. 电路简单 5. 适合夹持接触 6. 寿命长且稳定 7. 工作温度范围 :-40 ~ +200 C (V=0) 8. 安规认证 : UL /cul 1. 家电 2. 汽车 -25 ~ +60 C (V=V R ) UL&cUL 证书号 : E138827 3. 热保护器 4. 洗碗机门锁 产品编码 P H R A

More information

45 08106000 鲜 榴 莲 仅 限 网 购 保 46 08109050 鲜 蕃 荔 枝 仅 限 网 购 保 47 08109090 其 他 鲜 果 仅 限 网 购 保 48 09061900 其 他 未 磨 的 肉 桂 及 肉 桂 花 49 09062000 已 磨 肉 桂 及 肉 桂 花

45 08106000 鲜 榴 莲 仅 限 网 购 保 46 08109050 鲜 蕃 荔 枝 仅 限 网 购 保 47 08109090 其 他 鲜 果 仅 限 网 购 保 48 09061900 其 他 未 磨 的 肉 桂 及 肉 桂 花 49 09062000 已 磨 肉 桂 及 肉 桂 花 附 件 : 跨 境 电 子 商 务 零 售 进 口 商 品 清 单 ( 第 二 批 ) 序 号 税 则 号 列 货 品 名 称 备 注 1 02101900 干 熏 盐 制 的 其 他 猪 肉 仅 限 网 购 保 2 02102000 干 熏 盐 制 的 牛 肉 仅 限 网 购 保 3 03061200 鳌 龙 虾 ( 鳌 龙 虾 属 ) 仅 限 网 购 保 4 03061490 其 他 冻 蟹 仅

More information

PS1608 Series PS1608-1R0NT PS1608-1R5NT PS1608-2R2NT PS1608-3R3NT PS1608-4R7NT PS1608-6R8NT PS1608-8R2NT PS1608-0MT PS1608-0MT PS1608-0MT PS1608-0MT P

PS1608 Series PS1608-1R0NT PS1608-1R5NT PS1608-2R2NT PS1608-3R3NT PS1608-4R7NT PS1608-6R8NT PS1608-8R2NT PS1608-0MT PS1608-0MT PS1608-0MT PS1608-0MT P 风华高科 PS 系列功率电感 PS SERIES SMD POWER INDUCTORS 特征 FEATURES: 大电流 ; igh s aturation current 屏蔽结构 ; Magnetic shielded 适合于表面贴装, 适合于回流焊 SMT type, suitable for solder reflow. 应用 APPLICATIONS 1. 移动通信, 笔记本电脑 ; Portable

More information

图 2 产品应用示意图 产品功能表 表 1 发送输入输出 RE DE DI Z Y X X X High Z High Z 1 0 X High Z High Z X = 无关 High Z = 高阻 表 2 接收 输入 输出 RE DE A B RO 0 0

图 2 产品应用示意图 产品功能表 表 1 发送输入输出 RE DE DI Z Y X X X High Z High Z 1 0 X High Z High Z X = 无关 High Z = 高阻 表 2 接收 输入 输出 RE DE A B RO 0 0 RFDot 产品,RoHS 认证, 绿色无铅封装 封装类型 : DIP/SO 产品概述 MAX485 是一款应用于 RS-485 和 RS-422 通信系统的收发芯片 MAX485 传输和接收的数据传输率可高达 2.5Mbps 485 为半双工型 另外,485 有驱动使能 (DE) 和接收使能 (RE) 管脚, 当时, 驱动和接收输出为高阻 MAX485 具有失效保护功能, 在处于接收状态时, 输入端开路或短路接收器输出为高电平

More information

技术参数 Technical Data 额定电压 / 频率 Voltage/Frequency AC220V/50Hz AC110V/60Hz 功率 Power 70W 70W 空载流量 Free flowing 7.0L/M 7.0L/M 最大压力 Max. pressure 2.4Bar 2.4

技术参数 Technical Data 额定电压 / 频率 Voltage/Frequency AC220V/50Hz AC110V/60Hz 功率 Power 70W 70W 空载流量 Free flowing 7.0L/M 7.0L/M 最大压力 Max. pressure 2.4Bar 2.4 膜片式气泵 Diaphragm Pumps for Gas DA70AC 系列 (Series DA70AC) 特点 Features 无油润滑 Oil-Free 耐腐蚀性 Corrosionresistant 免维护 Maintenance Free 低噪音低振动 Low noise level&vibration 可以任何方向安装 Can be mounted in any plane 应用 Typical

More information

Microsoft PowerPoint - vlsi_chapter02

Microsoft PowerPoint - vlsi_chapter02 第 2 章 MOSFET 逻辑设计 本章目录 2.1 理想开关 2.2 MOSFET 开关 2.3 基本的 CMOS 逻辑门 2.4 CMOS 复合逻辑门 2.5 传输门电路 2.6 时钟控制和数据流控制 2017-9-7 第 2 章 MOSFET 逻辑设计 1 2.1 理想开关 1 高电平有效的控制开关 2 低电平有效的控制开关 y = x? 2017-9-7 第 2 章 MOSFET 逻辑设计

More information

种类 标准型 (UL 规格 CSA 规格认证型 ) 极数机能接点构成 型号 线圈额定电压 型号 线圈额定电压 最小包装单位 单稳型 a 接点 a 接点 ( 高容量型 ) -4P-US -74P-US DC DC P-FD-US -74P-FD-US DC DC5

种类 标准型 (UL 规格 CSA 规格认证型 ) 极数机能接点构成 型号 线圈额定电压 型号 线圈额定电压 最小包装单位 单稳型 a 接点 a 接点 ( 高容量型 ) -4P-US -74P-US DC DC P-FD-US -74P-FD-US DC DC5 a5a 8Aab 2a 2b5A 98mW -4P-US -74P-US AC3,000VkV UL508 CSA SEV FD AgSnln + 2 RoHS - - - - - - a( ) ab(2 ) 2 2a(2 ) 0 2b(2 ) U ( -4 K 2 ( -4 2 2 7 4 7 P AgCd FD AgSnIn DC ND 77 US UL CSA U P -98 种类 标准型 (UL

More information

Microsoft Word - SPEC-TL0001-CH_v1_02

Microsoft Word - SPEC-TL0001-CH_v1_02 传感与控制 http://www.sensor-ic.com/ 红外传感信号处理器 简介 是一款具有较高性能的传感信号处理集成电路. 它和 BISS000 芯片完全兼容, 它配以热释电红外线传感器和少量外接元器件构成被动式的热红外开关 它能自动快速开启各类白炽灯 萤光灯 蜂鸣器 自动门 电风扇 烘干机和自动洗手池等装置, 特别适用于企业 宾馆 商场 库房及家庭的过道 走廊等敏感区域, 或用于安全区域的自动灯光

More information

Microsoft Word - EG0001datasheet_V1.0.docx

Microsoft Word - EG0001datasheet_V1.0.docx 1. 特点 CMOS 数模混合专用集成电路 具有独立的高输入阻抗运算放大器, 可与多种传感器匹配, 进行信号预处理 双向鉴幅器可有效抑制干扰 内设延迟时间和封锁时间定时器, 结构新颖, 稳定可靠, 调节范围宽 内置参考电源 工作电压范围宽 :+3V +5V 封装形式 :DIP16 SOP16 封装 2. 描述 EG0001 是一款具有较高性能的传感信号处理集成电路 它配以热释电红外传感器和少量外接元器件构成被动式的热释电红外开关

More information

深圳明和科技

深圳明和科技 500mA 同步降压 DC/DC 转化器 ME3101 系列 描述 : ME3101 是一款同步整流降压型 DC/DC 内置 0.6ΩPMOS 驱动管和 0.7ΩNMOS 开关管 兼容陶瓷电容, 外部只需一只电感和两只电容, 可高效率的输出 500mA 内置振荡器电路, 振荡频率可达 1.2MHZ ME3101 为 PFM/PWM 型自动开关控制模式, 在满载时也能快速响应, 达到纹波小, 效率高的效果

More information

16位A/D转换器

16位A/D转换器 16 位 A/D 转换器 anyh 是双竞公司新推出的 16 位 A/D 转换器, 具有两个全差分输入通道, 可用于测量低频模拟信号 这种器件带有增益可编程放大器, 可通过软件编程来直接测量传感器输出的各种微小信号 具有分辨率高 动态范围广 自校准等特点, 因而非常适合于工业控制 仪表测量等领域 16 位 A/D 转换器 1. 概述 是双竞公司新推出的 16 位 A/D 转换器, 具有两个全差分输入通道,

More information

柳州化工股份有限公司

柳州化工股份有限公司 柳 州 化 工 股 份 有 限 公 司 2013 年 度 内 部 控 制 自 我 评 价 报 告 柳 州 化 工 股 份 有 限 公 司 全 体 股 东 : 根 据 企 业 内 部 控 制 基 本 规 范 及 其 配 套 指 引 的 规 定 和 其 他 内 部 控 制 监 管 要 求 ( 以 下 简 称 企 业 内 部 控 制 规 范 体 系 ), 结 合 本 公 司 ( 以 下 简 称 公 司 )

More information

润 滑 油 系 统 是 一 个 封 闭 的 体 系, 其 中 射 油 器 将 油 箱 内 的 润 滑 油 提 供 给 各 个 轴 承, 主 油 泵 为 射 油 器 提 供 动 力 油, 冷 油 器 负 责 对 高 温 回 油 进 行 冷 却, 管 道 及 阀 门 负 责 连 接 各 个 系 统 设

润 滑 油 系 统 是 一 个 封 闭 的 体 系, 其 中 射 油 器 将 油 箱 内 的 润 滑 油 提 供 给 各 个 轴 承, 主 油 泵 为 射 油 器 提 供 动 力 油, 冷 油 器 负 责 对 高 温 回 油 进 行 冷 却, 管 道 及 阀 门 负 责 连 接 各 个 系 统 设 经 典 收 藏 润 滑 油 系 统 常 见 故 障 分 类 大 全 润 滑 油 系 统 在 汽 轮 机 中 担 任 着 润 滑 冷 却 和 密 封 的 作 用, 其 正 常 工 作 是 保 证 汽 轮 机 安 全 运 行 的 必 要 条 件 在 此 收 集 部 分 汽 轮 机 润 滑 油 系 统 的 故 障 实 例, 分 类 研 究 现 今 国 内 汽 轮 机 润 滑 油 系 统 发 生 的 系 统

More information

,,!!!?,?,!,,,,,,,,,,!,,, : 1 ,,,,!, :, :,?,,,, 2 ( 1 ) 7 0 ( 11 ) ( 12 ) ( 13 ) ( 14 ) ( 15 ) ( 17 ) ( 18 ) ( 19 ) ( 21 ) ( 22 ) ( 23 ) ( 25 ) ( 26 ) ( 27 ) ( 29 ) ( 30 ) ( 31 ) ( 32 ) ( 33 ) ( 34 ) (

More information

1 概述 DL5581 是一个单片 CMOS 计算器电路, 可实现 10 位 12 位或 14 位运算和存储, 翻查, 税率计算, 汇率计算, 百分比运算,MU 运算等功能 具有自动断电功能 由 1.5V 电源或由太阳能电池供电, 具有工作电压范围宽及功耗低等特点 其特点如下 : 计算过程翻查验算功能邦定 OPTION 可选择 80 步 99 步 105 步 112 步 定点 (0,1,2,3,4,

More information

Microsoft Word - DSC-CN5711.doc

Microsoft Word - DSC-CN5711.doc 高亮度发光二极管 (LED) 驱动集成电路 概述 : 是一款电流调制集成电路, 恒定输出电流可达 1.5A, 可以用来驱动包括白色发光二极管在内的各类发光二极管 的 LED 端电流通过一个外部的电阻设置, 电流范围为 30mA 到 1.5A 芯片内部集成有功率晶体管, 大大减少了外部元器件的数目 其它功能包括芯片温度调制, 芯片使能输入端等 具有外围元器件少, 使用方便, 可实现多种模式调光, 效率高等优点,

More information

HT647PL

HT647PL LDMOS 射频功率晶体管 1. 产品特性 无内匹配设计, 方便应用于从 HF 至 600MHz 频率范围内的各类窄 宽带功放 增强鲁棒性设计 适用于 20-28V 供电电压 内部集成的增强 ESD 设计 优异的热稳定性 符合 RoHS 规范 HT647PL HT647PLB HT647PL 封装 : H2110S-4L 2. 产品用途 模拟及数字广播 气象及航空雷达 专网通信基站 工业用激光源和等离子设备

More information

HT77xxB 5V/100mA PFM 异步升压转换器 特性 低启动电压 :0.85V ( 典型值 ) 效率高达 85% 超低空载输入电流 高输出电压精度 :±2.5% 固定输出电压 : 1.8V/2.2V/2.7V/3.0V/3.3V/3.7V/5.0V 超低关机电流 :0.1μA ( 典型值

HT77xxB 5V/100mA PFM 异步升压转换器 特性 低启动电压 :0.85V ( 典型值 ) 效率高达 85% 超低空载输入电流 高输出电压精度 :±2.5% 固定输出电压 : 1.8V/2.2V/2.7V/3.0V/3.3V/3.7V/5.0V 超低关机电流 :0.1μA ( 典型值 5V/100mA PFM 异步升压转换器 特性 低启动电压 :0.85V ( 典型值 ) 效率高达 85% 超低空载输入电流 高输出电压精度 :±2.5% 固定输出电压 : 1.8V/2.2V/2.7V/3.0V/3.3V/3.7V/5.0V 超低关机电流 :0.1μA ( 典型值 ) 封装类型 : 3-pin SOT23 5-pin SOT23 3-pin SOT89 应用领域 单节 双节 三节碱性

More information

HXJ9005技术资料

HXJ9005技术资料 概 述 一 款 双 通 道 桥 接 的 音 频 功 率 放 大 器, 在 5V 电 源 电 压 4Ω 负 载 时, 可 提 供 3.5W 的 功 率 具 有 低 功 耗 关 断 模 式 和 过 温 保 护 功 能 在 电 路 启 动 时, 具 有 缓 冲 及 防 抖 动 功 能 此 外, 当 接 立 体 耳 机 时, 芯 片 可 以 单 终 端 工 作 模 式 驱 动 立 体 耳 机 具 有 外 部

More information

Microsoft Word - SDWL-C series.doc

Microsoft Word - SDWL-C series.doc 绕线片式陶瓷电感 SDWL-C 系列 Wire Wound Chip Ceramic Inductor-SDWL-C Series Operating Temp. : -40 ~ +125 特征 小尺寸, 可表面贴装 陶瓷材料具有高 Q 值 高 高精度 高可靠性用途 通讯设备的高频线路 移动电话如 GSM/CDMA/PDC 等制式 蓝牙, 无线网 FEATURES Small chip suitable

More information

铁路机车车辆用电缆

铁路机车车辆用电缆 SPC 上力缆 塑料绝缘屏蔽电线 PVC INSULATION,FLEXIBLE SHIELDED WIRE SHANGHAI POWER CABLE & WIRE CO., LTD. - 1 - 塑料绝缘屏蔽电线 额定电压 / V AVP 铜芯聚氯乙烯绝缘安装用屏蔽电线 固定敷设 RVP 1 铜芯聚氯乙烯绝缘屏蔽软电线铜芯聚氯乙烯绝缘屏蔽聚氯乙烯护套软电线铜芯聚氯乙烯绝缘缠绕屏蔽聚氯乙烯护套软电线

More information

杭州言曼科技有限公司 CB01 子卡说明文档 ( 对外发布 ) CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别 CB01 标配版本和低成本区别 CB01 结构信息

杭州言曼科技有限公司 CB01 子卡说明文档 ( 对外发布 )   CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别 CB01 标配版本和低成本区别 CB01 结构信息 CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别... 2 2. CB01 标配版本和低成本区别... 2 3. CB01 结构信息... 3 4. CB01 功耗信息... 3 5. LED 显示... 3 6. 按键... 4 7. 拨码开关... 4 8. USB 串口 - 型号 :CP2102... 4 9. 输入时钟... 4 10. I2C

More information

掌中宝 II 钥匙解锁线管脚定义 : NXP 芯片管脚定义 : 芯片型号 VCC GND SCL SDA PCF PCF PCF PCF PCF 注

掌中宝 II 钥匙解锁线管脚定义 : NXP 芯片管脚定义 : 芯片型号 VCC GND SCL SDA PCF PCF PCF PCF PCF 注 钥匙解锁接线图 ------- 专为锁匠而生, 只为锁匠而省!------- Power by JMD technology Version 1.0.2 2018/5/11-1 - 掌中宝 II 钥匙解锁线管脚定义 : NXP 芯片管脚定义 : 芯片型号 VCC GND SCL SDA PCF7941 4 19 10 11 PCF7945 4 19 8 9 PCF7952 8 11 21 22 PCF7953

More information

bnb.PDF

bnb.PDF 2003 1 39 2003 2 39 2003 3 39 2003 M 4 39 2003 5 39 2003 6 39 2003 7 39 2 4 2003 8 39 2003 2002 11 22 D05D06 4,708,777.00 9 39 2003 18 10 39 2003 11 39 2003 [2003]A271 2003 6 30 2003 1-6 2003 1-6 2003

More information

SRWF自组网培训

SRWF自组网培训 上 海 桑 锐 电 力 自 组 网 现 场 安 装 调 试 指 导 上 海 桑 锐 电 子 科 技 有 限 公 司 2013 年 6 月 内 容 目 录 一. 电 力 自 组 网 产 品 展 示 二. 组 网 原 理 三. 现 场 实 施 方 案 四. 现 场 施 工 安 装 五. 现 场 常 见 问 题 六. 问 题 排 查 一. 电 力 自 组 网 产 品 展 示 集 中 器 模 块 国 网 标

More information

一量动…

一量动… 语 言 教 学 与 研 究,1998(3):102-113. 一 量 VP 的 语 法 语 义 特 点 李 宇 明 根 据 量 词 的 不 同, 一 量 VP 可 以 分 为 三 类 : (1) 畜 力 车, 哪 怕 是 牛 车, 竟 一 辆 没 有 ( 陈 冲 不 自 然 的 黑 色, 十 月 1989 年 6 期 34 (2) 一 刻 都 不 敢 离 开 你 呢 ( 小 牛 上 路 谣, 当 代

More information