目录特性... 应用... 功能框图... 概述... 产品特色... 修订历史... 技术规格... 3 AD AD AD 时序规格... 9 绝对最大额定值... 0 热阻... 0 ESD 警告... 0 引脚配置和功能描述... 典型性能

Size: px
Start display at page:

Download "目录特性... 应用... 功能框图... 概述... 产品特色... 修订历史... 技术规格... 3 AD AD AD 时序规格... 9 绝对最大额定值... 0 热阻... 0 ESD 警告... 0 引脚配置和功能描述... 典型性能"

Transcription

1 50 ksps 六通道 同步采样 双极性 6/4/- 位 ADC AD7656/AD7657/AD7658 特性 6 个独立 ADC 真双极性模拟输入引脚 / 软件可选范围 :±0 V ±5 V 高吞吐速率 :50 ksps icmos 工艺技术 低功耗 40 mw(50 ksps,5 V 电源 ) 宽输入带宽信噪比 (SNR):86.5 db(50 khz 输入频率 ) 片内基准电压源及缓冲器并行 串行和菊花链接口模式高速串行接口 SPI-/QSPI -/MICROWIRE -/DSP 兼容待机模式 :00 μw( 最大值 ) 64 引脚 LQFP 封装 应用电源线路监控系统仪表和控制系统多轴定位系统 概述 AD7656/AD7657/AD7658 均内置六个 6/4/-bit 快速 低功耗逐次逼近型 ADC, 并集成到一个封装中, 采用 icmos 工艺 ( 工业级 CMOS) 设计 icmos 是一种将高压硅与亚微米 CMOS 及互补双极性技术相结合的工艺 通过这 - 种工艺, 可开发在 33V 高压下工作的高性能模拟 IC, 其体积性能比是以往的高压器件所无法实现的 与采用传统 CMOS 工艺的模拟 IC 不同,iCMOS 元件不但可以输入双极性信号, 同时还能提升性能, 大幅降低功耗并减小封装尺寸 三款器件的吞吐速率高达 50 ksps, 并且内置低噪声 宽带宽采样保持放大器, 可处理最高 MHz 的输入频率 V V V3 V4 V5 V6 T/H T/H T/H T/H T/H T/H REF BUF BUF BUF 功能框图 CONVST A CONVST B CONVST C CLK OSC 6-/4-/-BIT SAR 6-/4-/-BIT SAR 6-/4-/-BIT SAR 6-/4-/-BIT SAR 6-/4-/-BIT SAR 6-/4-/-BIT SAR CONTROL LOGIC 图. AGND OUTPUT DRIVERS OUTPUT DRIVERS OUTPUT DRIVERS OUTPUT DRIVERS DGND AV CC DV CC AD7656/AD7657/AD7658 CS SER/PAR V DRIVE STBY DOUT A SCLK DOUT B DOUT C DATA/ CONTROL LINES 转换过程与数据采集利用 CONVST 信号和内部振荡器进行控制 三个 CONVST 引脚允许三对 ADC 独立地进行同步采样 AD7656/AD7657/AD7658 均具有一个高速并行接口和一个高速串行接口, 为器件与微处理器或 DSP 的接口连接创造了条件 在串行接口模式下, 这些器件都允许多个 ADC 以菊花链形式连接至单个串行接口 三款器件均可在 ±4 V REF 和 ± V REF 范围内支持真双极性输入信号 此外还内置一个.5 V 片内基准电压源 产品特色. 片上集成 6 个 6/4/-bit 50 ksps ADC. 6 个真双极性 高阻抗模拟输入 3. 并行和高速串行接口 RD WR 受美国专利第 6,73,3 号保护. Rev. D Information furnished by Analog Devices is believed to be accurate and reliable. However, no responsibility is assumed by Analog Devices for its use, nor for any infringements of patents or other One Technology Way, P.O. Box 906, Norwood, MA , U.S.A. rights of third parties that may result from its use. Specifications subject to change without notice. No license is granted by implication or otherwise under any patent or patent rights of Analog Devices. Tel: Trademarks and registered trademarks are the property of their respective owners. Fax: Analog Devices, Inc. All rights reserved. ADI 中文版数据手册是英文版数据手册的译文, 敬请谅解翻译中可能存在的语言组织或翻译错误,ADI 不对翻译中存在的差异或由此产生的错误负责 如需确认任何词语的准确性, 请参考 ADI 提供的最新英文版数据手册

2 目录特性... 应用... 功能框图... 概述... 产品特色... 修订历史... 技术规格... 3 AD AD AD 时序规格... 9 绝对最大额定值... 0 热阻... 0 ESD 警告... 0 引脚配置和功能描述... 典型性能参数... 4 术语... 8 工作原理... 0 转换器详解... 0 ADC 传递函数... 基准电压源部分... 典型连接图... 驱动模拟输入... 接口部分... 应用须知... 9 布局... 9 电源配置... 9 外形尺寸 订购指南 修订历史 0 年 3 月 修订版 C 至修订版 D 更改图 年 8 月 修订版 B 至修订版 C 更改表 4 中的 t 单位... 9 更改表 5 中的 至 AV CC 额定值... 0 增加 电源配置 部分... 9 增加图 年 4 月 修订版 0 至修订版 A 增加 AD7657/AD7658 器件... 通篇更改表... 3 更改表 年 3 月 修订版 0: 初始版 至 AV CC 00 年 月 修订版 A 至修订版 B 更改表 中的直流精度参数单位... 3 更改表 中的直流精度参数... 5 更改表 3 中的直流精度参数... 7 更改 术语 部分... 8 更新 外形尺寸 更改 订购指南 Rev. D Page of 3

3 AD7656/AD7657/AD7658 技术规格 AD7656 除非另有说明,V REF =.5 V 内部 / 外部基准电压,AV CC = 4.75 V 至 5.5 V,DV CC = 4.75 V 至 5.5 V,V DRIVE =.7 V 至 5.5 V; 对于 ±4 V REF 范围 : = 0 V 至 6.5 V, = 0 V 至 6.5 V; 对于 ± V REF 范围 : = 5 V 至 6.5 V, = 5 V 至 6.5 V; f SAMPLE = 50 ksps,t A = T MIN 至 T MAX 表. 参数 B 版本 Y 版本 单位 测试条件 / 注释 动态性能 f IN = 50 khz 正弦波 信纳比 (SINAD) db( 最小值 ) db( 典型值 ) 信噪比 (SNR) db( 最小值 ) db( 典型值 ) 总谐波失真 (THD) db( 最大值 ) 9 9 db( 典型值 ) / = ±5 V 至 ±0 V db( 典型值 ) / = ± V 至 ±6.5 V 峰值谐波或杂散噪声 (SFDR) db( 典型值 ) 交调失真 (IMD) fa = 50 khz, fb = 49 khz 二阶项 db( 典型值 ) 三阶项 db( 典型值 ) 孔径延迟 0 0 ns( 最大值 ) 孔径延迟匹配 4 4 ns( 最大值 ) 孔径抖动 ps( 典型值 ) 通道间隔离 db( 典型值 ) 未选中通道的 f IN 高达 00 khz 全功率带宽 MHz( 典型值 ) 在 3 db 条件下 MHz( 典型值 ) 在 0. db 条件下 直流精度 分辨率 6 6 Bits 无失码 5 4 bits( 最小值 ) 6 6 bits( 最小值 ) 在 5 C 条件下 积分非线性 ±3 ±4.5 LSB( 最大值 ) ± ± LSB( 典型值 ) 正满量程误差 ±0.75 ±0.75 % FSR( 最大值 ) 典型值 ±0.% FSR 正满量程误差匹配 ±0.35 ±0.35 % FSR( 最大值 ) 双极性零电平误差 ±0.03 ±0.03 % FSR( 最大值 ) 典型值 ±0.004% FSR 双极性零电平误差匹配 ±0.038 ±0.038 % FSR( 最大值 ) 负满量程误差 ±0.75 ±0.75 % FSR( 最大值 ) 典型值 ±0.% FSR 负满量程误差匹配 ±0.35 ±0.35 % FSR( 最大值 ) 模拟输入 各范围的 / 最小值见表 8 输入电压范围 ±4 V REF ±4 V REF V RNG bit/range 引脚 = 0 ± V REF ± V REF V RNG bit/range 引脚 = 直流泄漏电流 ± ± μa( 最大值 ) 3 输入电容 0 0 pf( 典型值 ) ±4 V REF 范围 ( 采样时 ) 4 4 pf( 典型值 ) ± V REF 范围 ( 采样时 ) 基准电压输入 / 输出 基准输入电压范围.5/3.5/3 V( 最小值 / 最大值 ) 直流泄漏电流 ± ± μa( 最大值 ) 3 输入电容 pf( 典型值 ) REFEN/DIS = 基准输出电压.49/.5.49/.5 V( 最小值 / 最大值 ) 长期稳定性 ppm( 典型值 ),000 小时 基准电压源温度系数 5 5 ppm/ C( 最大值 ) 6 6 ppm/ C( 典型值 ) Rev. D Page 3 of 3

4 参数 B 版本 Y 版本 逻辑输入 输入高电压 (V INH ) 0.7 V DRIVE 0.7 V DRIVE 输入低电压 (V INL ) 0.3 V DRIVE 0.3 V DRIVE 单位 V( 最小值 ) V( 最大值 ) 测试条件 / 注释 输入电流 (I IN ) ± ± μa( 最大值 ) 典型值 0 na,v IN = 0 V 或 V DRIVE 输入电容 (C IN ) pf( 最大值 ) 逻辑输出 输出高电压 (V OH ) V DRIVE 0. V DRIVE 0. V( 最小值 ) I SOURCE = 00 µa 输出低电压 (V OL ) V( 最大值 ) I SINK = 00 µa 浮空态漏电流 ± ± μa( 最大值 ) 3 悬空态输出电容 0 0 pf( 最大值 ) 输出编码 二进制补码 转换速率 转换时间 μs( 最大值 ), 3 采样保持器采集时间 ns( 最大值 ) 吞吐速率 ksps 仅并行接口模式 电源要求 5/5 5/5 V( 标称值最小值 / 最大值 ) 对于 4 V REF 范围, = 0 V 至 6.5 V 5/ 5 5/ 5 V( 标称值最小值 / 最大值 ) 对于 4 V REF 范围, = 0 V 至 6.5 V AV CC 5 5 V( 标称值 ) DV CC 5 5 V( 标称值 ) V DRIVE 3/5 3/5 V( 标称值最小值 / 最大值 ) I TOTAL 数字 I/P S = 0 V 或 V DRIVE 正常模式 ( 静态 ) 8 8 ma( 最大值 ) AV CC = DV CC = V DRIVE = 5.5 V, ( 包括 IAV CC I I IV DRIVE IDV CC ) = 6.5 V, = 6.5 V 正常模式 ( 工作状态 ) 6 6 ma( 最大值 ) f SAMPLE = 50 ksps,av CC = DV CC = V DRIVE = 5.5 V, ( 包括 IAV CC I I IV DRIVE IDV CC ) = 6.5 V, = 6.5 V I SS ( 工作状态 ) ma( 最大值 ) = 6.5 V,f SAMPLE = 50 ksps I DD ( 工作状态 ) ma( 最大值 ) = 6.5 V, f SAMPLE = 50 ksps 部分掉电省电模式 7 7 ma( 最大值 ) AV CC = DVCC = V DRIVE = 5.5 V, = 6.5 V, = 6.5 V 完全掉电省电模式 (STBY 引脚 ) μa( 最大值 ) SCLK 开或关,AV CC = DV CC = V DRIVE = 5.5 V, = 6.5 V, = 6.5 V 功耗 AV CC = DV CC = V DRIVE = 5.5 V, = 6.5 V, = 6.5 V 正常模式 ( 静态 ) mw( 最大值 ) 正常模式 ( 工作状态 ) mw( 最大值 ) f SAMPLE = 50 ksps 部分掉电省电模式 mw( 最大值 ) 完全掉电省电模式 (STBY 引脚 ) μw( 最大值 ) 温度范围如下 :B 版本为 40 C 至 +85 C,Y 版本为 40 C 至 +5 C 参见 术语 部分 3 样片在初次发布期间均经过测试, 以确保符合标准要求 Rev. D Page 4 of 3

5 AD7657 AD7656/AD7657/AD7658 除非另有说明,V REF =.5 V 内部 / 外部基准电压,AV CC = 4.75 V 至 5.5 V,DV CC = 4.75 V 至 5.5 V,V DRIVE =.7 V 至 5.5 V; 对于 ±4 V REF 范围 : = 0 V 至 6.5 V, = 0 V 至 6.5 V; 对于 ± V REF 范围 : = 5 V 至 6.5 V, = 5 V 至 6.5 V; f SAMPLE = 50 ksps,t A = T MIN 至 T MAX 表. 参数 B 版本 Y 版本 单位 测试条件 / 注释 动态性能 f IN = 50 khz 正弦波 信纳比 (SINAD) db( 最小值 ) 信噪比 (SNR) db( 最小值 ) db( 典型值 ) 总谐波失真 (THD) db( 最大值 ) 9 9 db( 典型值 ) 峰值谐波或杂散噪声 (SFDR) db( 典型值 ) 交调失真 (IMD) fa = 50 khz, fb = 49 khz 二阶项 db( 典型值 ) 三阶项 db( 典型值 ) 孔径延迟 0 0 ns( 最大值 ) 孔径延迟匹配 4 4 ns( 最大值 ) 孔径抖动 ps( 典型值 ) 通道间隔离 db( 典型值 ) 未选中通道的 f IN 高达 00 khz 全功率带宽 MHz( 典型值 ) 在 3 db 条件下 MHz( 典型值 ) 在 0. db 条件下 直流精度 分辨率 4 4 bits 无失码 4 4 bits( 最小值 ) 积分非线性 ±.5 ±.5 LSB( 最大值 ) ± ± LSB( 典型值 ) 正满量程误差 ±0.75 ±0.75 % FSR( 最大值 ) 典型值 ±0.83% FSR 正满量程误差匹配 ±0.3 ±0.3 % FSR( 最大值 ) 双极性零电平误差 ± ± % FSR( 最大值 ) 典型值 ±0.05 % FSR 双极性零电平误差匹配 ±0.047 ±0.047 % FSR( 最大值 ) 负满量程误差 ±0.75 ±0.75 % FSR( 最大值 ) 典型值 ±0.83% FSR 负满量程误差匹配 ±0.3 ±0.3 % FSR( 最大值 ) 模拟输入 各范围的 / 最小值见表 8 输入电压范围 ±4 V REF ±4 V REF V RNG bit/range 引脚 = 0 ± V REF ± V REF V RNG bit/rng bit/range 引脚 = 直流泄漏电流 ± ± μa( 最大值 ) 3 输入电容 0 0 pf( 典型值 ) ±4 V REF 范围 ( 采样时 ) 4 4 pf( 典型值 ) ± V REF 范围 ( 采样时 ) 基准电压输入 / 输出 基准输入电压范围.5/3.5/3 V( 最小值 / 最大值 ) 直流漏电流 ± ± μa( 最大值 ) 3 输入电容 pf( 典型值 ) REF EN/DIS = 基准输出电压.49/.5.49/.5 V( 最小值 / 最大值 ) 长期稳定性 ppm( 典型值 ),000 小时 基准电压源温度系数 5 5 ppm/ C( 最大值 ) 6 6 ppm/ C( 典型值 ) 逻辑输入输入高电压 (V INH ) 0.7 V DRIVE 0.7 V DRIVE V( 最小值 ) 输入低电压 (V INL ) 0.3 V DRIVE 0.3 V DRIVE V( 最大值 ) 输入电流 (I IN ) ± ± μa( 最大值 ) 典型值 0 na,v IN = 0 V 或 V DRIVE 输入电容 (C IN ) pf( 最大值 ) Rev. D Page 5 of 3

6 参数 B 版本 Y 版本 单位 测试条件 / 注释 逻辑输出 输出高电压 (V OH ) V DRIVE 0. V DRIVE 0. V( 最小值 ) I SOURCE = 00 µa 输出低电压 (V OL ) V( 最大值 ) I SINK = 00 µa 浮空态泄漏电流 ± ± μa( 最大值 ) 3 悬空态输出电容 0 0 pf( 最大值 ) 输出编码 二进制补码 转换速率 转换时间 μs( 最大值 ), 3 采样保持器采集时间 ns( 最大值 ) 吞吐速率 ksps 仅并行接口模式 电源要求 5/5 5/5 V( 标称值最小值 / 最大值 ) 对于 4 V REF 范围, = 0 V 至 6.5 V 5/ 5 5/ 5 V( 标称值最小值 / 最大值 ) 对于 4 V REF 范围, = 0 V 至 6.5 V AV CC 5 5 V( 标称值 ) DV CC 5 5 V( 标称值 ) V DRIVE 3/5 3/5 V( 标称值最小值 / 最大值 ) I TOTAL 数字 I/P S = 0 V 或 V DRIVE 正常模式 ( 静态 ) 8 8 ma( 最大值 ) AV CC = DV CC = V DRIVE = 5.5 V, = 6.5 V, ( 包括 IAV CC I I IV DRIVE IDV CC ) = 6.5 V 正常模式 ( 工作状态 ) 6 6 ma( 最大值 ) f SAMPLE = 50 ksps, AV CC = DV CC = V DRIVE = 5.5 V, ( 包括 IAV CC I I IV DRIVE IDV CC ) = 6.5 V, = 6.5 V I SS ( 工作状态 ) ma( 最大值 ) = 6.5 V, f SAMPLE = 50 ksps I DD ( 工作状态 ) ma( 最大值 ) = 6.5 V, f SAMPLE = 50 ksps 部分掉电省电模式 7 7 ma( 最大值 ) AV CC = DVCC = V DRIVE = 5.5 V, = 6.5 V, = 6.5 V 完全掉电省电模式 (STBY 引脚 ) μa( 最大值 ) SCLK 开或关,AV CC = DV CC = V DRIVE = 5.5 V, = 6.5 V, = 6.5 V 功耗 AV CC = DV CC = V DRIVE = 5.5 V, = 6.5 V, = 6.5 V 正常模式 ( 静态 ) mw( 最大值 ) 正常模式 ( 工作状态 ) mw( 最大值 ) f SAMPLE = 50 ksps 部分掉电省电模式 mw( 最大值 ) 完全掉电省电模式 (STBY 引脚 ) μw( 最大值 ) 温度范围如下 :B 版本为 40 C 至 +85 C,Y 版本为 40 C 至 +5 C 参见 术语 部分 3 样片在初次发布期间均经过测试, 以确保符合标准要求 Rev. D Page 6 of 3

7 AD7658 除非另有说明,V REF =.5 V 内部 / 外部基准电压,AV CC = 4.75 V 至 5.5 V,DV CC = 4.75 V 至 5.5 V,V DRIVE =.7 V 至 5.5 V; 对于 ±4 V REF 范围 : = 0 V 至 6.5 V, = 0 V 至 6.5 V; 对于 ± V REF 范围 : = 5 V 至 6.5 V, = 5 V 至 6.5 V; f SAMPLE = 50 ksps,t A = T MIN 至 T MAX 表 3. 参数 B 版本 Y 版本 单位 测试条件 / 注释 动态性能 f IN = 50 khz 正弦波 信纳比 (SINAD) db( 最小值 ) db( 典型值 ) 总谐波失真 (THD) db( 最大值 ) 9 9 db( 典型值 ) 峰值谐波或杂散噪声 (SFDR) db( 典型值 ) 交调失真 (IMD) fa = 50 khz, fb = 49 khz 二阶项 db( 典型值 ) 三阶项 0 0 db( 典型值 ) 孔径延迟 0 0 ns( 最大值 ) 孔径延迟匹配 4 4 ns( 最大值 ) 孔径抖动 ps( 典型值 ) 通道间隔离 db( 典型值 ) 未选中通道的 f IN 高达 00 khz 全功率带宽 MHz( 典型值 ) 在 3 db 条件下 MHz( 典型值 ) 在 0. db 条件下 直流精度 分辨率 Bits 无失码 bits( 最小值 ) 微分非线性 ±0.7 ±0.7 LSB( 最大值 ) 积分非线性 ± ± LSB( 最大值 ) 正满量程误差 ±0.75 ±0.75 % FSR( 最大值 ) 典型值 ±0.44% FSR 正满量程误差匹配 ±0.366 ±0.366 % FSR( 最大值 ) 双极性零电平误差 ±3 ±3 LSB( 最大值 ) 典型值 ±0.0488% FSR 双极性零电平误差匹配 ±3 ±3 LSB( 最大值 ) 负满量程误差 ±0.75 ±0.75 % FSR( 最大值 ) 典型值 ±0.44% FSR 负满量程误差匹配 ±0.366 ±0.366 % FSR( 最大值 ) 模拟输入 各范围的 / 最小值见表 8 输入电压范围 ±4 V REF ±4 V REF V RNG bit/range 引脚 = 0 ± V REF ± V REF V RNG bit/range 引脚 = 直流泄漏电流 ± ± μa( 最大值 ) 3 输入电容 0 0 pf( 典型值 ) ±4 V REF 范围 ( 采样时 ) 4 4 pf( 典型值 ) ± V REF 范围 ( 采样时 ) 基准电压输入 / 输出基准输入电压范围.5/3.5/3 V( 最小值 / 最大值 ) 直流泄漏电流 ± ± μa( 最大值 ) 3 输入电容 pf( 典型值 ) REF EN/DIS = V( 最小值 / 最大值 ) ppm( 典型值 ) ppm/ C( 最大值 ) ppm/ C( 典型值 ) 基准输出电压.49/.5.49/.5 长期稳定性 基准电压源温度系数 逻辑输入 输入高电压 (V INH ) 0.7 V DRIVE 0.7 V DRIVE V( 最小值 ) 输入低电压 (V INL ) 0.3 V DRIVE 0.3 V DRIVE V( 最大值 ) AD7656/AD7657/AD7658,000 小时 输入电流 (I IN ) ± ± μa( 最大值 ) 典型值 0 na,v IN = 0 V 或 V DRIVE 输入电容 (C IN ) pf( 最大值 ) Rev. D Page 7 of 3

8 参数 B 版本 Y 版本 单位 测试条件 / 注释 逻辑输出 输出高电压 (V OH ) V DRIVE 0. V DRIVE 0. V( 最小值 ) I SOURCE = 00 µa 输出低电压 (V OL ) V( 最大值 ) I SINK = 00 µa 浮空态泄漏电流 ± ± μa( 最大值 ) 3 悬空态输出电容输出编码 0 0 二进制补码 pf( 最大值 ) 转换速率 转换时间采样保持器采集时间, 3 吞吐速率 电源要求 5/5 5/5 5/ 5 5/ 5 AV CC 5 5 DV CC 5 5 V DRIVE 3/5 3/ μs( 最大值 ) ns( 最大值 ) ksps 仅并行接口模式 V( 标称值最小值 / 最大值 ) V( 标称值最小值 / 最大值 ) V( 标称值 ) V( 标称值 ) V( 标称值最小值 / 最大值 ) 对于 4 V REF 范围, = 0 V 至 6.5 V 对于 4 V REF 范围, = 0 V 至 6.5 V I TOTAL 正常模式 ( 静态 ) ( 包括 IAV CC I I IV DRIVE IDV CC ) 正常模式 ( 工作状态 ) ( 包括 IAV CC I I IV DRIVE IDV CC ) I SS ( 工作状态 ) I DD ( 工作状态 ) 部分掉电省电模式 功耗 完全掉电省电模式 (STBY 引脚 ) 正常模式 ( 静态 ) 正常模式 ( 工作状态 ) 部分掉电省电模式完全掉电省电模式 (STBY 引脚 ) μa( 最大值 ) ma( 最大值 ) ma( 最大值 ) ma( 最大值 ) ma( 最大值 ) ma( 最大值 ) mw( 最大值 ) mw( 最大值 ) mw( 最大值 ) μw( 最大值 ) 数字 I/P S = 0 V 或 V DRIVE AV CC = DV CC = V DRIVE = 5.5 V, = 6.5 V, = 6.5 V f SAMPLE = 50 ksps,av CC = DV CC = V DRIVE = 5.5 V, = 6.5 V, = 6.5 V = 6.5 V,f SAMPLE = 50 ksps = 6.5 V,f SAMPLE = 50 ksps AV CC = DV CC = V DRIVE = 5.5 V, = 6.5 V, = 6.5 V SCLK 开或关,AV CC = DV CC = V DRIVE = 5.5 V, = 6.5 V, = 6.5 V AV CC = DV CC = V DRIVE = 5.5 V, = 6.5 V, = 6.5 V f SAMPLE = 50 ksps 温度范围如下 :B 版本为 40 C 至 +85 C,Y 版本为 40 C 至 +5 C 参见 术语 部分 3 样片在初次发布期间均经过测试, 以确保符合标准要求 Rev. D Page 8 of 3

9 时序规格 除非另有说明,AV CC /DV CC = 4.75 V 至 5.5 V, = 5 V 至 6.5 V, = 5 V 至 6.5 V,V DRIVE =.7 V 至 5.5 V, V REF =.5 V 内部 / 外部基准电压,T A = T MIN 至 T MAX 表 4. T MIN T MAX 的限值 参数 V DRIVE < 4.75 V V DRIVE = 4.75 V 至 5.5 V 单位 描述 并行模式 t CONVERT 3 3 μs( 典型值 ) 转换时间, 内部时钟 t QUIET ns( 最小值 ) 总线释放到下一次转换开始的最短安静时间 t ACQ ns( 最小值 ) 采集时间 t ns( 最小值 ) 最短 CONVST 低电平脉冲 t ns( 最大值 ) CONVST 高电平到 BUSY 高电平 t WAKE-UP ms( 最大值 ) STBY 上升沿到 CONVST 上升沿 5 5 μs( 最大值 ) 部分掉电省电模式 并行写入操作 t 5 5 ns( 最小值 ) WR 脉冲宽度 t 0 0 ns( 最小值 ) CS 至 WR 建立时间 t ns( 最小值 ) CS 至 WR 保持时间 t ns( 最小值 ) WR 上升沿前的数据建立时间 t ns( 最小值 ) WR 上升沿后的数据保持时间 并行读取操作 t 0 0 ns( 最小值 ) BUSY 至 RD 延迟时间 t ns( 最小值 ) CS 至 RD 建立时间 t ns( 最小值 ) CS 至 RD 保持时间 t ns( 最小值 ) RD 脉冲宽度 t ns( 最大值 ) RD 下降沿后的数据访问时间 t ns( 最小值 ) RD 上升沿后的数据保持时间 t 8 ns( 最大值 ) RD 上升沿后的总线释放时间 t9 6 6 ns( 最小值 ) 两次读取之间的最短间隔时间 串行接口 f SCLK 8 8 MHz( 最大值 ) 串行读取时钟频率 t 6 ns( 最大值 ) 从 CS 直到 D OUTx 三态禁用的延迟时间 t 7 ns( 最大值 ) SCLK 上升沿 /CS 下降沿后的数据访问时间 t t SCLK 0.4 t SCLK ns( 最小值 ) SCLK 低电平脉冲宽度 t t SCLK 0.4 t SCLK ns( 最小值 ) SCLK 高电平脉冲宽度 t ns( 最小值 ) 在 SCLK 下降沿后 SCLK 到数据有效的保持时间 t 8 8 ns( 最大值 ) CS 上升沿到 D OUTx 高阻抗状态 样片在初次发布期间均经过测试, 以确保符合标准要求 所有输入信号均指定 t R = t F = 5 ns(0% 到 90% 的 ) 并从.6V 电平起开始计时 数据输出引脚上利用一个缓冲进行此项测量 00µA I OL TO OUTPUT PIN C L 5pF.6V 00µA I OH 图. 数字输出时序规格的负载电路 Rev. D Page 9 of 3

10 绝对最大额定值 除非另有说明,T A = 5 C. 表 5. 参数 额定值 至 AGND DGND 0.3 V 至 +6.5 V 至 AGND DGND +0.3 V 至 6.5 V 至 AV CC AV CC 0.3 V 至 6.5 V AV CC 至 AGND DGND 0.3 V 至 +7 V DV CC 至 AV CC 0.3 V 至 AV CC V DV CC 至 DGND AGND 0.3 V 至 +7 V AGND 至 DGND 0.3 V 至 +0.3 V V DRIVE 至 DGND 0.3 V 至 DV CC V 模拟输入电压至 AGND 0.3 V 至 V 数字输入电压至 DGND 0.3 V 至 V DRIVE V 数字输出电压至 GND 0.3 V 至 V DRIVE V REFIN 至 AGND 0.3 V 至 AV CC V 输入电流至除电源外的任何 引脚 ±0 ma 工作温度范围 B 版本 40 C 至 +85 C Y 版本 40 C 至 +5 C 存储温度范围 65 C 至 +50 C 结温 50 C 铅锡焊接温度 回流焊 (0 秒至 30 秒 ) 40(+0) C 无铅回流焊温度 60(+0) C 注意, 超出上述绝对最大额定值可能会导致器件永久性损坏 这只是额定最值, 并不能以这些条件或者在任何其它超出本技术规范操作章节中所示规格的条件下, 推断器件能否正常工作 长期在绝对最大额定值条件下工作会影响器件的可靠性 热阻 θ JA 针对最差条件 ; 即器件焊接在电路板上以实现表贴封 装 这些技术规格适用于 4 层电路板 表 6. 热阻 封装类型 θ JA θ JC 单位 64 引脚 LQFP 45 C/W ESD 警告 ESD( 静电放电 ) 敏感器件 带电器件和电路板可能会在没有察觉的情况下放电 尽管本产品具有专利或专有保护电路, 但在遇到高能量 ESD 时, 器件可能会损坏 因此, 应当采取适当的 ESD 防范措施, 以避免器件性能下降或功能丧失 如果利用替代 和 电源电路来驱动模拟输入, 则应在模拟输入端串联一个 40 Ω 电阻 00 ma 以下的瞬态电流不会造成 SCR 闩锁 Rev. D Page 0 of 3

11 引脚配置和功能描述 DB5 WR/REF EN/DIS H/S SEL SER/PAR/SEL AV CC AGND REFCAPC AGND REFCAPB AGND REFCAPA AGND AGND REFIN/REFOUT AV CC AGND DB4/REFBUF EN/DIS DB3 DB 3 PIN 48 V6 47 AV CC 46 AV CC DB 4 45 V5 DB0/DOUT C 5 44 AGND DB9/DOUT B DB8/DOUT A DGND AD7656/AD7657/AD7658 TOP VIEW (Not to Scale) 43 AGND 4 V4 4 AV CC V DRIVE 9 DB7/HBEN/DCEN 0 40 AV CC 39 V3 DB6/SCLK 38 AGND DB5/DCIN A 37 AGND DB4/DCIN B 3 36 V DB3/DCIN C 4 35 AV CC DB/SEL C 5 34 AV CC DB/SEL B 6 33 V DB0/SEL A BUSY CS RD CONVST C CONVST B CONVST A STBY DGND DV CC RANGE RESET W/B AGND 图 3. 引脚配置 表 7. 引脚功能描述引脚编号引脚名称描述 54, 56, 58 REFCAPA, REFCAPB, REFCAPC 33, 36, 39, V 至 V6 4, 45, 48 3, 37, 38, 43, 44, 49, 5, 53, 55, 57, 59 AGND 6 DV CC 9 V DRIVE 8, 5 DGND 34, 35, 40, 4, 46, 47, 50, 60 AV CC 3,, CONVST A, CONVST B, CONVST C 去耦电容连接到这些引脚 这会对每对 ADC 的基准电压缓冲器进行去耦 每个 REFCAP 引脚应通过 0 μf 和 00 nf 电容去耦至 AGND 模拟输入 至 6 这些是单端模拟输入 在硬件模式下, 这些通道上的模拟输入范围由 RANGE 引脚决定 在软件模式下, 由控制寄存器的 Bit RNGC 至 Bit RNGA 决定 ( 参见表 0) 模拟地 AD7656/AD7657/AD7658 上所有模拟电路的接地基准点 所有模拟输入信号和任何外部基准信号都应参考此 AGND 电压 这 个 AGND 引脚均应连接到系统的 AGND 平面 AGND 和 DGND 电压在理想情况下应保持等电位, 并且电位差 ( 甚至在瞬态电压存在情况时 ) 不得超过 0.3 V 数字电源 4.75 V 至 5.5 V DV CC 和 AV CC 电压在理想情况下应保持等电位, 并且电位差 ( 甚至在瞬态电压存在情况时 ) 不得超过 0.3 V 应将此电源去耦至 DGND, 并且 0 μf 和 00 nf 去耦电容应接在 DV CC 引脚上 逻辑电源输入 此引脚的电源电压决定逻辑接口的工作电压 此引脚的标称电源与主机接口电源相同 应将此引脚去耦至 DGND, 并且 0 μf 和 00 nf 去耦电容应接在 V DRIVE 引脚上 数字地 这是 AD7656/AD7657/AD7658 上所有数字电路的接地基准点 两个 DGND 引脚均应连接到系统的 DGND 平面 DGND 和 AGND 电压在理想情况下应保持等电位, 并且电位差 ( 甚至在瞬态电压存在情况时 ) 不得超过 0.3 V 模拟电源电压,4.75 V 至 5.5 V 这是 ADC 内核的电源电压 AV CC 和 DV CC 电压在理想情况下应保持等电位, 并且电位差 ( 甚至在瞬态电压存在情况下 ) 不得超过 0.3 V 应将这些电源引脚去耦至 AGND, 并且 0 μf 和 00 nf 去耦电容应接在 AV CC 引脚上 转换开始输入 A B 和 C 这些逻辑输入用来启动 ADC 对转换 CONVST A 用来启动 V 和 V 同步转换 CONVST B 用来启动 V3 和 V4 同步转换 CONVST C 用来启动 V5 和 V6 同步转换 当 CONVSTx 引脚从低电平变为高电平时, 所选 ADC 对的取样保持开关从采样切换到保持, 然后便启动转换 利用这些输入端, 还可让 ADC 对进入部分掉电省电模式 Rev. D Page of 3

12 引脚编号 引脚名称 描述 9 CS 片选 此低电平有效逻辑输入使能数据帧传输 在并行模式下, 如果 CS 和 RD 均处于逻辑 低电平, 则会使能输出总线, 使转换结果输出在并行数据总线上 在并行模式下, 如果 CS 和 WR 均处于逻辑低电平, 则利用 DB[5:8] 将数据写入片上控制寄存器 在串行模式 下, 利用 CS 使能串行数据帧传输, 并逐个输出串行输出数据的最高有效位 (MSB) 0 RD 63 WR/REF EN/ DIS 8 BUSY 5 REFIN/REFOUT 6 SER/PAR/SEL 读取数据 在并行模式下, 如果 CS 和 RD 均处于逻辑低电平, 则会使能输出总线 在串行模式下,RD 线路应保持低电平 写入数据 / 使能和禁用基准电压源 当 H/S SEL 引脚处于高电平, 且 CS 和均 WR 处于逻辑低电平时, 利用 DB[5:8] 将数据写入内部控制寄存器 当 H/S SEL 引脚处于低电平时, 此引脚用来使能或禁用内部基准电压源 当 H/S SEL = 0 且 REF EN/DIS 0 时, 禁用内部基准电压源, 应将外部基准电压源施加到 REFIN/REFOUT 引脚 当 H/S SEL = 0 且 REF EN/DIS = 时, 使能内部基准电压源, 且应该对 REFIN/REFOUT 引脚进行去耦 参见 基准电压源 部分 BUSY 输出 开始转换时, 此引脚变为高电平, 并保持高电平直到转换完成, 并且转换数据被锁存到输出数据寄存器 当 BUSY 信号为高电平时, 不应在 AD7656/AD7657/AD7658 上启动新的转换 基准电压输入 / 输出 此引脚提供片上基准电压, 供 AD7656/AD7657/AD7658 外部使用 或者, 可禁用内部基准电压源, 并将外部基准电压源施加到此输入端 参见 基准电压源 部分 使能内部基准电压源时, 应利用至少一个 0 μf 去耦电容对此引脚去耦 串行 / 并行选择输入 当此引脚处于低电平时, 选择并行接口 当此引脚处于高电平时, 选择串行接口模式 在串行模式下,DB[0:8] 用作 DOUT[C:A],DB[0:] 用作 DOUT 选择且 DB7 用作 DCEN 在串行模式下,DB5 和 DB[3:] 应与 DGND 相连 7 DB0/SEL A 数据 Bit 0/ 选择 DOUT A 当 SER/PAR = 0 时, 此引脚充当三态并行数字输出引脚 当 SER/PAR = 时, 此引脚用作 SEL A, 并用来配置串行接口 如果此引脚为, 则串行接口 使用 //3 个 DOUT 输出引脚工作, 并使能 DOUT A 作为串行输出端 在串行模式下, 此引 脚应始终等于 6 DB/SEL B 数据 Bit / 选择 DOUT B 当 SER/PAR = 0 时, 此引脚充当三态并行数字输出引脚 当 - SER/PAR = 时, 此引脚用作 SEL B, 并用来配置串行接口 如果此引脚为, 则串行接口 使用 /3 个 DOUT 输出引脚工作, 并使能 DOUT B 作为串行输出端 如果此引脚为 0, 则不 使能 DOUT B 作为串行数据输出端引脚, 而仅使用一个 DOUT 输出引脚 DOUT A 不用的串行 DOUT 引脚应保持不连接 5 DB/SEL C 数据 Bit / 选择 DOUT C 当 SER/PAR = 0 时, 此引脚充当三态并行数字输出引脚 当 SER/PAR = 时, 此引脚用作 SEL C, 并用来配置串行接口 如果此引脚为, 则串行接口 使用 3 个 DOUT 输出引脚工作, 并使能 DOUT C 作为串行输出端 如果此引脚为 0, 则不使能 DOUT C 作为串行数据输出引脚 不用的串行 DOUT 引脚应保持不连接 4 DB3/DCIN C 数据 Bit 3/ 菊花链输入 C 当 SER/PAR = 0 时, 此引脚充当三态并行数字输出引脚 当 SER/PAR = 且 DCEN = 时, 此引脚充当菊花链输入 C 处于串行模式而非 DGND 菊花链模 式下时, 应将此引脚连接至 DGND 3 DB4/DCIN B 数据 Bit 4/ 菊花链输入 B 当 SER/PAR = 0 时, 此引脚充当三态并行数字输出引脚 当 SER/PAR = 且 DCEN = 时, 此引脚充当菊花链输入 B 处于串行模式而非 DGND 菊花链 模式下时, 应将此引脚连接至 DGND DB5/DCIN A 数据 Bit 5/ 菊花链输入 A 当 SER/PAR 处于低电平时, 此引脚充当三态并行数字输出引 脚 当 SER/PAR = 且 DCEN = 时, 此引脚充当菊花链输入 A 处于串行模式而非 DGND 菊花链模式下时, 应将此引脚连接至 DGND DB6/SCLK 数据 Bit 6/ 串行时钟 当 SER/PAR = 0 时, 此引脚充当三态并行数字输出引脚 当 SER/PAR = 时, 此引脚用作 SCLK 输入, 并成为串行传输的读取串行时钟 0 DB7/HBEN/DCEN 数据 Bit 7/ 高字节启用 / 菊花链启用 在并行字模式 (SER/PAR = 0 且 W/B = 0), 此引脚 用作数据 Bit 7 在并行字节模式(SER/PAR = 0 且 W/B = ), 此引脚用作 HBEN 在此 模式下且 HBEN 引脚处于逻辑高电平时, 则先在 DB[5:8] 上输出 MSB 字节数据 当 HBEN 引脚处于逻辑低电平时, 则先在 DB[5:8] 上输出 LSB 字节数据 在串行模式 (SER/PAR = ) 下, 此引脚用作 DCEN 当 DCEN 引脚处于逻辑高电平时, 则器件采用 菊花链模式工作, 同时 DB[5:3] 用作 DCIN[A:C] 处于串行模式而非 DGND 菊花链模式 下时, 应将此引脚连接至 DGND Rev. D Page of 3

13 引脚编号 引脚名称 描述 7 DB8/DOUT A 数据 Bit 8/ 串行数据输出 A 当 SER/PAR = 0 时, 此引脚充当三态并行数字输出引脚 当 SER/PAR = 且 SEL A = 时, 此引脚用作 DOUT A, 并输出串行转换数据 6 DB9/DOUT B 数据 Bit 9/ 串行数据输出 B 当 SER/PAR = 0 时, 此引脚充当三态并行数字输出引脚 当 5 DB0/DOUT C SER/PAR = 且 SEL B = 时, 此引脚用作 DOUT B, 并输出串行转换数据 在此配置下, 串行接口具有两路 DOUT 输出线 数据 Bit 0/ 串行数据输出 C 当 SER/PAR = 0 时, 此引脚充当三态并行数字输出引脚 当 4 DB SER/PAR = 且 SEL C = 时, 此引脚用作 DOUT C, 并输出串行转换数据 在此配置下, 串行接口具有三路 DOUT 输出线 数据 Bit / 数字地 当 SER/PAR = 0 时, 此引脚充当三态并行数字输出引脚 当 SER/PAR = 时, 3,, 64 DB, DB3, DB5 此引脚应与 DGND 相连 数据 Bit 数据 Bit 3 数据 Bit 5 当 SER/PAR = 0 时, 这些引脚充当三态并行数字输入 / 输出引 DB4/REFBUF EN/DIS 脚 当 CS 和 RD 处于低电平状态时, 这些引脚用来输出转换结果 当 CS 和 WR 处于低电平时, 这些引脚用来写入控制寄存器 当 SER/PAR = 时, 这些引脚应与 DGND 相连 对于 AD7657, DB5 内含前置 0 对于 AD7658,DB5 DB3 和 DB 内含前置 0 数据 Bit 4/REFBUF 使能 / 禁用 当 SER/PAR = 0 时, 此引脚充当三态数字输入 / 输出引脚 对于 8 RESET AD7657/AD7658,DB4 内含前置 0 当 SER/PAR = 时, 此引脚可用来使能或禁用内部基准电压缓冲器 复位输入 当设为逻辑高电平时, 此引脚可复位 AD7656/AD7657/AD7658 当前转换( 如有 ) 中 7 RANGE 止 内部寄存器设为全 0 在硬件模式下, 根据硬件选择引脚上的逻辑电平来配置 AD7656/AD7657/AD7658 在两种模式下, 器件应该在上电后收到一个 RESET 高脉冲 复位高电平脉冲宽度典型值为 00 ns 在 RESET 脉冲之后,AD7656/AD7657/AD7658 需由有效的 CONVST 脉冲启动转换 ;CONVST 脉冲应包括一个高至低的 CONVST 下降沿, 随后是一个低至高的 CONVST 上升沿 CONVST 信号应在 RESET 脉冲期间保持高电平 模拟输入范围选择 逻辑输入 此引脚的逻辑电平决定模拟输入通道的输入范围 当此引脚 3 在 BUSY 下降沿为逻辑 时, 下一次转换的范围为 ± V REF 当此引脚在 BUSY 下降沿为逻辑 0 时, 下一次转换的范围为 ±4 V REF 在硬件选择模式下, 在 BUSY 下降沿检查 RANGE 引脚 在软件模式 (H/S SEL = ) 下, 可将 RANGE 引脚和 DGND 相连, 然后由控制寄存器内的 RNGA RNGB 和 RNGC bits 决定输入范围 正电源电压 这是模拟输入部分的正电源电压, 并且 0 μf 和 00 nf 去耦电容应接在 引 30 脚上 负电源电压 这是模拟输入部分的负电源电压, 并且 0 μf 和 00 nf 去耦电容应接在 引 4 STBY 脚上 待机模式输入 此引脚用来让全部六个片上 ADC 进入待机模式 STBY 引脚处于高电平时表示 正常操作, 处于低电平时表示待机操作 6 H/S SEL 硬件 / 软件选择输入 逻辑输入 当 H/S SEL = 0 时,AD7656/AD7657/AD7658 在硬件选择模式下 工作, 并通过 CONVST 引脚来选择需同步采样的 ADC 对 当 H/S SEL = 时, 通过写入控制寄存器 操作来选择需同步采样的 ADC 对 在串行模式下,CONVST A 用来启动对所选 ADC 对的转换 9 W/B 字 / 字节输入 当此引脚处于逻辑低电平时, 可利用并行数据线 DB[5:0] 来传输 AD7656/AD7657/AD7658 的输入输出数据 当此引脚处于逻辑高电平时, 使能字节模式 在此模式下, 利用数据线 DB[5:8] 来传输数据,DB[7] 用作 HBEN 要获得 6-bit 转换结果, 需进行双字节读取 在串行模式下, 此引脚应与 DGND 相连 Rev. D Page 3 of 3

14 典型性能参数 (db) / = ±5V AV CC /DV CC /V DRIVE = +5V INTERNAL REFERENCE ±0V RANGE T A = 5 C f S = 50kSPS f IN = 50kHz SNR = dB SINAD = +87.5dB THD = 04.3dB SFDR = 04.3dB DNL (LSB) AV CC /DV CC /V DRIVE = +5V.0 / = ±V f SAMPLE = 50kSPS.5 V REF RANGE DNL WCP = 0.8LSB DNL WCN = 0.57LSB.0 0 0k 0k 30k 40k 50k 60k FREQUENCY (khz) CODE 图 4. AD7656 FFT,±0 V 范围 图 7. AD7656 典型 DNL (db) / = ±V AV CC /DV CC /V DRIVE = +5V INTERNAL REFERENCE ±5V RANGE T A = 5 C f S = 50kSPS f IN = 50kHz SNR = +86.5dB SINAD = dB THD = 05.dB SFDR = 98.89dB INL (LSB) AV CC /DV CC /V DRIVE = +5V / = ±V f SAMPLE = 50kSPS V REF RANGE FREQUENCY (khz) 图 5. AD7656 FFT,±5 V 范围 CODE 图 8. AD7657 典型 INL AV CC /DV CC /V DRIVE = +5V / = ±V f SAMPLE = 50kSPS V REF RANGE INL WCP = 0.64LSB INL WCN = 0.76LSB AV CC /DV CC /V DRIVE = +5V / = ±V INL (LSB) DNL (LSB) k 0k 30k 40k 50k 60k CODE CODE 图 6. AD7656 典型 INL 图 9. AD7657 典型 DNL Rev. D Page 4 of 3

15 INL (LSB) AV CC /DV CC /V DRIVE = +5V / = ±V f SAMPLE = 50kSPS V REF RANGE CODE THD (db) f SAMPLE = 50kSPS INTERNAL REFERENCE T A = 5 C AV CC /DV CC /V DRIVE = +5V / = ±5.5V ±5V RANGE AV CC /DV CC / V DRIVE = +4.75V / = ±0V ±0V RANGE AV CC /DV CC / V DRIVE = +5.5V / = ±6.5V ±0V RANGE AV CC /DV CC / V DRIVE = +5V / = ±V ±5V RANGE ANALOG INPUT FREQUENCY (khz) 图 0. AD7658 典型 INL 图 3. AD7656 THD 与输入频率的关系 DNL (LSB) AV CC /DV CC /V DRIVE = +5V / = ±V f SAMPLE = 50kSPS V REF RANGE THD (db) / = ±6.5V AV CC /DV CC /V DRIVE = +5.5V T A = 5 C INTERNAL REFERENCE ±4 V REF RANGE R SOURCE R SOURCE R SOURCE CODE 图. AD7658 典型 DNL R SOURCE R SOURCE ANALOG INPUT FREQUENCY (khz) 图 4. 在各种源阻抗下及 ±4 V REF 范围内, AD7656 THD 与输入频率的关系 SINAD (db) AV CC /DV CC / V DRIVE = V / = ±0V ±0V RANGE AV CC /DV CC /V DRIVE = +5.5V / = ±6.5V ±0V RANGE AV CC /DV CC / V DRIVE = +5V / = ±5.5V ±5V RANGE AV CC /DV CC / V DRIVE = +5V / = ±V ±5V RANGE THD (db) / = ±V AV CC /DV CC /V DRIVE = +5V T A = 5 C INTERNAL REFERENCE ± V REF RANGE R SOURCE R SOURCE R SOURCE 65 f SAMPLE = 50kSPS INTERNAL REFERENCE T A = 5 C ANALOG INPUT FREQUENCY (khz) 图. AD7656 SINAD 与输入频率的关系 R SOURCE R SOURCE ANALOG INPUT FREQUENCY (khz) 图 5. 在各种源阻抗下及 ± V REF 范围内, AD7656 THD 与输入频率的关系 Rev. D Page 5 of 3

16 REFERENCE VOLTAGE (V) AV CC /DV CC /V DRIVE = +5V / = ±V PSRR (db) f SAMPLE = 50kSPS ± V REF RANGE INTERNAL REFERENCE T A = 5 C f IN = 0kHz 00nF ON AND TEMPERATURE ( C) SUPPLY RIPPLE FREQUENCY (khz) 图 6. 基准电压与温度的关系 图 9. PSRR 与电源纹波频率的关系 AV CC /DV CC /V DRIVE = +5V / = ±V CONVERSION TIME (µs) SNR (db) ±5V RANGE, AV CC /DV CC /V DRIVE = +5V / = ±V ±0V RANGE, AV CC /DV CC /V DRIVE = +5.5V / = ±6.5V 83.5 f SAMPLE = 50kSPS f IN = 50kHz INTERNAL REFERENCE TEMPERATURE ( C) TEMPERATURE ( C) 图 7. 转换时间与温度的关系 图 0. AD7656 SNR 与温度的关系 NUMBER OF OCCURRENCES / = ±5V AV CC /DV CC /V DRIVE = +5V INTERNAL REFERENCE 89 SAMPLES THD (db) f SAMPLE = 50kSPS f IN = 50kHz INTERNAL REFERENCE ±5V RANGE, AV CC /DV CC /V DRIVE = +5V / = ±V ±0V RANGE, AV CC /DV CC /V DRIVE = +5.5V / = ±6.5V CODE TEMPERATURE ( C) 图 8. AD7656 码直方图 图. AD7656 THD 与温度的关系 Rev. D Page 6 of 3

17 0 30 CHANNEL-TO-CHANNEL ISOLATION (db) AV CC /DV CC /V DRIVE = 5V / = ±V T A = 5 C 70 INTERNAL REFERENCE ± V REF RANGE 30kHz ON SELECTED CHANNEL DYNAMIC CURRENT (ma) ±0V RANGE ±5V RANGE 5 AV CC /DV CC /V DRIVE = +5V f SAMPLE = 50kSPS FOR ±5V RANGE / = ±V FOR ±0V RANGE / = ±6.5V FREQUENCY OF INPUT NOISE (khz) TEMPERATURE ( C) 图. 通道间隔离 图 3. 动态电流与温度的关系 Rev. D Page 7 of 3

18 术语 积分非线性 ADC 传递函数与一条通过 ADC 传递函数端点的直线的最大偏差 传递函数的两个端点, 起点在低于第一个码转换的 ½ LSB 处的零电平, 终点在高于最后一个码转换的 ½ LSB 处的满量程 微分非线性 ADC 中任意两个相邻码之间所测得变化值与理想的 LSB 变化值之间的差异 双极性零代码误差中间电平转换 ( 全 到全 0) 与理想 V IN 电压, 即 AGND LSB 的偏差 双极性零代码误差匹配任何两个输入通道之间双极性零电平误差的差异 正满量程误差校正双极性零代码误差之后, 最后一个码转换 (0 0 至 0 ) 与理想值 (+4 V REF LSB, + V REF LSB) 的偏差 正满量程误差匹配任何两个输入通道之间正满量程误差的差异 负满量程误差校正双极性零代码误差之后, 第一个码转换 (0 000 至 0 00) 于理想值 ( 4 V REF + LSB, V REF + LSB) 的偏差 负满量程误差匹配任何两个输入通道之间负满量程误差的差异 采样保持器采集时间采样保持放大器在转换结束后恢复跟踪模式 采样保持器采集时间是转换结束后, 采样保持放大器输出达到最终值 ( 在 ± LSB 内 ) 所需的时间 更多详情参见 采样保持部分 信噪比 (SNR) SNR 指输出信号测量结果的均方根值与奈奎斯特频率以下除谐波和直流外的所有其它频谱成分的均方根和之比, 用分贝 (db) 表示 信纳比 (SINAD) 在 ADC 输出端测得的信号对噪声及失真比 这里的信号是基波幅值的均方根值 噪声为所有达到采样频率一半 (f S /, 直流信号除外 ) 的非基波信号之和 在数字化过程中, 这个比值的大小取决于量化级数, 量化级数越多, 量化噪声就越小 对于一个正弦波输入的理想 N-bit 转换器, 信纳比值理论值计算公式为 : 信纳比 = (6.0 N +.76) db 因此,6-bit 转换器的 SINAD 理论值为 98dB,4-bit 转换器为 db,-bit 转换器为 74dB 总谐波失真 (THD) 所有谐波均方根和与基波均方根之比 对于 AD7656/ AD7657/AD7658, 其定义为 THD(dB) = 0log 其中 : V + V 3 + V V 4 + V 5 + V V 是基波幅度的均方根值 V V 3 V 4 V 5 及 V 6 是二次到六次谐波幅度的均方根值 峰值谐波或杂散噪声 (SFDR) 在 ADC 输出频谱 ( 最高达 f S /, 直流信号除外 ) 中, 下一个最大分量的均方根值与基波均方根值的比 通常情况下, 此参数值由频谱内的最大谐波决定, 但对于谐波淹没于噪底内的 ADC, 则由噪声峰值决定 交调失真 (IMD) 当输入由两个频率分别为 fa 和 fb 的正弦波组成时, 任何非线性有源器件都会以和与差频 mfa ± nfb( 其中 m, n = 0,,, 3) 的形式产生失真产物 交调失真项的 m 和 n 都不等于 0 例如, 二阶项包括 (fa + fb) 和 (fa fb), 而三阶项包括 (fa + fb) (fa fb) (fa + fb) 和 (fa fb) AD7656/AD7657/AD7658 经过 CCIF 标准测试, 此标准使用最大输入带宽附近的两个输入频率 在此情况下, 二阶项频率通常远离最初正弦波, 而三阶项频率通常靠近输入频率 因此, 二阶和三阶项需分别指定 交调失真根据 THD 参数来计算, 它是个别失真积的均方根和与基波和的幅值均方根的比值, 用分贝 (db) 表示 电源抑制 (PSR) 电源变化会影响转换器的满量程转换, 但不会影响其线. 性 电源抑制是由于电源电压偏离标称值所引起的最大满量程转换点变化 参见 典型性能参数 部分 6 Rev. D Page 8 of 3

19 图 9 显示 AD7656/AD7657/AD7658 的电源抑制比与电源纹波频率的关系 电源抑制比定义为满量程频率 f 下 ADC 输出功率与频率 f S 下施加于 ADC 和 电源的 00 mv p-p 正弦波功率的比值 : PSRR (db) = 0 log (Pf/Pf S ) 其中 : Pf 是在频率 f 下 ADC 的输出功率 Pf S 是在频率 f S 下耦合到 和 电源的功率 通道间隔离通道间隔离衡量任意两个通道之间的串扰水平 通过向所有未选定的输入通道施加一个满量程 00 khz 正弦波信号, 并决定该信号在选定通道内随 30 khz 信号的衰减程度来测量 % FSR %FSR 采用 ADC 的完整理论范围而计算得出 Rev. D Page 9 of 3

20 工作原理 转换器详解 AD7656/AD7657/AD7658 均为高速 低功耗转换器, 允许对六个片上 ADC 进行同步采样, 其模拟输入可以接受真双极性输入信号 可通过 RANGE 引脚或 RNG bits, 选择 ±4 V REF 或 ± V REF 作为下一次转换的输入范围 每个 AD7656/AD7657/AD7658 均内置六个 SAR ADC 六个采样保持放大器 一个.5V 片上基准电压源 基准电压缓冲器和高速串行并行接口 三个 CONVST 信号全部连在一起时, 还允许对所有六个 ADC 进行同步采样 或者, 六个 ADC 可分成三对 每对都有一个相关的 CONVST 信号, 用来对每对 四个或全部六个 ADC 启动同步采样 CONVST A 用来对 V 和 V 启动同步采样,CONVST B 用来对 V3 和 V4 启动同步采样, 而 CONVST C 用来对 V5 和 V6 启动同步采样 通过脉冲激活 CONVST 输入, 可启动 AD7656/AD7657/AD7658 的转换 在 CONVST x 上升沿时, 所选 ADC 对的采样保持器进入保持模式, 并开始转换 达到 CONVST x 上升沿后,BUSY 信号变为高电平, 表示正在进行转换 AD7656/AD7657/AD7658 的转换时钟由内部产生, 这些器件的转换时间均为 3 μs BUSY 信号恢复低电平表示转换结束 在 BUSY 下降沿时, 采样保持器返回跟踪模式 数据可通过并行或串行接口从输出寄存器读取 采样保持部分 AD7656/AD7657/AD7658 的采样保持放大器可以将满量程幅度的输入正弦波分别精确地转换成 6/4/-bit 分辨率 即使 AD7656/AD7657/AD7658 以最大吞吐速率工作, 采样保持放大器的输入带宽也大于 ADC 的奈奎斯特频率 这些器件可支持高达 MHz 的输入频率 采样保持放大器在 CONVSTx 上升沿时对其各自输入进行同步采样 采样保持器的孔径时间 ( 即外部 CONVSTx 信号从采样到实际进入保持模式的延迟时间 ) 为 0 ns 无论是单个器件还是器件之间, 所有六个采样保持器的孔径时间都完全匹配 因此允许对六个以上 ADC 进行同步采样 BUSY 下降沿表示转换结束, 此时采样保持器返回跟踪模式, 采集时间开始计时 模拟输入部分 AD7656/AD7657/AD7658 可处理真双极性输入电压 RANGE 引脚的逻辑电平或控制寄存器 RNGx bit 的写入值决定下一次转换的模拟输入范围 当 RANGE 引脚或 RNGx bit 为 时, 下一次转换的模拟输入范围为 ± V REF 当 RANGE 引脚或 RNGx bit 为 0 时, 下一次转换的模拟输入范围为 ±4 V REF V C D D R 图 4. 等效模拟输入结构 图 4 显示 AD7656/AD7657/AD7658. 模拟输入结构的等效电路 二极管 D 和 D 提供模拟输入的 ESD 保护 切记, 模拟输入信号决不能超过 和 供电轨 300 mv 以上, 否则会造成这些二极管正偏, 并开始向基板内传导电流 这些二极管可以传导但不会对器件造成彻底损坏的最大电流为 0mA 图 4 中的电容 C 通常约为 4 pf, 并且主要在引脚电容上 电阻 R 是一个集总元件, 由开关 ( 即采样保持开关 ) 的导通电阻组成, 此电阻典型值约为 5 Ω 电容 C 是 ADC 采样电容, 一般为 0 pf AD7656/AD7657/AD7658 的高压模拟输入结构需要 和 双电源 这些电源必须等于或大于模拟输入范围 ( 各模拟输入范围的电源要求见表 8) AD7656/AD7657/AD7658 需要一个 4.75 V 至 5.5 V 的低压 AV CC 电源给 ADC 核心供电, 一个 4.75 V 至 5.5 V 的 DV CC 电源作为数字电源以及一个.7 V 至 5.5 V 的 V DRIVE 电源作为接口电源 在选定模拟输入范围使用最小电源电压时, 为了满足指定性能要求, 可能必须降低最大吞吐率 表 8. 最低 / 电源电压要求模拟输入基准范围 (V) 电压 (V) 满量程输入 (V) ±4 V REF +.5 ±0 ±0 ±4 V REF +3.0 ± ± ± V REF +.5 ±5 ±5 ± V REF +3.0 ±6 ±6 C 最小 / (V) Rev. D Page 0 of 3

21 ADC 传递函数 AD7656/AD7657/AD7658 的输出编码方式为二进制补码 所设计的码转换在连续 LSB 整数值的中间 ( 即 / LSB 3/ LSB) 进行 AD7656 的 LSB 大小为 FSR/65536,AD7657 为 FSR/6384, 而 AD7658 为 FSR/4096 理想的传递特性如图 5 所示 ADC CODE FSR/ + /LSB AGND LSB ANALOG INPUT +FSR/ 3/LSB 图 5. AD7656/AD7657/AD7658 传递特性 内部基准电压缓冲器可在软件模式下禁用, 通过向内部控制寄存器写入 Bit DB8 来实现 在串行模式下工作时, 可在硬件模式下将 DB4/REFBUF EN/DIS 引脚设为高电平来禁用内部基准电压缓冲器 如果内部基准电压源及其缓冲器均禁用, 可向 REFCAP 引脚施加外部缓冲基准电压源 典型连接图图 6 显示了 AD7656/AD7657/AD7658 的典型连接图 这些器件均具有八个 AV CC 电源引脚 AV CC 电源用于 AD7656/ AD7657/AD7658 转换过程 ; 因此, 应进行良好去耦 每个 AV CC 电源引脚各自均应通过一个 0 μf 钽电容和一个 00 nf 陶瓷电容进行去耦 AD7656/AD7657/AD7658 既可采用内部基准电压源工作, 也可采用外部施加的基准电压源工作 在此配置中, 器件被配置为在外部基准电压源下工作 REFIN/REFOUT 引脚通过一个 0 μf 和 00 nf 电容对进行去耦 三个内部基准电压缓冲器均已使能 REFCAP 引脚各自通过 0 μf 和 00 nf 电容对进行去耦 LSB 大小取决于所选的模拟输入范围 ( 见表 9) 基准电压源部分 RFIN/REFOUT 引脚既可以使用 AD7656/AD7657/AD7658 的.5 V 基准电压源, 也允许连接外部基准电压源, 以便提供各器件执行转换操作所需的基准电压源 AD7656/AD7657/ AD7658 可以接受.5 V 至 3 V 范围内的外部基准电压源 使用外部基准电源时, 需要禁用内部基准电压源 复位后, 这些器件默认在外部基准电压源模式下工作, 并使能基准电压缓冲器 内部基准电压源既可在硬件模式下使能, 也可在软件模式下使能 要在硬件模式下使能内部基准电压源, 则应设置 H/S SEL 引脚 = 0 且 REF EN/DIS 引脚 = 要在软件模式下使能内部基准电压源, 则应设置 H/S SEL = 并需要写入控制寄存器, 以将该寄存器的 DB9 置于 对于内部基准电压源模式,REFIN/REFOUT 引脚应通过 0 μf 和 00 nf 电容进行去耦 AD7656/AD7657/AD7658 均内置三个片内基准电压缓冲器 三对 ADC 各对应一个基准电压缓冲器 这些基准电压缓冲器要求在 REFCAPA REFCAPB 和 REFCAPC 引脚上使用外部去耦电容, 并且应在这些 REFCAP 引脚上连接 0 μf 和 00 nf 去耦电容 其中六个 AV CC 电源引脚用于给 AD7656/AD7657/AD7658 上的六个 ADC 内核供电, 也就是用于转换过程 每个模拟输入引脚周围都有一个 AV CC 电源引脚和一个 AGND 引脚 这些 AV CC 和 AGND 引脚是各个 ADC 内核的电源和地 例如, 引脚 33 为 V, 引脚 34 是 ADC 内核 的 AV CC 电源, 引脚 3 则是 ADC 内核 的 AGND 替代降低去耦要求的解决方案是将这六个 AV CC 电源引脚合并为三对, 即引脚 34 和引脚 35 引脚 40 和引脚 4, 以及引脚 46 和引脚 47 对于 AD7656, 可在每个引脚对上连接一个 00 μf 去耦电容 所有其它电源引脚和基准引脚均应通过 0 μf 去耦电容进行去耦 当 AD7657 采用这种降低去耦要求的配置时, 三个 AV CC 引脚对各自均应通过一个 33 μf 电容进行去耦 当 AD7658 采用相同配置时, 三个 AV CC 引脚对则各自均应通过一个 μf 电容进行去耦 如果 AV CC 和 DV CC 采用相同的电源, 则应在电源引脚之间放置一个氧化铁磁珠或小型 RC 滤波器 AGND 引脚连到系统 AGND 平面 DGND 引脚连到系统内部的数字接地平面 AGND 和 DGND 平面应在系统内某处相连 此连接应尽可能靠近系统内的 AD7656/AD7657/ AD7658 表 9. 各模拟输入范围的 LSB 大小范围 AD7656 AD7657 AD7658 输入范围 ±0 V ±5 V ±0 V ±5 V ±0 V ±5 V LSB 大小 mv 0.5 mv. mv 0.60 mv 4.88 mv.44mv FS 范围 0 V/ V/ V/ V/ V/ V/4096 Rev. D Page of 3

22 DV CC ANALOG SUPPLY VOLTAGE 5V + + 0µF 00nF 00nF 0µF 00nF DIGITAL SUPPLY VOLTAGE +3V OR +5V + 0µF +9.5V TO +6.5V SUPPLY.5V REF + 0µF + 0µF + 0µF SIX ANALOG INPUTS 00nF 00nF 00nF AGND AV CC DV CC DGND V DRIVE DGND AGND REFCAPA, B, C AGND REFIN/OUT AGND AD7656/AD7657/AD7658 D0 TO D5 CONVST A, B, C CS RD BUSY RESET SER/PAR H/S W/B RANGE PARALLEL INTERFACE µp/µc/dsp 9.5V TO 6.5V SUPPLY 0µF + 00nF AGND STBY V DRIVE DECOUPLING SHOWN ON THE AV CC PIN APPLIES TO EACH AV CC PIN. SEE POWER SUPPLY CONFIGURATION SECTION. 图 6. 典型连接图 V DRIVE 电源连接到为处理器供电的同一电源 V DRIVE 的电压控制输出逻辑信号的电压值 和 信号应通过一个至少 0 μf 的去耦电容进行去耦 这些电源用于 AD7656/AD7657/AD7658 模拟输入端的高压模拟输入结构 驱动模拟输入 AD7656 的驱动器放大器和模拟输入电路必须在指定的 550 ns 采集时间内, 将满量程步进输入建立至 6-bit 水平 (0.005%) 驱动器放大器所产生的噪声需尽可能低, 以保持 AD7656 的 SNR 和转换噪声性能 驱动器的 THD 性能还必须适合 AD7656 AD80 能够满足所有这些要求 AD80 需要一个 0 pf 的外部补偿电容 如果需要双通道版的 AD80, 可使用 AD80 AD860 和 AD797 也可用来驱动 AD7656/AD7657/AD7658 接口部分 AD7656/AD7657/AD7658 提供两种接口选项 : 并行接口和高速串行接口 所需接口模式可通过 SER/PAR 引脚来选择 并行接口模式可采用字 (W/B = 0) 或字节 (W/B = ) 工作模式 接口模式将在之后章节中加以介绍 并行接口 (SER/PAR = 0) AD7656/AD7657/AD7658 分别包括六个 6/4/-bit ADC 将所有三个 CONVST 引脚 (CONVST A CONVST B 和 CONVST C) 连在一起, 便可对六个 ADC 进行同步采样 AD7656/AD7657/ AD7658 需由 CONVST 脉冲启动转换 ;CONVST 脉冲应包括一个 CONVST 下降沿, 随后为一个 CONVST 上升沿 CONVSTx 上升沿对所选的 ADC 启动同步转换 AD7656/ AD7657/AD7658 均内置一个片内振荡器用于转换 转换时间 t CONV 为 3 μs BUSY 信号变为低电平表示转换结束 BUSY 信号下降沿用来让采样保持器进入跟踪模式 分别向三个 CONVST 引脚发出脉冲信号,AD7656/AD7657/AD7658 还可以实现六个 ADC 的成对同步转换 CONVST A CONVST B CONVST C 分别用于对 V 和 V V3 和 V4 V5 和 V6 启动同步转换 同步采样 ADC 的转换结果储存在输出数据寄存器内 可利用标准 CS 和 RD 信号 (W/B = 0), 通过并行数据总线读取 AD7656/AD7657/AD7658 的数据 要通过并行总线读取数据, 则应将 SER/PAR 接低电平 通过内部选通 CS 和 RD 输入信号, 可以将转换结果输出到数据总线 当 CS 和 RD 同时处于逻辑低电平时, 数据线 DB0 至 DB5 不再呈高阻抗状态 Rev. D Page of 3

23 CS 信号可永久性地接低电平, 而 RD 信号可用来获取转换结果 BUSY 信号变为低电平后即可开始读取操作 所需读取操作次数取决于同步采样的 ADC 数目 ( 见图 7) 如果 CONVST A 和 CONVST B 同时变低, 需要四次读取操作从 V V V3 及 V4 获得转换结果 如果 CONVST A 和 CONVST C 同时变低, 需要四次读取操作从 V V V5 及 V6 获得转换结果 转换结果以升序输出 对于 AD7657,DB5 和 DB4 含有两个前置 0, 而 DB[3:0] 则输出 4-bit 转换结果 对于 AD7658,DB[5:] 含有四个前置 0, 而 DB[:0] 则输出 -bit 转换结果 使用三个 CONVST 信号独立地启动三对 ADC 转换时, 应注意确保 BUSY 信号处于高电平时未在通道对上启动转换 此外, 建议不要在读取序列期间启动转换, 因为那样会影 响转换性能 要获得指定性能, 建议在转换后进行读取 对于未使用的输入通道对, 应将相应 CONVSTx 引脚与 V DRIVE 相连 如果只能使用 8-bit 总线, 则可将 AD7656/AD7657/AD7658 接口配置成字节工作模式 (W/B = ) 在此配置下,DB7/HBEN/ DCEN 引脚具有 HBEN 功能 AD7656/AD7657/AD7658 的各通道转换结果可通过两次读取操作来获取, 每次读取操作在 DB5 至 DB8 上获得 8 bit 数据 ( 见图 8) HBEN 引脚决定读取操作先获取 6 bit 转换结果的高字节还是低字节 要始终先获取 DB5 至 DB8 上的低字节, 应将 HBEN 引脚接低电平 要始终先获取 DB5 至 DB8 上的高字节, 应将 HBEN 引脚接高电平 在字节模式下, 当所有三个 CONVST 引脚一起发出脉冲来启动所有六个 ADC 的同步转换时, 需进行 次读取操作来读取六个 6/4/ bit 转换结果 在字节模式下,DB[6:0] 应保持不连接 CONVST A, CONVST B, CONVST C t CONVERT t ACQ t 0 BUSY t 4 CS t 3 t 5 RD t t 6 t 9 t 7 t 8 t QUIET DB0 TO DB5 V V V3 V4 V5 V6 图 7. 并行接口时序图 (W/B = 0) CS RD DB5 TO DB8 t 3 t 4 t 9 t 5 t 8 t 6 t 7 LOW BYTE HIGH BYTE 图 8. 并行接口 字节工作模式的读取周期 (W/B =,HBEN = 0) Rev. D Page 3 of 3

24 ADC 软件选择 H/S SEL 引脚决定需同步采样的 ADC 组合方式 当 H/S SEL 引脚处于逻辑低电平时, 需同步采样的通道组合由 CONVST A CONVST B 和 CONVST C 引脚决定 当 H/S SEL 引脚处于逻辑高电平时, 需同步采样的通道组合由控制寄存器 DB5 至控制寄存器 DB3 的内容决定 在此模式下, 必须进行控制寄存器写入操作 控制寄存器是 8 bit 只写寄存器 此寄存器的数据写入通过 CS 和 WR 引脚和 DB[5:8] 数据引脚来完成 ( 见图 9) 控制寄存器如表 0 所示 要选择需同步采样的 ADC 对, 应在写入操作期间将对应的数据线设为高电平 AD7656/AD7657/AD7658 控制寄存器允许利用 DB 至 DB0, 对每对 ADC 的范围进行独立地编程 在复 bit 后, 控制寄存器全部清 0 CONVST A 信号用来对通过控制寄存器选择的通道组合启动同步转换 在软件工作模式下 (H/S SEL = ),CONVST B 和 CONVST C 信号可接低电平 所需读取脉冲数取决于控制寄存器中设置的 ADC 数目, 以及器件采用字还是字节工作模式 转换结果以升序输出 在写入操作期间, 当 RD 处于逻辑高电平且 CS 和 WR 处于逻辑低电平时, 数据总线 Bit DB5 至 Bit DB8 为双向, 成为控制寄存器输入 当 WR 变为逻辑高电平时,DB5 至 DB8 的逻辑状态锁存到控制寄存器内 表 0. 控制寄存器 Bit 功能描述 ( 默认全 0) DB5 DB4 DB3 DB DB DB0 DB9 DB8 VC VB VA RNGC RNGB RNGA REFEN REFBUF 表. Bit 引脚名称 备注 DB5 VC 此 bit 用来选择下一次转换的模拟输 入 V5 和 V6 当其设为 时, 则在下一 个 CONVST A 上升沿对 V5 和 V6 进行同 步转换 DB4 VB 此 bit 用来选择下一次转换的模拟输 入 V3 和 V4 当其设为 时, 则在下一 个 CONVST A 上升沿对 V3 和 V4 进行同 步转换 DB3 VA 此 bit 用来选择下一次转换的模拟输 入 V 和 V 当其设为 时, 则在下一 个 CONVST A 上升沿对 V 和 V 进行同 步转换 DB RNGC 此 bit 用来选择模拟输入 V5 和 V6 的模 拟输入范围 当其设为 时, 则为下 一次转换选择 ± V REF 范围 当其设 为 0 时, 则为下一次转换选择 ±4 V REF 范围 DB RNGB 此 bit 用来选择模拟输入 V3 和 V4 的模 拟输入范围 当其设为 时, 则为下 一次转换选择 ± V REF 范围 当其设 为 0 时, 则为下一次转换选择 ±4 V REF 范围 DB0 RNGA 此 bit 用来选择模拟输入 V 和 V 的模 拟输入范围 当其设为 时, 则为下 一次转换选择 ± V REF 范围 当其设 为 0 时, 则为下一次转换选择 ±4 V REF 范围 DB9 REFEN 此 bit 用来选择内部基准电压源或外 部基准电压源 当其设为 0 时, 则选 择外部基准电压源模式 当其设为 时, 则选择内部基准电压源 DB8 REFBUF 此 bit 用来决定是使用内部基准电压 缓冲器, 还是绕过这些缓冲器 当 其设为 0 时, 使能内部基准电压缓冲 器, 同时需要对 REFCAP 引脚去耦 当其设为 时, 禁用内部基准电压缓 冲器, 同时应将缓冲后的基准电压 源施加于 REFCAP 引脚 CS WR t t t 3 t 5 DB5 TO DB8 t 4 DATA 图 9. 并行接口 - 字模式写入周期 (W/B= 0) Rev. D Page 4 of 3

25 更改模拟输入范围 (H/S SEL = 0) 利用 AD7656/AD7657/AD7658 RANGE 引脚, 用户可以选择 ± V REF 或 ±4 V REF 作为六路模拟输入的范围 当 H/S SEL 引脚处于低电平时, 在 BUSY 信号下降沿对 RANGE 引脚的逻辑状态进行采样, 以决定下一次同步转换的模拟输入范围 当 RANGE 引脚在 BUSY 信号的下降沿处于逻辑高电平时, 下一次转换的模拟输入范围为 ± V REF 当 RANGE 引脚在 BUSY 信号的下降沿处于逻辑低电平时, 下一次转换的模拟输入范围为 ±4 V REF RESET 脉冲之后, 在其后的第一个 BUSY 下降沿会更新模拟输入范围 更改模拟输入范围 (H/S SEL = ) 当 H/S SEL 引脚处于高电平时, 可通过写入控制寄存器来更改模拟输入范围 利用控制寄存器内的 DB[:0], 可以选择下一次转换的模拟输入范围 每对模拟输入都具有一个相应的范围 bit, 允许分别对每对 ADC 的模拟输入范围进行编程 当 RNGx bit 设为 时, 下一次转换的模拟输入范围为 ± V REF 当 RNGx bit 设为 0 时, 下一次转换的模拟输入范围为 ±4 V REF 串行接口 (SER/PAR = ) 通过脉冲发出 或全部 3 个 CONVST x 信号,AD7656/AD7657/ AD7658 就可利用其片上调整振荡器, 在 CONVST x 上升沿对所选通道对进行同步转换 达到 CONVST x 上升沿后,BUSY 信号变为高电平, 表明已开始转换 完成转换后 (3 μs 后 ),BUSY 信号恢复低电平 此时, 输出寄存器载入新转换结果, 并可从 AD7656/AD7657/AD7658 读取数据 要通过串行接口从这些器件回读数据,SER/PAR 应接高电平 CS 和 SCLK 信号用来传输 AD7656/AD7657/AD7658 的数据 这些器件均具有三个 DOUT 引脚 :DOUT A DOUT B 和 DOUT C 可通过单 双或三 DOUT 线路从各器件回读数据 图 30 显示六个同步转换以及采用三 DOUT 线路的读取序列 同样在图 30 中, 通过 3 个 SCLK 传输获取 AD7656/ AD7657/AD7658 的数据 ; 不过, 还可利用 CS 信号通过两个 6 SCLK 单独帧传输来获取三 DOUT 线路上的数据 当 AD7656/ AD7657/ AD7658 采用串行模式且在所有三 DOUT 线路上逐个输出转换数据时,DB0/SEL A DB/SEL B 及 DB/SEL C 应与 V DRIVE 相连 这些引脚分别用来使能 DOUT A 至 DOUT C 线路 如果需要在两路数据输出线上逐个输出转换数据, 则应使用 DOUT A 和 DOUT B 要使能 DOUT A 和 DOUT B, 则应将 DB0/SEL A 和 DB/SEL B 与 V DRIVE 相连, 而 DB/SEL C 应接低电平 执行六个同步转换且仅用双 DOUT 线路时, 可通过一个 48 SCLK 传输来获取 AD7656/AD7657/AD7658 的数据 利用双 DOUT 线路实现所有六个 ADC 同步转换的读取序列如图 3 所示 如果所有六个 ADC 进行同步转换, 且只用双 DOUT 线路来读取 AD7656/AD7657/AD7658 的结果, 则 DOUT A 逐个输出 V V 及 V5 的结果, 而 DOUT B 逐个输出 V3 V4 及 V6 的结果 也可只用单 DOUT 线路逐个输出数据, 此时应利用 DOUT A 来获取转换数据 要将 AD7656/AD7657/AD7658 配置为这种工作模式, 应将 DB0/SEL A 与 V DRIVE 相连, 而 DB/SEL B 和 DB/SEL C 应接低电平 只用单 DOUT 线路的缺点是吞吐速率下降 可利用一个 96 SCLK 传输 三个 3 SCLK 单独帧传输或六个 6 SCLK 单独帧传输来获取 AD7656/AD7657/AD7658 数据 在串行模式下,RD 信号应接低电平 串行模式下, 不用的 DOUT 线路应保持不连接 串行读取操作图 3 显示串行模式下从 AD7656/AD7657/AD7658 读取数据的时序图 SCLK 输入信号为串行接口提供时钟源 CS 信号变为低电平时, 即可从 AD7656/AD7657/AD7658 获取数据 CS 下降沿使总线脱离三态, 并逐个输出 6 bit 转换结果的 MSB ADC 输出 6 bit 转换结果 ;AD7656 的数据流由 6 bit 转换数据所组成,MSB 优先 AD7657 的数据流包括两个前置 0, 随后是 4 bit 转换数据,MSB 优先 AD7658 的数据流包括四个前置 0 和 bit 转换数据,MSB 优先 转换结果的首个数据 bit 在 CS 下降沿后的第一个 SCLK 下降沿有效 随后 5 个数据 bit 在 SCLK 信号的上升沿逐个输出 数据在 SCLK 下降沿有效 要获取各转换结果, 必须向 AD7656/AD7657/AD7658 发送 6 个时钟脉冲 图 3 显示如何利用 6 SCLK 读取操作来获取转换结果 Rev. D Page 5 of 3

26 CONVST A, CONVST B, CONVST C t CONVERT t ACQ BUSY CS SCLK 6 3 t QUIET DOUT A V V DOUT B V3 V4 DOUT C V5 图 30. 采用三路 DOUT 线的串行接口 V CS SCLK 48 DOUT A V V V5 DOUT B V3 V4 V6 图 3. 采用两路 DOUT 线的串行接口 CONVST A, CONVST B, CONVST C t t CONVERT t ACQ t 0 BUSY t ACQUISITION CONVERSION ACQUISITION CS t QUIET SCLK t 9 t 8 t 6 t 7 t 0 DOUT A, DOUT B, DOUT C DB5 DB4 DB3 DB DB0 图 3. 串行读取操作 t Rev. D Page 6 of 3

27 菊花链模式 (DCEN =,SER/PAR = ) 利用 3// 个 DOUT 引脚从 AD7656/AD7657/AD7658 回读转换数据时, 可利用 DCEN 引脚来配置这些器件以菊花链模式工作 菊花链功能允许多个 AD7656/AD7657/AD7658 器件级联在一起, 有利于减少元件数和接线 两个器件的菊花链连接示例如图 33 所示 此配置显示采用双 DOUT 线路 利用一个公共 CONVSTx 信号, 可以对 路模拟输入进行同步采样 DB5 DB4 及 DB3 数据引脚用作菊花链模式的 DCIN [A:C] 数据输入引脚 利用 CONVST 上升沿, 可以对 AD7656/AD7657/AD7658 启动转换 BUSY 信号变为低电平, 显示转换完成后, 用户就可以开始从两个器件读取数据 图 34 显示两个 AD7656/AD7657/D7658 器件在菊花链模式下工作时的串行时序图 CS 下降沿用来使能 AD7656/AD7657/AD7658 器件的串行帧传输, 使总线脱离三态, 并逐个输出第一个转换结果的 MSB 在图 34 示例中, 全部 个 ADC 通道均进行同步采样 在此示例中, 使用双 DOUT 线路来读取转换结果 CS 使能一个 96 SCLK 帧传输 在第一组 48 SCLK 期间, 转换数据从器件 传输到器件 器件 上的 DOUT A 将转换数据从 V V 和 V5 传输到器件 内的 DCIN A; 器件 上的 DOUT B 将转换结果从 V3 V4 及 V6 传输到器件 内的 DCIN B 在第一组 48 SCLK 期间, 器件 将数据传输到数字主机内 器件 上的 DOUT A 传输 V V 及 V5 的转换数据 ; 器件 上的 DOUT B 传输 V3 V4 及 V6 的转换数据 在最后一组 48 SCLK 期间, 器件 逐个输出 0, 器件 将第一组 48 SCLK 期间从器件 逐个输入的数据传输至数字主机内 如果 DCEN 在传输期间保持高电平, 则此示例还可利用六个 6 SCLK 单独帧传输来实现 图 35 显示两个 AD7656/AD7657/AD7658 器件以菊花链模式配置并采用三 DOUT 线路工作的时序 假设对所有 路输入进行同步采样, 在读取操作期间 CS 使能一个 64 SCLK 帧传输 在此传输的第一组 3 SCLK 期间, 器件 的转换结果逐个输入数字主机, 器件 的转换结果则逐个输入器件 在此传输的最后一组 3 SCLK 期间, 器件 的转换结果从器件 逐个输出, 并输入数字主机, 同时器件 逐个输出 0 待机 / 部分掉电省电工作模式每对 ADC 都可分别通过在 BUSY 下降沿之前拉低 CONVST x 信号来进入部分掉电省电模式 要使 ADC 对再次上电, 应拉高 CONVST x 信号, 命令 ADC 对上电, 并使采样保持放大器进入跟踪模式 经过从部分掉电省电模式到恢复正常工作的上电时间后,CONVST 信号应收到一个上升沿以启动有效转换 在部分掉电省电模式下, 基准电压缓冲器保持上电 当某个 ADC 对处于部分掉电省电模式时, 其它 ADC 仍可进行转换 AD7656/AD7657/AD7658 支持待机模式, 因而器件可以进入低功耗模式 ( 最大 00 μw) 拉低逻辑输入 STBY 即可进入待机模式, 拉高 STBY 则再次上电, 恢复正常操作 处于待机模式时, 输出数据缓冲器仍会继续工作, 用户可继续获取器件的转换结果 利用此待机功能, 能够降低 AD7656/AD7657/AD7658 以低吞吐速率工作时的平均功耗 器件可在 BUSY 变电平, 各转换结束时进入待机模式, 并可在下一次转换前再次脱离待机模式 脱离待机模式的时间称为唤醒时间 唤醒时间限制 AD7656/AD7657/AD7658 在转换之间掉电时的最大吞吐率 参见 技术规格 部分 Rev. D Page 7 of 3

28 CONVERT CONVST DOUT A DCIN A CONVST DOUT A DIGITAL HOST DATA IN DOUT B DCIN B DOUT B DATA IN AD7656/AD7657/AD7658 AD7656/AD7657/AD7658 SCLK CS SCLK CS CS DCEN = 0 DEVICE DCEN = DEVICE SCLK 图 33. 菊花链配置 CONVST A, CONVST B, CONVST C BUSY CS SCLK DEVICE, DOUT A MSB V LSB V MSB V LSB V MSB V5 LSB V5 MSB V LSB V MSB V LSB V5 DEVICE, DOUT B MSB V3 LSB V3 MSB V4 LSB V4 MSB V6 LSB V6 MSB V3 LSB V3 MSB V4 LSB V6 DEVICE, DOUT A MSB V LSB V MSB V LSB V MSB V5 LSB V5 DEVICE, DOUT B MSB V3 LSB V3 MSB V4 LSB V4 MSB V6 LSB V6 图 34. 采用两路 DOUT 线的菊花链串行接口时序 CONVST A, CONVST B, CONVST C BUSY CS SCLK DEVICE, DOUT A MSB V LSB V MSB V LSB V MSB V LSB V MSB V LSB V DEVICE, DOUT B MSB V3 LSB V3 MSB V4 LSB V4 MSB V3 LSB V3 MSB V4 LSB V4 DEVICE, DOUT C MSB V5 LSB V5 MSB V6 LSB V6 MSB V5 LSB V5 MSB V6 LSB V6 DEVICE, DOUT A MSB V LSB V MSB V LSB V DEVICE, DOUT B MSB V3 LSB V3 MSB V4 LSB V4 DEVICE, DOUT C MSB V5 LSB V5 MSB V6 LSB V6 图 35. 采用三路 DOUT 线的菊花链串行接口时序 Rev. D Page 8 of 3

29 应用须知布局 AD7656/AD7657/AD7658 所在的印刷电路板应采用模拟部分与数字部分分离设计, 并限制在电路板的一定区域内 至少应使用一个接地层 数字和模拟部分可以共用或分割使用接地层 在使用分割的地层时, 数字地和模拟地应单点连接 单点连接点最好在 AD7656/AD7657/AD7658 正下方或尽可能靠近各个器件 如果 AD7656/AD7657/AD7658 系统内有多个器件要求模数接地, 仍应坚持单点接地, 把接地点放置在尽可能靠近 AD7656/AD7657/AD7658 的一个星型接地点 确保每个接地引脚与地层的良好连接 避免多个接地引脚共用一个到地层的连接的情况 每个接地引脚应使用单个过孔或多个过孔接入接地层 应避免在器件下方布设数字线路, 否则会将噪声耦合至芯片 应允许模拟接地层布设在 AD7656/AD7657/AD7658 下方, 以避免噪声耦合 如 CONVST 或时钟等快速切换信号要使用数字地加以屏蔽, 以免将噪声辐射到电路板的其他部分, 而且快速切换信号绝不能靠近模拟信号路径 应避免数字信号与模拟信号交叠 电路板邻近层上的走线应彼此垂直, 以减小电路板的馈通效应 AV CC DV CC V DRIVE 及 引脚的电源线路应采用尽可能宽的走线, 以提供低阻抗路径, 并减小电源线路上的毛刺噪声效应 应在电源引脚和电路板的电源走线之间建立良好连接 ; 这包括每个电源引脚应使用单个过孔或多个过孔连接至电源走线和电源层 低 ESR 钽电容(Farnell 97-30) 应在 AV CC 电源进入电路板处放置一个大型去耦钽电容 替代降低去耦要求的配置如 典型连接图 部分所述 这种去耦配置将 AV CC 电源引脚合并成对并允许电源对之间共享去耦电容 六个 AV CC 内核电源引脚合并为三对, 即引脚 34 和引脚 35 引脚 40 和引脚 4, 以及引脚 46 和引脚 47 将每对中的电源引脚连在一起 ; 这些引脚在 AD7656/ AD7657/AD7658 引脚配置上的位置可轻松做到这点 对于 AD7656, 应通过一个 00 μf 电容对每对电源引脚去耦 ; 对于 AD7657, 应通过一个 33 μf 电容去耦 ; 对于 AD7658, 则应通过一个 μf 电容去耦 对于这种最低去耦配置, 所有其它电源引脚和基准引脚均应通过 0 μf 去耦电容进行去耦 电源配置如 绝对最大额定值 部分所述, 向器件施加 AD7656/AD7657/ AD7658 电源之后, 才可向 AD7656/AD7657/AD7658 施加模拟输入 不过, 如果系统模拟信号调理电路电源不同于 AD7656/AD7657/AD7658 的 和 电源, 或者在建立 AD7656/AD7657/AD7658 电源之前就施加模拟输入, 那么建议将一个模拟输入串联电阻和肖特基二极管与 和 电源串联, 如图 36 所示 如果在 和 之前向 AD7656/ AD7657/AD7658 施加 AV CC, 那么也应采用这种配置 良好的去耦也很重要, 以便降低 AD7656/AD7657/AD7658 的电源阻抗, 并减少电源尖峰幅度 所有电源引脚 AV CC DV CC 和 V DRIVE 上都应连接典型值为 00 nf 的去耦陶瓷电容 这些去耦电容应靠近 ( 理想情况是紧靠 ) 这些引脚及其对应接地引脚放置 此外, 每个电源引脚上都应 ANALOG INPUTS 40Ω V V V3 V4 V5 V6 AD7656/ AD7657/ AD7658 放置低 ESR 0 μf 电容 应避免引脚共用这些电容 应使用 大过孔将这些电容与电源和接地层相连 过孔和电容焊盘之间应使用较宽 较短的走线, 或使过孔紧邻电容焊盘, 图 36. 电源配置 以便最大程度地降低寄生电感 对于 AV CC 去耦, 推荐的去 耦电容为 00 nf 低 ESR 陶瓷电容 (Farnell ) 和 0 μf Rev. D Page 9 of 3

30 外形尺寸 MAX SQ PIN SEATING PLANE VIEW A ROTATED 90 CCW COPLANARITY 6 7 VIEW A 0.50 BSC LEAD PITCH TOP VIEW (PINS DOWN) COMPLIANT TO JEDEC STANDARDS MS-06-BCD 图 引脚薄型四方扁平封装 [LQFP] (ST-64-) 图示尺寸单 bit:mm SQ 9.80 订购指南, 型号 温度范围 封装描述 封装选项 AD7656BSTZ 40 C 至 +85 C 64 引脚薄型四方扁平封装 [LQFP] ST-64- AD7656BSTZ-REEL 40 C 至 +85 C 64 引脚薄型四方扁平封装 [LQFP] ST-64- AD7656YSTZ 40 C 至 +5 C 64 引脚薄型四方扁平封装 [LQFP] ST-64- AD7656YSTZ-REEL 40 C 至 +5 C 64 引脚薄型四方扁平封装 [LQFP] ST-64- AD7657BSTZ 40 C 至 +85 C 64 引脚薄型四方扁平封装 [LQFP] ST-64- AD7657BSTZ-REEL 40 C 至 +85 C 64 引脚薄型四方扁平封装 [LQFP] ST-64- AD7657YSTZ 40 C 至 +5 C 64 引脚薄型四方扁平封装 [LQFP] ST-64- AD7657YSTZ-REEL 40 C 至 +5 C 64 引脚薄型四方扁平封装 [LQFP] ST-64- AD7658BSTZ 40 C 至 +85 C 64 引脚薄型四方扁平封装 [LQFP] ST-64- AD7658BSTZ-REEL 40 C 至 +85 C 64 引脚薄型四方扁平封装 [LQFP] ST-64- AD7658YSTZ 40 C 至 +5 C 64 引脚薄型四方扁平封装 [LQFP] ST-64- AD7658YSTZ-REEL 40 C 至 +5 C 64 引脚薄型四方扁平封装 [LQFP] ST-64- EVAL-AD7656CBZ EVAL-AD7657CBZ EVAL-AD7658CBZ EVAL-CONTROL BRDZ 评估板评估板评估板控制板 Z = 符合 RoHS 标准的器件 EVAL-CONTROL BRDZ 是个完整单元, 允许 PC 对所有带 CB 标志后缀的 ADI 评估板进行控制并与之通信 要订购完整的评估套件, 必须订购指定的 ADC 评估板 ( 如 EVAL-AD7656/AD7657/AD7658CBZ EVAL-CONTROL BRDZ) 和一个 V 变压器 更多详情请见相关评估板的技术笔记 A Rev. D Page 30 of 3

31 注释 Rev. D Page 3 of 3

32 注释 Analog Devices, Inc. All rights reserved. Trademarks and registered trademarks are the property of their respective owners. D0500sc-0-3/(D) Rev. D Page 3 of 3

AD87/AD88 目 录 技 术 规 格... 3 绝 对 最 大 额 定 值... 6 最 大 功 耗... 6 ESD 警 告... 6 典 型 工 作 特 性... 8 工 作 原 理... 7 输 入 级... 7 交 越 选 择... 7 输 出 级... 8 直 流 误 差... 8

AD87/AD88 目 录 技 术 规 格... 3 绝 对 最 大 额 定 值... 6 最 大 功 耗... 6 ESD 警 告... 6 典 型 工 作 特 性... 8 工 作 原 理... 7 输 入 级... 7 交 越 选 择... 7 输 出 级... 8 直 流 误 差... 8 低 失 真 高 速 轨 到 轨 输 入 / 输 出 放 大 器 AD87/AD88 特 性 高 速 3 db 带 宽 :9 MHz (G = ) 压 摆 率 : V/μs 低 失 真 SFDR: dbc @ MHz SFDR:8 dbc @ 5 MHz 可 选 输 入 交 越 阈 值 低 噪 声 4.3 nv/ Hz.6 pa/ Hz 低 失 调 电 压 :9 µv( 最 大 值 ) 低 功 耗 :

More information

文件名

文件名 Microchip MCP3221 12 I 2 C TM 12 1LSB DNL 2LSB INL 250 A 5nA 1 A I 2 C TM I 2 C 100kHz I 2 C 400kHz 2 8 I 2 C 22.3ksps 2.7V 5.5V 40 +85 40 +125 SOT-23 Microchip MCP3221 12 A/D SOT-23 CMOS MCP3221 250 A

More information

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD 1/16 T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma (@T = 25 C, @Vdd = 3V) VDD 1.8 3.0 3.6 V (1) 0.08 0.3 µa Idd 300 450 500 µa 0.25

More information

目录 特性... 1 概述... 1 功能框图... 1 产品聚焦... 1 修订历史... 2 技术规格... 3 时序规格... 5 绝对最大额定值... 6 ESD 警告... 6 热阻... 6 引脚配置和功能描述... 7 典型工作特性... 9 术语 电路信息 转

目录 特性... 1 概述... 1 功能框图... 1 产品聚焦... 1 修订历史... 2 技术规格... 3 时序规格... 5 绝对最大额定值... 6 ESD 警告... 6 热阻... 6 引脚配置和功能描述... 7 典型工作特性... 9 术语 电路信息 转 8 通道 1 MSPS 12 位 SAR ADC, 内置温度传感器 AD7298 特性 12 位 SAR ADC 8 路单端输入通道序列器功能高吞吐速率 :1 MSPS 模拟输入范围 :0 V 至 2.5 V 12 位温度数字转换器温度传感器精度 :±1 C 温度范围 : 40 C 至 +125 C 额定电压 (V DD):2.8 V 至 3.6 V 逻辑电压 (V DRIVE):1.65 V 至

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM3316/3315 MCU 2017.06 FM3316/3315 MCU 2.21 (http://www.fmsh.com/) FM3316/3315 MCU 2.22 ... 3 1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.3.1... 6 2.3.2... 6 2.4... 9 2.5... 10 2.5.1 LQFP64... 10 2.5.2

More information

XT1861

XT1861 同步升压 DC-DC 变换器 产品概述 XT1861 系列产品是一款低功耗高效率 低纹波 工作频率高的 PFM 控制升压 DC-DC 变换器 XT1861 系列产品仅需要 3 个外部元器, 即可完成低输入的电池电压输入 用途 1~3 个干电池的电子设备 数码相机 电子词典 ED 手电筒 ED 灯 血压计 MP3 遥控玩具 无线耳机 无线鼠标键盘 医疗器械 防丢器 汽车防盗器 充电器 VCR PD 等手持电子设备

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

Microsoft Word - LR1122B-B.doc

Microsoft Word - LR1122B-B.doc UNISONIC TECHNOLOGIES CO., LTD LOW NOISE ma LDO REGULATOR DESCRIPTION The UTC is a typical LDO (linear regulator) with the features of High output voltage accuracy, low supply current, low ON-resistance,

More information

第 卷 第 期 年 月 半 导 体 学 报! " # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% )

第 卷 第 期 年 月 半 导 体 学 报!  # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% ) 第 卷 第 期 年 月!"# $%&'%' $!&'#%#$1/#1 $'! /18103 2?/03101?/18103 /3 0,?/0301.13 )*+!!! '!,!! -.&' $!'! 4%%&1)/1(7%&)03(%)%&,%*(1&0)%$-0*,%30)17*1*)0(+1(1+&1*+*),)1; &113(%44(10&.0701&0-&00*/)%;()1%-1+%&0)0*1*)%

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

RP7900 Series Regenerative Power System - Data Sheet

RP7900 Series Regenerative Power System - Data Sheet RP7900 RP7931A - RP7936A (200/208 VAC) RP7941A - RP7946A (400/480 VAC) RP7951A - RP7953A (200/208 VAC) RP7961A - RP7963A (400/480 VAC) 12V / HEV/EV 300V RP7900 Keysight RP7900 3U RP7900 950 V 800 A 10

More information

D/A DAC ( 1us) (10~20 ) DAC0832 1

D/A DAC ( 1us) (10~20 ) DAC0832 1 D/A DAC0832 8 ( 1us) (10~20 ) DAC0832 1 1. 20 DI7~DI0 ILE 8 8 DAC 8 D/A LE LE & RFB VREF IOUT2 IOUT1 RFB CS WR1 XFER WR2 & & AGND VCC DGND 2 DI7~DI0 ILE & 8 LE 8 DAC LE 8 D/A RFB V REF IOUT2 IOUT1 R FB

More information

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi SPHE8202R Design Guide V2.0 JUN, 2007 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com SPHE8202R Design Guide Important Notice

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8 Version History 日期 版本 2016/8/4 1.00 2016/9/2 1.01 2016/11/29 1.02 2017/2/16 1.03 2017/6/9 1.04 2018/6/27 1.05 2018/8/8 1.06 新增 MG196 PBGA256S 封装信息 ; 修改 SSPI 模式的配置功能 ; 增加 QN88 封装信息 2019/3/27 1.07 增加电源供电要求

More information

GH1220 Hall Switch

GH1220 Hall Switch Unipolar Hall Switch - Medium Sensitivity Product Description The DH220 is a unipolar h all switch designed in CMOS technology. The IC internally includes a voltage regulator, Hall sensor with dynamic

More information

PS1608 Series PS1608-1R0NT PS1608-1R5NT PS1608-2R2NT PS1608-3R3NT PS1608-4R7NT PS1608-6R8NT PS1608-8R2NT PS1608-0MT PS1608-0MT PS1608-0MT PS1608-0MT P

PS1608 Series PS1608-1R0NT PS1608-1R5NT PS1608-2R2NT PS1608-3R3NT PS1608-4R7NT PS1608-6R8NT PS1608-8R2NT PS1608-0MT PS1608-0MT PS1608-0MT PS1608-0MT P 风华高科 PS 系列功率电感 PS SERIES SMD POWER INDUCTORS 特征 FEATURES: 大电流 ; igh s aturation current 屏蔽结构 ; Magnetic shielded 适合于表面贴装, 适合于回流焊 SMT type, suitable for solder reflow. 应用 APPLICATIONS 1. 移动通信, 笔记本电脑 ; Portable

More information

HMC8 目录 产品特性... 应用... 功能框图... 概述... 修订历史... 技术规格...3 电气规格...3 数字控制电压... 偏置和电源电流... 绝对最大额定值...5 ESD 警告...5 引脚配置和功能描述...6 接口原理图...6 典型性能参数...7 插入损耗 回损和隔

HMC8 目录 产品特性... 应用... 功能框图... 概述... 修订历史... 技术规格...3 电气规格...3 数字控制电压... 偏置和电源电流... 绝对最大额定值...5 ESD 警告...5 引脚配置和功能描述...6 接口原理图...6 典型性能参数...7 插入损耗 回损和隔 高隔离度 硅 SPDT 非反射开关,9 khz 至 3. GHz HMC8 产品特性非反射式 5 Ω 设计正控制电压 : V/3.3 V 低插入损耗 :.68 db (8. GHz) 高隔离度 :8 db (8. GHz) 高功率处理 35 dbm( 通过路径 ) 7 dbm( 端接路径 ) 高线性度 db 压缩 (PdB):37 dbm( 典型值 ) 输入三阶交调截点 (IIP3):6 dbm(

More information

SPS-AN-HarmonicPerformance-c-0001

SPS-AN-HarmonicPerformance-c-0001 四象限放大器 PAS 5 谐波性能演示 相关标准 : IEC/EN 6-3-2 IEC/EN 6-4-7 应用领域 IEC/EN 6-3-2 标准规定了在正常的操作状态下的指定试验条件下设备输入电流可能产生的 4 次谐波以下的谐波分量的限值, 本标准适用于准备接入到公共低压供电系统的每相输入电流不大于 6A 的电气和电子设备 对负载条件下的电压源的要求在本标准的附录 A.2 中给出 附录 A.2 中的

More information

Microsoft Word - DW01

Microsoft Word - DW01 用途 / Purpose: 用于锂离子 / 锂聚合物可充电电池组 For lithium ion / lithium polymer rechargeable battery pack 特点 / Features: (1) 高电压检测电路 / Precision voltage detection circuit 过充检测电压 Overcharge detection voltage 4.3 过充恢复电压

More information

Xear 3D USB CH-IN-2 SPKs 2 6 :

Xear 3D USB CH-IN-2 SPKs 2 6 : 13 6 CH-IN-2 SPKs 2 6 : 13 2003 7 0 13 Notice The content furnished in this document is C-Media audio product knowledge for customers reference However, C-Media Inc assumes no responsibility for the consequences

More information

DCR (Max.) CKST uH/M 0.1±20% CKST uH/M 0.22±20% CKST uH/M 0.47±20% CKST uH/M 0

DCR (Max.) CKST uH/M 0.1±20% CKST uH/M 0.22±20% CKST uH/M 0.47±20% CKST uH/M 0 B E FEATURES 特性 1.Shielded construction 屏蔽罩结构 2.High current rating up to DC 65Amp 高电流范围可到 64A 3.High frequency rang up to 5MHz 宽频范围可到 5MHz 4.Very low DC resistance 低值电流 5.Low noise 低损耗 6.ROHS compliant

More information

18 位 1.5 LSB INL 250 ksps PulSAR 差分 ADC, 采用 MSOP 或 QFN 封装 AD7691 产品特性 18 位分辨率 无失码吞吐速率 :250 ksps 积分非线性 (INL): 典型值 ±0.75 LSB, 最大值 ±1.5 LSB(FSR 的 ±6 ppm)

18 位 1.5 LSB INL 250 ksps PulSAR 差分 ADC, 采用 MSOP 或 QFN 封装 AD7691 产品特性 18 位分辨率 无失码吞吐速率 :250 ksps 积分非线性 (INL): 典型值 ±0.75 LSB, 最大值 ±1.5 LSB(FSR 的 ±6 ppm) 8 位.5 LSB INL 25 ksps PulSAR 差分 ADC, 采用 MSOP 或 QFN 封装 产品特性 8 位分辨率 无失码吞吐速率 :25 ksps 积分非线性 (INL): 典型值 ±.75 LSB, 最大值 ±.5 LSB(FSR 的 ±6 ppm) 动态范围 :2 db( 典型值,25 ksps) 过采样动态范围 :25 db ( ksps) 无噪声分辨率 :2 位 ( ksps)

More information

种类 标准型 (UL 规格 CSA 规格认证型 ) 极数机能接点构成 型号 线圈额定电压 型号 线圈额定电压 最小包装单位 单稳型 a 接点 a 接点 ( 高容量型 ) -4P-US -74P-US DC DC P-FD-US -74P-FD-US DC DC5

种类 标准型 (UL 规格 CSA 规格认证型 ) 极数机能接点构成 型号 线圈额定电压 型号 线圈额定电压 最小包装单位 单稳型 a 接点 a 接点 ( 高容量型 ) -4P-US -74P-US DC DC P-FD-US -74P-FD-US DC DC5 a5a 8Aab 2a 2b5A 98mW -4P-US -74P-US AC3,000VkV UL508 CSA SEV FD AgSnln + 2 RoHS - - - - - - a( ) ab(2 ) 2 2a(2 ) 0 2b(2 ) U ( -4 K 2 ( -4 2 2 7 4 7 P AgCd FD AgSnIn DC ND 77 US UL CSA U P -98 种类 标准型 (UL

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

AD89/AD83/AD84 目 录 技 术 规 格...3 采 用 ±5 V 电 源 时 的 技 术 规 格...3 采 用 +5 V 电 源 时 的 技 术 规 格...4 采 用 +3 V 电 源 时 的 技 术 规 格...5 绝 对 最 大 额 定 值...6 最 大 功 耗...6 典

AD89/AD83/AD84 目 录 技 术 规 格...3 采 用 ±5 V 电 源 时 的 技 术 规 格...3 采 用 +5 V 电 源 时 的 技 术 规 格...4 采 用 +3 V 电 源 时 的 技 术 规 格...5 绝 对 最 大 额 定 值...6 最 大 功 耗...6 典 特 性 低 功 耗 每 个 放 大 器 的 电 源 电 流 :.3 ma 高 速 -3 db 带 宽 :5 MHz (G = +) 压 摆 率 :6 V/μs.% 建 立 时 间 :8 ns 轨 到 轨 输 入 和 输 出 输 入 超 过 供 电 轨 mv 不 会 反 相 宽 电 源 电 压 范 围 :.7V 至 V 失 调 电 压 :6 mv( 最 大 值 ) 低 输 入 偏 置 电 流 +.7

More information

深圳明和科技

深圳明和科技 500mA 同步降压 DC/DC 转化器 ME3101 系列 描述 : ME3101 是一款同步整流降压型 DC/DC 内置 0.6ΩPMOS 驱动管和 0.7ΩNMOS 开关管 兼容陶瓷电容, 外部只需一只电感和两只电容, 可高效率的输出 500mA 内置振荡器电路, 振荡频率可达 1.2MHZ ME3101 为 PFM/PWM 型自动开关控制模式, 在满载时也能快速响应, 达到纹波小, 效率高的效果

More information

AN-80 各单通道 ADC 采用先进的 CMOS 工艺制造, 提供 引脚 LFCSP 封装, 额定温度范围为 0ºC 至 +8ºC 工业温度范围 设计和布局考虑因素对于布局设计师, 引脚排列间的唯一差异是数据输出位的分配 所有双通道转换器中, 引脚 和引脚 8 分别为 A 和 B 的 MSB, 该

AN-80 各单通道 ADC 采用先进的 CMOS 工艺制造, 提供 引脚 LFCSP 封装, 额定温度范围为 0ºC 至 +8ºC 工业温度范围 设计和布局考虑因素对于布局设计师, 引脚排列间的唯一差异是数据输出位的分配 所有双通道转换器中, 引脚 和引脚 8 分别为 A 和 B 的 MSB, 该 AN-80 应用笔记 One Technology Way P.O. Box Norwood, MA 00- Tel: 8/-00 Fax: 8/- www.analog.com 利用引脚兼容高速 ADC 简化设计任务 作者 :Robert M. Clarke 简介选择 ADC 可能是系统设计师最棘手的问题 转换器分辨率和采样速率决定系统性能 然而, 设计通常是基于一系列无法验证的假设, 除非原型系统经过测试

More information

换向阀 线圈系列 CO1 产品手册

换向阀 线圈系列 CO1 产品手册 系列 CO1 产品手册 2 Rexroth Pneumatics 系列 CO1 线圈宽度 30 mm A 型 3 线圈宽度 22 mm B 型 5 线圈宽度 15 mm 类型 C, 线圈组 7 线圈宽度 15 mm M8, 线圈组 9 补充性产品, 系列 CO1 线圈 online Rexroth Pneumatics 3 线圈宽度 30 mm A 型 标准化电路接口 EN 175301-803,

More information

器之 间 向一致时为正 相反时则为负 ③大量电荷的定向移动形成电 流 单个电荷的定向移动同样形成电流 3 电势与电势差 1 陈述概念 电场中某点处 电荷的电势能 E p 与电荷量 q Ep 的比值叫做该点处的电势 表达式为 V 电场中两点之间的 q 电势之差叫做电势差 表达式为 UAB V A VB 2 理解概念 电势差是电场中任意两点之间的电势之差 与参考点的选择无关 电势是反映电场能的性质的物理量

More information

CD4066中文资料:CD4066是四双向模拟开关,主要用作模拟或数字信号的多路传输。引出端排列与CC4016一致,但具有比较低的导通阻抗。另外,导通阻抗在整个输入信号范围内基本上变。CD4066由四个相互独立的双向开关组成,每个开关有一个控制信号,开关中的p和n器件在控制信号作用下同时开关。这种结

CD4066中文资料:CD4066是四双向模拟开关,主要用作模拟或数字信号的多路传输。引出端排列与CC4016一致,但具有比较低的导通阻抗。另外,导通阻抗在整个输入信号范围内基本上变。CD4066由四个相互独立的双向开关组成,每个开关有一个控制信号,开关中的p和n器件在控制信号作用下同时开关。这种结 CD466 中文资料 CD466 的引脚功能下图所示 每个封装内部有 4 个独立的模拟开关 每个模拟开关有输入 输出 控制三个端子 其中输入端和输出端可互换 当控制端加高电平时 开关导通 ; 当控制端加低电平时开关截止 模拟开关导通时 导通电阻为几十欧姆 ; 模拟开关截止时 呈现很高的阻抗 可以看成为开路 模拟开关可传输数字信号和模拟信号 可传输的模拟信号的上限频率为 4 各开关间的串扰很小 典型值为

More information

Electrical and Optical Clock Data Recovery Solutions - Data Sheet

Electrical and Optical Clock Data Recovery Solutions - Data Sheet 32 GBd N1076A 32 GBd N1077A / 64 GBd N1076B 64 GBd N1078A / 64 GBd NRZ PAM4 O/E < 100 fs RMS JSA PLL ...3...4...4...5 /...6...8...11 N1076A...12 N1076B DCA-M...13 N1077A...15 N1078A DCA-M...17...21...

More information

供充放一体电源及充电电源手册_0_.doc

供充放一体电源及充电电源手册_0_.doc 2010. 05 供充放一体电源及充电电源 适用于铅酸电池 锂电池 镍氢电池 实现不间断供电 采用自动均 / 浮充 恒流 / 恒压 零电流 -ΔV 检测 / 涓充 / 滴充技术 提供各种保护 显示各种工作状态 目录 SCD 供充放一体电源 ( 锂电池系列 )-----------1 SCD 供充放一体电源 ( 铅酸电池系列 )----------3 C 充电电源 ( 镍氢电池系列 )-------------------------5

More information

ºÎÓ±

ºÎÓ± FT838D 5V2A 设计报告 Description Symbol Min Type Max Unit Comment Input Voltage Vin 90 / 264 Vac Input Frequency Fline 47 50/60 63 Hz No-load Input Power (@230Vac) Active Mode Efficiency Pst / / 150 mw η /

More information

ESD.xls

ESD.xls Transient Suppressor Reverse Reverse ESD Capacitance Stand-off Beakdown Package Contact/Air Channel Circuit Diagram Pin Configuration Remark CMTLCP020CR35BFE CMTLDF02CR35AFE CMTLDF02CR50BLE CSP020 (pf)

More information

数字带通 带阻 高通滤波器的设计 把一个归一化原型模拟低通滤波器变换成另一个所需类型的模拟滤波器, 再将其数字化 直接从模拟滤波器通过一定的频率变换关系完成所需类型数字滤波器的设计 先设计低通型的数字滤波器, 再用数字频率变化方法将其转换成所需类型数字滤波器

数字带通 带阻 高通滤波器的设计 把一个归一化原型模拟低通滤波器变换成另一个所需类型的模拟滤波器, 再将其数字化 直接从模拟滤波器通过一定的频率变换关系完成所需类型数字滤波器的设计 先设计低通型的数字滤波器, 再用数字频率变化方法将其转换成所需类型数字滤波器 数字带通 带阻 高通滤波器的设计 把一个归一化原型模拟低通滤波器变换成另一个所需类型的模拟滤波器, 再将其数字化 直接从模拟滤波器通过一定的频率变换关系完成所需类型数字滤波器的设计 先设计低通型的数字滤波器, 再用数字频率变化方法将其转换成所需类型数字滤波器 模拟原型方法 : 模拟低通 - 模拟带通 H ( j) H ( j) 3 3 3 模拟原型方法 : 模拟低通 - 模拟带通 H ( j) 模拟低通

More information

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual Subject LD5515 Demo Board Model Name (5V/1.5A) Key Features Built-In Pump Express TM Operation Flyback topology with PSR Control Constant Voltage Constant Current High Efficiency with QR Operation (Meet

More information

FPGAs in Next Generation Wireless Networks WPChinese

FPGAs in Next Generation Wireless Networks WPChinese FPGA 2010 3 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 FPGAs in Next Generation Wireless Networks GSM GSM-EDGE 384kbps CDMA2000

More information

A20_banana_v12_141107

A20_banana_v12_141107 hrsday, gst, of heet ate: ev ocment mber ize itle anana ro.,, lace & close to I I I I I I I I M M M M Q Q Q Q Q Q Q Q Q Q Y Q Q Q Q Q Y Q Q Q Q Q Q Q Q M Q J Q Q Q Q Q Q M Q Q Y Q Q# Q Q# Q Q# Q J Q# QM

More information

MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C

MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C MSO MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C 03 Keysight MSO MSO MSO DSO holdoff infinite-persistence / de-skew MSO 1 MSO MSO MSO MSO MCU DSP 1

More information

×××芯片用户手册

×××芯片用户手册 用户手册 通讯地址 : 深圳市南山区蛇口南海大海 1079 号花园城数码大厦 A 座 9 楼邮政编码 :518057 公司电话 :+(86 755)86169257 传真 :+(86 755)86169057 公司网站 :www.chipsea.com 第 1 页, 共 13 页 历史修改记录 历史修改记录 时间 记录 版本号 2012-12-19 更换新 LOGO, 重新发布 1.0 第 2 页,

More information

行业

行业 PCL-1800 PCL-1800 1.1...2 1.1.1 1K FIFO...2 1.1.2...2 1.1.3 16...3 1.1.4...3 1.1.5...3 1.1.6...3 1.2...3 1.3...4 1.4...5 2.1...5 2.2...6 2.2.1...6 2.2.2...6 2.2.3 D/A...7 2.2.4...7 2.2.5 TRIG0 GATE0...8

More information

Microsoft Word - HTL7G06S009P_V2.3_CH.doc

Microsoft Word - HTL7G06S009P_V2.3_CH.doc Document Number: HTL7G6S9P Product Data Sheet Rev. 2.3, 1/17 LDMOS 射频功率晶体管 HTL7G6S9P 1. 产品描述 HTL7G6S9P 是一款为 VHF/UHF 频段射频功率放大器而设计的 LDMOS 射频功率晶体管 器件内部集成静电保护电路 1-6MHz, 8W, 7.2V WIDE BAND RF POWER LDMOS TRANSISTOR

More information

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi MICROCHIP EVM Board : APP001 1-1. APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pin 16 I/O Extension Interface 1-2. APP001 Block_A Block_B

More information

untitled

untitled 0755-82134672 Macroblock MBI6655 1 LED Small Outline Transistor 1A 3 LED 350mA 12V97% 6~36 Hysteretic PFM 0.3Ω GSB: SOT-89-5L (Start-Up) (OCP) (TP) LED Small Outline Package 5 MBI6655 LED / 5 LED MBI6655

More information

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family small, speedy, safe Eextremely modular Up to 64 modules per bus de Quick reaction time: up to 20 µs A new Member of the Cube Family Murrelektronik s modular I/O system expands the field-tested Cube family

More information

DATASHEET SEARCH SITE ==

DATASHEET SEARCH SITE == 特性描述 是应用于电子秤低频测量的单通道模拟前端 该器件可以接受直接来自传感器的低电平的输入信号, 然后产生串行的数字输出 利用 Σ-Δ 转换技术实现了 24 位无丢失代码性能 通过选定的输入信号被送到一个基于模拟调制器的增益可编程专用前端 片内数字滤波器处理调制器的输出信号, 通过通信口发命令可调节滤波器的截止点和输出更新速率, 从而对数字滤波器的第一个陷波进行编程 只需 2.6~5.5V 单电源供电

More information

Microsoft Word - GZ series of Multilayer Chip Ferrite Bead.doc

Microsoft Word - GZ series of Multilayer Chip Ferrite Bead.doc 叠层片式铁氧体磁珠 G 系列 Multilayer Chip Ferrite Bead G Series Operating Temp. : - ~+12 特征 内部印有银电极的叠层结构, 铁氧体屏蔽无串扰 在较宽的频率范围 ( 几十 MHz 至几百 MHz) 内具有优良的 EMI 抑制效果 三种铁氧体材料 范围宽, 适用于不同的电子线路 用途 电脑及周边设备,DVD 照相机 LCD TV 等音视频设备,

More information

ETA104 数据采集模块数据手册

ETA104 数据采集模块数据手册 Emtronix ETA104 数据采集模块数据手册 1. 概述 1.1 ETA104 介绍 ETA104 模拟数据采集模块 ( 下文简称 :ETA104 模块 ) 是基于英创公司 SBC840 工控应用底板 符合 DM5028 标准应用扩展模块 ETA104 模块上的模数转换功能, 采用 ADS7871 模拟信号转换芯片, 占用 ESMARC 工控主板的 SPI 总线进行通讯, 最高可实现 48K

More information

AT9280CH

AT9280CH 芯片描述 是单芯片 单电源 8bit 32MSPS 模数转换器 ; 内部集成了采样保持放大器和电源基准源 使用多级差分流水线架构保证了 32MSPS 数据转换数率下全温度范围内无失码 的输入适合图像视频和通信系统应用 ; 用户可以根据实际需要选择单端输入或者差分输入, 也可根据需要选择输入范围和消除失调 内部集成的采样保持放大器, 既适合复用系统又适合开关全波电压范围的连续信道, 采样单信道输入频率可以超过奈奎斯特频率

More information

Unidrive M400 PLC 0.25 kw kw (0.33 hp hp) 100 V 200 V 400 V 575 V 690 V

Unidrive M400 PLC 0.25 kw kw (0.33 hp hp) 100 V 200 V 400 V 575 V 690 V Unidrive M400 PLC 0.25 kw - 110 kw (0.33 hp - 150 hp) 100 V 200 V 400 V 575 V 690 V Unidrive M Unidrive M Unidrive M400 I/O Commander SK Unidrive M www.unidrivem.com Unidrive M Discover Unidrive M App

More information

目录 特性... 应用... 概述... 修订历史... 2 功能框图... 3 规格... 4 交流工作特性... 6 时序特性... 6 时序图... 7 绝对最大额定值... 9 ESD 警告... 9 引脚配置和功能描述... 典型工作特性... 术语...8 工作原理...2 架构...2

目录 特性... 应用... 概述... 修订历史... 2 功能框图... 3 规格... 4 交流工作特性... 6 时序特性... 6 时序图... 7 绝对最大额定值... 9 ESD 警告... 9 引脚配置和功能描述... 典型工作特性... 术语...8 工作原理...2 架构...2 完整的四通道 2/4/6 位 串行输入 单极性 / 双极性 电压输出 DAC AD5724R/AD5734R/AD5754R 特性完整的四通道 2/4/6 位 DAC 采用单电源 / 双电源供电软件可编程输出范围 +5 V + V +.8 V ±5 V ± V ±.8 V 积分非线性 (INL) 误差 :±6 LSB( 最大值 ); 微分非线性 (DNL) 误差 :± LSB( 最大值 ) 总不可调整误差

More information

PCM-3386用户手册.doc

PCM-3386用户手册.doc PCM-3386 BBPC-4x86 10/100M PC/104 (Lanry technology Co. Ltd. Zhuhai) 38 1012836 (Address: Room 1012,Linhai Building,No. 38,west of Shihua Road,Zhuhai City,Guangdong Province,China) (post code)519015 (phone)0756-3366659

More information

MC33035 MC33035 MC33035 MOSFET MC33035 MC º/300º 120º/240º MOSFET 60º/300º 120º/240º MOSFET MC33035M C SOP24 MC33035P -40

MC33035 MC33035 MC33035 MOSFET MC33035 MC º/300º 120º/240º MOSFET 60º/300º 120º/240º MOSFET MC33035M C SOP24 MC33035P -40 MOSFET 60º/300º 120º/240º 10 30 6.25 3 MOSFET 60º/300º 120º/240º MOSFET M -40 +85 C SOP24 P -40 +85 C DIP24!" #$% : 5 10 1-702, :210037 (TEL.): (86)-25-8562 8245 8562 8265 (FAX): (86)-25-8562 8352 1 /

More information

untitled

untitled Macroblock 6~36 1A - (PWM) - (PWM) 1,024 3 LED 350mA12V 97% Hysteretic PFM 0.3Ω (UVLO)(Start-Up)(OCP) (TP) LED 6 PCB Mini Small Outline Package GMS : MSOP-8L-118mil Small Outline Package GD: SOP8L-150-1.27

More information

untitled

untitled 975 AirMeter TM Test Tool 用 户 手 册 August 2006 Rev. 1, 12/11(Simplified Chinese) 2006-2011 Fluke Corporation. All rights reserved. Specifications are subject to change without notice. All product names

More information

Microsoft Word - AP1515V02

Microsoft Word - AP1515V02 Document No. Rev.: V0.20 Page: 1 of 9 Revision History Rev. DRN # History Initiator Effective Date V01 V02 Initial document 黃宗文 Add second package description 葉宗榮 2014/05/15 2015/09/08 Initiator: 雷晨妤 (DCC)

More information

5991-1117CHCN.indd

5991-1117CHCN.indd 开 关 电 源 测 量 应 用 指 南 使 用 Agilent InfiniiVision 3000/4000 X 系 列 示 波 器 并 结 合 开 关 电 源 测 量 选 件 简 介 配 有 开 关 电 源 测 量 选 件 的 Agilent 3000 和 4000 X 系 列 示 波 器 能 够 提 供 一 个 快 速 且 方 便 的 方 法, 帮 助 您 分 析 开 关 电 源 的 可 靠

More information

untitled

untitled 2006-4-25 2006-4-26 2 2006-4-26 3 20 50 6 2006-4-26 4 µ 2006-4-26 5 CERN LEP/LHC 2006-4-26 6 L3 Detector 2006-4-26 7 2006-4-26 8 ATLAS Detector (A Toroidal LHC ApparatuS) 2006-4-26 9 CMS Detector 2006-4-26

More information

Microsoft Word - ML63S_8.doc

Microsoft Word - ML63S_8.doc Series DC-DC Converter Application ower Source of ortable roducts almtops ortable Cameras and Video Recorders Wireless mouse and keyboard Ordering Information Features Small number of external components:

More information

HM53XXA/B 35V,1.6μA 超低静态电流,200mA, 低压差线性稳压器 概述 HM53XX 系列是丏为功耗敏感应用研发设计的一款高输入电压 超低功耗的低压差线性稳压器 最大允许的输入电压可达 35V, 丐输出 100mA 电流时输入输出电压差仅 300mV 典型情况下, 静态电流 1.

HM53XXA/B 35V,1.6μA 超低静态电流,200mA, 低压差线性稳压器 概述 HM53XX 系列是丏为功耗敏感应用研发设计的一款高输入电压 超低功耗的低压差线性稳压器 最大允许的输入电压可达 35V, 丐输出 100mA 电流时输入输出电压差仅 300mV 典型情况下, 静态电流 1. 概述 HM53XX 系列是丏为功耗敏感应用研发设计的一款高输入电压 超低功耗的低压差线性稳压器 最大允许的输入电压可达 35V, 丐输出 100mA 电流时输入输出电压差仅 300mV 典型情况下, 静态电流 1.6μA, 具有几个固定的输出电压 1.8V,2.5V,3.0V,3.3V,3.6V,4.0V, 4.2V,5.0V IC 内部集成了短路保护和热关断功能 尽管主要为固定电压调节器而设计,

More information

1. 請 先 檢 查 包 裝 內 容 物 AC750 多 模 式 無 線 分 享 器 安 裝 指 南 安 裝 指 南 CD 光 碟 BR-6208AC 電 源 供 應 器 網 路 線 2. 將 設 備 接 上 電 源, 即 可 使 用 智 慧 型 無 線 裝 置 進 行 設 定 A. 接 上 電 源

1. 請 先 檢 查 包 裝 內 容 物 AC750 多 模 式 無 線 分 享 器 安 裝 指 南 安 裝 指 南 CD 光 碟 BR-6208AC 電 源 供 應 器 網 路 線 2. 將 設 備 接 上 電 源, 即 可 使 用 智 慧 型 無 線 裝 置 進 行 設 定 A. 接 上 電 源 1. 請 先 檢 查 包 裝 內 容 物 AC750 多 模 式 無 線 分 享 器 安 裝 指 南 安 裝 指 南 CD 光 碟 BR-6208AC 電 源 供 應 器 網 路 線 2. 將 設 備 接 上 電 源, 即 可 使 用 智 慧 型 無 線 裝 置 進 行 設 定 A. 接 上 電 源 B. 啟 用 智 慧 型 裝 置 的 無 線 Wi-Fi C. 選 擇 無 線 網 路 名 稱 "edimax.setup"

More information

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 :

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 : SA 系列 / C-Link 产品特点 引用标准 : IEC 61071 结构 : 金属化聚丙烯膜结构 封装 : 聚酯胶带, 树脂填充 电气特性 工作温度 : - 40 至 + 85 C 范围 : 15 至 500μF 额定电压 : 500 至 1100 VC 偏差 : ± 5%, ± 10% 损耗因素 : 2 10-3 @100z 20±5 C 预期寿命 : 100,000 小时 @Un, 70

More information

AD7292 目录 特性... 应用... 功能框图... 概述... 修订历史... 2 技术规格... 3 ADC 技术规格... 3 DAC 技术规格... 4 通用规格... 5 温度传感器技术规格... 5 时序规格... 6 绝对最大额定值... 7 热阻... 7 ESD 警告...

AD7292 目录 特性... 应用... 功能框图... 概述... 修订历史... 2 技术规格... 3 ADC 技术规格... 3 DAC 技术规格... 4 通用规格... 5 温度传感器技术规格... 5 时序规格... 6 绝对最大额定值... 7 热阻... 7 ESD 警告... 集成 ADC DAC 温度传感器和 GPIO 的 0 位监控和控制系统 AD7292 产品特性 0 位 SAR ADC 8 个多路复用模拟输入通道单端工作模式差分工作模式 5 V 模拟输入范围 V REF 2 V REF 或 4 V REF 输入范围输入以 A GND 或 V DD 为参考进行测量 4 个单调 0 位 5 V DAC 建立时间 :2 µs 上电复位至 0 V 吸电流与源电流 :0 ma

More information

untitled

untitled 1....... 1 2... 3 2-1. 2-2. 2-3. 2-4. 2-5. 2-6. 2-7.5V.. 2-8. 3 4 4 4 5 5 6 6 3... 7 4..... 9 4-1. 4-2. 5.... 15 5-1.... 5-2.(Current Limit).. 5-3./(Constant Voltage/ Constant Current).. 5-4.... 15 16

More information

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小由外接电阻来调整 封装图 SSOP24L-0.635-D1.40 (mm) ( SM16125ES ) 特点 16 通道恒流源输出 电流输出大小不因输出端负载电压变化而变化

More information

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC MODEL 61509/61508/61507/ 61609/61608/61607 PROGRAMMABLE AC POWER SOURCE MODEL 61509/61508/61507/ 61609/61608/61607 61509/61609: 6kVA 61508/61608: 4.5kVA 61507/61607: 3kVA : 0-175V/0-350V/Auto : DC, 15Hz-2kHz

More information

行业

行业 PCI-1711/1711L 1.1...2 1.1.1...2 1.1.2...2 1.1.3 FIFO...2 1.1.4...2 1.1.5 16 16...3 1.2...3 2.1...3 2.2...3 2.2.1... 2.2.2...8 2.3...10 2.3.1...10 2.3.2... 11 2.3.3...12 2.3.4...13 2.4.5...14 3.1...16

More information

引脚名称 QFN(4 4)-24L SSOP-24L ( 引脚间距 / 1.0) SOP-24L ( 引脚间距 1.27) 引脚描述 管脚号 管脚名称功能 QFN-24 SSOP-24/SOP-24L 1 4 LAT 数据锁存输入端 ( 低电平有效 ) 2 5 OUT0 3 6 OUT1

引脚名称 QFN(4 4)-24L SSOP-24L ( 引脚间距 / 1.0) SOP-24L ( 引脚间距 1.27) 引脚描述 管脚号 管脚名称功能 QFN-24 SSOP-24/SOP-24L 1 4 LAT 数据锁存输入端 ( 低电平有效 ) 2 5 OUT0 3 6 OUT1 D5056 带预充电管的 16 位 LED 控制器 D5056 是一款专用于大屏幕 LED 低压差 高精度带预充电管的 16 位恒流驱动芯片 内含高精度电流驱动电路 16 位移位寄存器 锁存器 温度补偿电路等 D5056 内置带预充电管, 可以解决列消隐问题 再配上我司解决行消隐的 D4973,LED 屏幕消隐问题可完美解决, 大大提高刷新率 D5056 可接受外部 CPU 编程控制信号, 分别控制

More information

ST 240MHz D/A SFDR 50MH 1MH 70dB 140MH 40HM -53dB RS-343A/RS-170 DA 2mA 26mA TTL 1.23 +5/+3.3 48LQFP 3 30mW 3 6mW -40 0 C +85 DA TTL 5 3.3 1 SYNC 2BLANK 5CMOS CMOS 48 LQFP shenzhenshuianjichengdianlu www.szsjcdl.com

More information

行业

行业 PCI-1710 1.1...2 1.1.1...2 1.1.2...2 1.1.3 FIFO( )...2 1.1.4...2 1.1.5...2 1.1.6 16 16...3 1.1.7...3 1.2...3 1.3...3 2.1...3 2.2...4 2.2.1...4 2.2.2...5 2.3...9 2.3.1...10 2.3.2... 11 2.3.3...12 2.3.4...12

More information

技术参数 Technical Data 额定电压 / 频率 Voltage/Frequency AC220V/50Hz AC110V/60Hz 功率 Power 70W 70W 空载流量 Free flowing 7.0L/M 7.0L/M 最大压力 Max. pressure 2.4Bar 2.4

技术参数 Technical Data 额定电压 / 频率 Voltage/Frequency AC220V/50Hz AC110V/60Hz 功率 Power 70W 70W 空载流量 Free flowing 7.0L/M 7.0L/M 最大压力 Max. pressure 2.4Bar 2.4 膜片式气泵 Diaphragm Pumps for Gas DA70AC 系列 (Series DA70AC) 特点 Features 无油润滑 Oil-Free 耐腐蚀性 Corrosionresistant 免维护 Maintenance Free 低噪音低振动 Low noise level&vibration 可以任何方向安装 Can be mounted in any plane 应用 Typical

More information

Microsoft Word - AN-978 _part1_.doc

Microsoft Word - AN-978 _part1_.doc AN978 MOS ( ) MOS MGD MOS MGD MGD BUCK SD P MOSFET 1. MOSFET IGBT 1 1 1 10~15V 2 3 1 MOS MGDs MOSFET IGBT 2 IR2110 1 ( MOSFET ) 2 , MOSFET "",,., 3 2 HEX-2 25ns 17ns HEXFET (V CC =15V, 9) HEX-3 HEX-4 HEX-5

More information

HXJ9005技术资料

HXJ9005技术资料 概 述 一 款 双 通 道 桥 接 的 音 频 功 率 放 大 器, 在 5V 电 源 电 压 4Ω 负 载 时, 可 提 供 3.5W 的 功 率 具 有 低 功 耗 关 断 模 式 和 过 温 保 护 功 能 在 电 路 启 动 时, 具 有 缓 冲 及 防 抖 动 功 能 此 外, 当 接 立 体 耳 机 时, 芯 片 可 以 单 终 端 工 作 模 式 驱 动 立 体 耳 机 具 有 外 部

More information

input commom-mode range) output swing (open loop gain) (GBW) ( phase margin) (gain margin)

input commom-mode range) output swing (open loop gain) (GBW) ( phase margin) (gain margin) OP AMP... 4 1. :... 4 2.... 5 2.1... 5 2.2... 6 3.... 6 3.1... 7 3.1.1... 7 3.1.2... 7 3.1.3... 7 3.1.4... 8 3.2 (Symbol)... 8 4.... 9 4.1... 9 4.1.1 Folded cascode... 9 4.1.2... 9 Fig 7... 10 4.1.3...

More information

untitled

untitled Portable Electrode B91901070 B91901133 量 ECG 路 更 量 路 performance RF 量 路 Portable Electrode 便利 量 portable electrode 路 濾 濾 行 electrode 類 FM modulation scheme ECG 類 數 RF RF demodulate 利 Elvis Labview ECG

More information

甄試報告1125.PDF

甄試報告1125.PDF LabVIEW LabVIEW Laboratory Virtual Instrument Engineering Workbench G LabVIEW DAQ LabVIEW LabVIEW LabVIEW LabVIEW ph LabVIEW DAQ LabVIEW PZT LabVIEW / =2 10-8 1 LabVIEW DAQ LabVIEW DAQ DAQ LabVIEW DAQ

More information

低功耗 mw 2.3 V 至 5.5 V 可编程波形发生器 AD9833 产品特性数字可编程频率和相位功耗 :12.65 mw(3 V 时 ) 输出频率范围 :0 MHz 至 12.5 MHz 28 位分辨率 :0.1 Hz(25 MHz 参考时钟 ) 正弦波 / 三角波 / 方波输出

低功耗 mw 2.3 V 至 5.5 V 可编程波形发生器 AD9833 产品特性数字可编程频率和相位功耗 :12.65 mw(3 V 时 ) 输出频率范围 :0 MHz 至 12.5 MHz 28 位分辨率 :0.1 Hz(25 MHz 参考时钟 ) 正弦波 / 三角波 / 方波输出 低功耗 12.65 mw 2.3 V 至 5.5 V 可编程波形发生器 产品特性数字可编程频率和相位功耗 :12.65 mw(3 V 时 ) 输出频率范围 : MHz 至 12.5 MHz 28 位分辨率 :.1 Hz(25 MHz 参考时钟 ) 正弦波 / 三角波 / 方波输出 2.3 V 至 5.5 V 电源供电无需外部元件 3 线 SPI 接口扩展温度范围 :-4 至 +15 省电选项 1 引脚

More information

第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1 ) + ; & ).& &+ 1 & / ) 5 93 & 9 *67893: + 99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!"#$%&'!"#$%&' '& ( ')*&+,& '(-./01 &

第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1 ) + ; & ).& &+ 1 & / ) 5 93 & 9 *67893: + 99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!#$%&'!#$%&' '& ( ')*&+,& '(-./01 & 第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1)+ ; &).& &+ 1&/) 593 & 9 *67893:+99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!#$%&'!#$%&''&(')*&+,& '(-./01&+ -2 3456-78&9:;'& &'

More information

ADV322/ADV323 目录特性... 1 应用... 1 功能框图... 1 概述... 1 修订历史... 2 技术规格... 3 OSD 禁用... 3 OSD 使能... 4 时序特性 ( 串行模式 )... 5 绝对最大额定值... 6 热阻... 6 功耗... 6 ESD 警告..

ADV322/ADV323 目录特性... 1 应用... 1 功能框图... 1 概述... 1 修订历史... 2 技术规格... 3 OSD 禁用... 3 OSD 使能... 4 时序特性 ( 串行模式 )... 5 绝对最大额定值... 6 热阻... 6 功耗... 6 ESD 警告.. 3 MHz 32 16 缓冲式模拟交叉点开关 ADV322/ADV323 产品特性大型 32 16 非阻塞式开关阵列 G = +1 (ADV322) 或 G = +2 (ADV323) 工作提供 32 32 引脚兼容版本 (ADV32/ADV321) +5 V 单电源,±2.5 V 双电源或 ±3.3 V 双电源 (G = +2) 开关阵列的串行编程每个输出均配备 2:1 OSD 插入多路复用器输入同步端箝位利用高阻抗输出禁用功能,

More information

目录 特性...1 功能框图...1 概述...1 产品聚焦...1 修订历史...2 技术规格...3 I 2 C 时序规格...5 绝对最大额定值...6 热阻...6 ESD 警告...6 引脚配置和功能描述...7 典型工作特性...8 术语...11 电路信息...12 转换器操作...1

目录 特性...1 功能框图...1 概述...1 产品聚焦...1 修订历史...2 技术规格...3 I 2 C 时序规格...5 绝对最大额定值...6 热阻...6 ESD 警告...6 引脚配置和功能描述...7 典型工作特性...8 术语...11 电路信息...12 转换器操作...1 内置温度传感器的 8 通道 I 2 C 12 位 SAR 型 ADC AD7291 特性 12 位 SAR ADC 8 个单端模拟输入通道模拟输入范围 :0 V 至 2.5 V 12 位温度 - 数字转换器温度传感器精度 :±1 C( 典型值 ) 通道序列器工作模式额定电压 (V DD ):2.8 V 至 3.6 V 逻辑电压 :V DRIVE = 1.65 V 至 3.6 V 2.5 V 内部基准电压源

More information

DataNet_Solution2.ai

DataNet_Solution2.ai ourtec FOURIERTECHNOLOGIES DataNet 24 / 7 创新的 监控解决方案 DataNet ZigBee DataNet ZigBee 2.4 GHz 65,000 4 20 ma, 0 1 V,, 2, NTC, J 2.4 GHz API www.fourtec.com DataNet 解决方案智能的无线数据记录网络 DataNet 6 DataNet / DataNet

More information

Microsoft Word - P SDV series.DOC

Microsoft Word - P SDV series.DOC 片式压敏电阻器 SDV 系列 Chip SDV Series Operating Temp. : -55 ~ +125 特征 SMD 结构适合高密度安装 优异的限压比, 响应时间短 (

More information

< B9E2BBFAD7DBBACFCDBCB2E1B6A8B8E52DC7E5CEFAB0E6312E706466>

< B9E2BBFAD7DBBACFCDBCB2E1B6A8B8E52DC7E5CEFAB0E6312E706466> XYR XYR XYR Tel: 00 567068 www.zolix.com.cn 99 Tel: 00 567068 www.zolix.com.cn XYR500 XYR500 XYR000 XYR0000-CH XYmm.5 0 5 z 5 mm 5050 0000 0000 mm 00 00 0000 mm 6.5 76 98 8 Kg 6 8 5 XY/μm 0/5 0XYμm 0.5

More information

Microsoft PowerPoint - IC测试培训二.ppt

Microsoft PowerPoint - IC测试培训二.ppt IC 测试培训 第二章 IC 测试实例 By 孙鹏程 29-9-8 1 2.1 LDO 基础知识 本章要点 2.2 LDO 主要参数及意义 2.3 LDO 测试方案 2.4 LDO 测试程序 2 2.1 LDO 基础知识 什么是 LDO? LDO:(low dropout regulator) 低压差线性稳压器, 其基本电路可由串联调整管 VT 取样电阻 R1 和 R2 比较放大器 A 组成 取样电压加在比较器

More information

Microsoft Word - SDI0818用户手册_V1_Agu22_08.doc

Microsoft Word - SDI0818用户手册_V1_Agu22_08.doc 24 位模数转换器 特征 : 24 位分辨率 ( 无失码 ) 19.5 位有效位 (ENBO 128 倍放大 ) 18 位不动码 内部集成 128 倍增益放大器 内部集成震荡器频率 :2.45MHz 偏差 :+/- 5% 具有很高的电源抑制比, 方便在强电源噪声环境下应用 : 10Hz 时对 50Hz 60Hz 噪声抑制 (-100dB) 输出码率 10Hz 80Hz 可选 外部输入参考电压 VREFP

More information

a) Rating and Characteristics Disk Type 05D *Rated Rated Peak Varistor Clamping Typ. cap. Series Part No. Rated Voltage Energy Rated Power Current(8 2

a) Rating and Characteristics Disk Type 05D *Rated Rated Peak Varistor Clamping Typ. cap. Series Part No. Rated Voltage Energy Rated Power Current(8 2 ZR NR Series (Radial type) Disk type general use ZR type (Low voltage) and NR type (Medium/High Voltage) are for protection of electronics and control eqiupments from surge and noise. 1. Features Superior

More information

EMI LOOPS FILTERING EMI ferrite noise suppressors

EMI LOOPS FILTERING EMI ferrite noise suppressors (HighSpeedBoardDesign) (HIGHSPEEDBOARDDESIGN) 1 1 3 1.1 3 1.1.1 3 1.1.2 vs 4 1.1.3 5 1.1.4 8 1.2 9 1.2.1 9 1.2.2 vs 1 1.3 1 1.3.1 11 1.3.1.1 11 1.3.1.2 12 1.3.1.3 12 1.3.1.4 12 1.3.1.5 12 2. 2.1 14 2.1.1

More information

发行说明, 7.0.1 版

发行说明, 7.0.1 版 发 行 说 明 Websense Web Security Websense Web Filter 7.0.1 版 本 版 本 的 新 特 点 Websense Web Security 和 Websense Web Filter 的 7.0.1 版 本 均 已 本 地 化 为 以 下 语 言 : 法 语 德 语 意 大 利 语 日 语 葡 萄 牙 语 简 体 中 文 西 班 牙 语 繁 体 中 文

More information

256 位 SPI 兼容型数字电位计 AD5160 产品特性 256 位 功能框图 V DD 端到端电阻 :5 kω 10 kω 50 kω 100 kω 紧凑型 SOT-23-8 (2.9 mm x 3 mm) 封装 SPI 兼容型接口上电预设为中间电平 CS SDI CLK SPI INTERF

256 位 SPI 兼容型数字电位计 AD5160 产品特性 256 位 功能框图 V DD 端到端电阻 :5 kω 10 kω 50 kω 100 kω 紧凑型 SOT-23-8 (2.9 mm x 3 mm) 封装 SPI 兼容型接口上电预设为中间电平 CS SDI CLK SPI INTERF 56 位 SPI 兼容型数字电位计 产品特性 56 位 功能框图 端到端电阻 :5 kω kω 5 kω kω 紧凑型 SOT-3-8 (.9 mm x 3 mm) 封装 SPI 兼容型接口上电预设为中间电平 CS SDI CLK SPI INTERFCE 单电源 :.7 V 至 5.5 V 低温度系数 :45 ppm/ C IPER REGISTER 低功耗 :I DD = 8 µ 宽工作温度范围

More information

Keysight B2900A / Keysight B2961A 6 Keysight B2962A 6 Keysight B2961A B2962A nano-voltmeter / nv/10 fa 10 µvrms 210 V/ 3 A 10.5 A GUI nv 2

Keysight B2900A / Keysight B2961A 6 Keysight B2962A 6 Keysight B2961A B2962A nano-voltmeter / nv/10 fa 10 µvrms 210 V/ 3 A 10.5 A GUI nv 2 Keysight B2961A/B2962A 6 1 /2 210 V 3 A /10.5 A 31.8W 100 nv/10 fa Keysight B2900A / Keysight B2961A 6 Keysight B2962A 6 Keysight B2961A B2962A nano-voltmeter / 6 100 nv/10 fa 10 µvrms 210 V/ 3 A 10.5

More information

02 Keysight 34460A/61A/65A/70A - Truevolt DMM Truevolt DMM 1 µa pico second Truevolt DMM 4.3 Keysight Truevolt DMM Keysight Truevolt 34460A 34461A 344

02 Keysight 34460A/61A/65A/70A - Truevolt DMM Truevolt DMM 1 µa pico second Truevolt DMM 4.3 Keysight Truevolt DMM Keysight Truevolt 34460A 34461A 344 Keysight Technologies 34460A 34461A 34465A (6 ) 34470A (7 ) Truevolt DMM 02 Keysight 34460A/61A/65A/70A - Truevolt DMM Truevolt DMM 1 µa pico second Truevolt DMM 4.3 Keysight Truevolt DMM Keysight Truevolt

More information

Microsoft Word - 部分习题参考答案.doc

Microsoft Word - 部分习题参考答案.doc 部分习题参考答案 第 1 章 1.1 (1) U ab 5 (2) U ab 5 () I 2 () I 2 1.2 (a)-10w(b)-8w,0w(c)-50w,25w 1. () P 560 W, P 50 W, P 600 W, P 20 W, P 180 W 1. I 2 m U 60 1.5 P 50 W 1.6 U 26, U 7 ac bd 1.7 U ab 11, I, I 2,

More information

Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provided by SUNPLUS TECHNOLO

Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provided by SUNPLUS TECHNOLO Car DVD New GUI IR Flow User Manual V0.1 Jan 25, 2008 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com Important Notice SUNPLUS

More information

MODEL 62000H SERIES 5KW / 10KW / 15KW 0 ~ 375A 0 ~ 1000V/2000V( ) : 200/220Vac, 380/400Vac, 440/480Vac 3U/15KW / & 150KW / ( 10 ms ~ 99 hours)

MODEL 62000H SERIES 5KW / 10KW / 15KW 0 ~ 375A 0 ~ 1000V/2000V( ) : 200/220Vac, 380/400Vac, 440/480Vac 3U/15KW / & 150KW / ( 10 ms ~ 99 hours) MODEL 62000H SERIES 5KW / 10KW / 15KW 0 ~ 375A 0 ~ 1000V/2000V( ) : 200/220Vac, 380/400Vac, 440/480Vac 3U/15KW / &150KW / ( 10 ms ~ 99 hours) 10 100 / PROGRAMMABLE DC POWER SUPPLY MODEL 62000H SERIES USB

More information

untitled

untitled 2013/08/23 Page1 26 Ver.1.2 2013/08/23 Page2 26 Ver.1.2 2013/08/23 Page3 26 Ver.1.2 -JO8 DIM EN 5 GND GND -FA6 SOT23-6 6 SEN SW VIN 4 DIM 3 2 1 -GG5 VIN SEN GND DIM 5 SW 1 SOT89-5 4 3 2 TO-252 -HE5 VIN

More information