第七章 中断

Similar documents
untitled

KT-SOPCx开发套件简明教程

圖形10.cdr

USB解决方案.ppt

ICD ICD ICD ICD ICD

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica

ARM JTAG实时仿真器安装使用指南

Support All Industrial Ethernet Standards on Your Next "Drive" Design White Paper

2/80 2

逢甲大學

Microsoft Word - 32

Microsoft PowerPoint - altera_traning_hw_lab_.ppt [相容模式]

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

附件1:

NEXT SDT2.51 C:\ARM251 SDT2.51 ARM SDT 2.51 ARM PROJECT MANAGER SDT 2


Presentation Title

EK-STM32F

rz_Newsletter2016_en_ indd

目 录

1 CPU

NiOS II SOPC 嵌入式系统基础教程

j_xilinx-training-courses_2012.pdf

Oracle Solaris Studio makefile C C++ Fortran IDE Solaris Linux C/C++/Fortran IDE "Project Properties" IDE makefile 1.

目次 

1.ai

Software Boot and PL Configuration

逢 甲 大 學

大学计算机基础B.doc

epub83-1

Oracle Oracle Solaris Studio IDE makefile C C++ Fortran makefile IDE Solaris Linux C/C++/Fortran Oracle IDE "P

7 FPGA 99 VGA FPGA Nios II Nios II Fig 1 Block diagram of video tracking system CMOS FPGA 1 FPGA SOPC Nios II CMOS RGB SDRAM Avalon Nios

Designing a Custom AXI Peripheral

P4VM800_BIOS_CN.p65

PROTEUS VSM

简 介 本 白 皮 书 高 度 概 述 了 支 持 移 动 互 联 网 设 备 (Mobile Internet Device) 的 Intel C++ Software Development Tool Suite for Linux* OS, 目 标 读 者 主 要 是 技 术 决 策 制 订

A Preliminary Implementation of Linux Kernel Virus and Process Hiding

Microsoft Word - 考试大纲 (2)

Chapter 2

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor

untitled

混訊設計流程_04.PDF

Section1_16bit Arc.ppt

第 15 章 程 式 編 写 語 言 15.1 程 式 編 写 語 言 的 角 色 程 式 編 寫 語 言 是 程 式 編 寫 員 與 電 腦 溝 通 的 界 面 語 法 是 一 組 規 則 讓 程 式 編 寫 員 將 字 詞 集 合 起 來 電 腦 是 處 理 位 元 和 字 節 的 機 器, 與

Microsoft Word - A doc

P4V88+_BIOS_CN.p65

DE0_SOPC_05_2010

chapt01.ppt

Abstract arm linux tool-chain root NET-Start! 2

IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I

Microsoft PowerPoint - Aqua-Sim.pptx

一个开放源码的嵌入式仿真环境 ― SkyEye

Microsoft PowerPoint - STU_EC_Ch08.ppt


第 一 部 分 广 州 市 社 会 科 学 界 联 合 会 ( 部 门 ) 概 况 一 广 州 市 社 会 科 学 界 联 合 会 ( 部 门 ) 主 要 职 能 ( 一 ) 广 泛 团 结 和 组 织 广 州 地 区 社 会 科 学 理 论 研 究 和 实 际 工 作 者, 以 马 克 思 列 宁

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010)

目 錄 壹 九 十 九 年 度 交 通 孜 全 教 育 評 鑑 實 施 計 畫... 1 貳 教 育 部 交 通 孜 全 教 育 評 鑑 委 員 會 組 織... 4 參 教 育 部 評 鑑 學 校 等 第... 6 肆 交 通 孜 全 教 育 評 鑑 工 作 進 度... 8 伍 九 十 九 年

NiOS II SOPC 嵌入式系统基础教程

51 C 51 isp 10 C PCB C C C C KEIL

a b c d e f g C2 C1 2

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如

1.1 ML_ONOFF = 1 Q 3 Q 8 C 0.3V M 2 L 1 ML_ONOFF = 0 Q 3 Q 8 C 1. + R31 VCC R21 10K ML_ONOFF R15 0:off 1:on 1K Green Light VCC=5V L1 Q VDD=12V C

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File

Debugging the Zynq All Programmable SoC

投影片 1

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x

<4D F736F F F696E74202D20C8EDBCFEBCDCB9B9CAA6D1D0D0DEBDB2D7F92E707074>

Microsoft Word - FPGA的学习流程.doc

<4D F736F F D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63>

CC213

untitled

程式人雜誌

P3B-F Pentium III/II/Celeron TM

untitled

典型自编教材

Data Management Software CL-S10w

untitled

前言

1 TPIS TPIS 2 2

System Design and Setup of a Robot to Pass over Steps Abstract In the research, one special type of robots that can pass over steps is designed and se

邏輯分析儀的概念與原理-展示版

计 算 机 系 统 应 用 年 第 25 卷 第 1 期 的 编 程 语 言 Giotto [9] 编 写 控 制 程 序, 可 以 方 便 的 控 制 程 序 的 逻 辑 执 行 时 间, 从 而 使 得 任 务 时 间 的 依 赖 关 系


CL-S10w

PCM-3386用户手册.doc

enews174_2

热设计网

mvc

IC芯片自主创新设计实验

Microsoft PowerPoint - XMC4000系列开发环境介绍(DAVE3).pptx

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0

CH01.indd

Learning Java

Data Management Software CL-S10w

untitled

Transcription:

嵌入式系统 SOPC(SOC),A case study llxx@ustc.edu.cn

内容提要 本讲的目的 : 介绍嵌入式系统 ; 了解 SOPC 的开发过程

现代计算机系统

嵌入式系统的应用 llxx@ustc.edu.cn 4/87

嵌入式控制系统的软 / 硬件框架 llxx@ustc.edu.cn 5/87

基于 FPGA 的嵌入式系统硬件平台

基于 FPGA 的嵌入式系统结构

FPGA support for embedded systems 硬件 Processor core that can be instantiated on the FPGA chip Altera: 基于 Nios II 微处理器的 SOPC 系统 Xilinx: 基于 MicroBlaze 微处理器的 SOPC 系统 Memory modules and interfaces I/O interfaces Timer/counter circuits 软件 CAD software tools for designing and implementing a system

Nios processor 2000 年,Altera 发布了 Nios 处理器, 这是第一款可用于可编程逻辑器件的可配置的软核处理器 基于 RISC 技术 16 位指令集 16/32 位数据通道 5 级流水线 在一个时钟周期内完成一条指令的处理 具有一种基于 JTAG 的 OCI( 片上仪器 ) 芯核 2004 年,Altera 继推出 CycloneⅡ 和 StratrixⅡ 器件系列后, 又推出支持这些芯片的 NiosⅡ 嵌入式处理器

Nios Ⅱ 系列处理器的特性 种类 CPU 结构片内调试定制指令软件开发工具 32Bit 指令集 特性 32Bit 数据总线和地址总线 2G Byte 寻址空间 32 个通用寄存器 32 个中断源 基于边界扫描测试 (JTAG) 的调试逻辑, 支持硬件断点 数据触发以及片外和片内的调试跟踪 最多达到 256 个用户定义的 CPU 指令 NiosⅡIDE( 集成开发环境 ) 基于 GNU 的编译器 硬件辅助的调试模块

Nios Ⅱ 系列处理器的特性

Nios Ⅱ 系列处理器的指令字

Example of a Nios Ⅱ Processor System

Altera CAD tools:quartus II Quartus II: 用于完成 Nios II 系统的综合 硬件优化 适配 编程下载和硬件系统测试 gate level,chip level,board level SOPC Builder: 用于实现 Nios II 系统的配置 生成 基于 Nios II 处理器的 FPGA 系统, 不包括 FPGA 片外部分 Nios II IDE: 用于软件开发 调试及运行 工程管理器 ; 编辑器和编译器 ; 调试器 ; 闪存编程器 ModelSim: 用于对 SOPC 生成的 Nios II 系统的 HDL 描述进行系统功能仿真 Matlab/DSP Builder 可生成 Nios II 系统的硬件加速器

Nios Ⅱ 嵌入式处理器软 硬件开发流程 (1) Processor Library SOPC Builder GUI Configure Processor Custom Instructions (2) Peripheral Library Hardware Development EDIF Netlist HDL Source Files Testbench Select & Configure Peripherals, IP Connect Blocks Generate IP Modules Software Development Nios II IDE C Header files Custom Library Peripheral Drivers (3) Synthesis & Fitter User Design Other IP Blocks Quartus II Hardware Configuration File Altera PLD (4) Verification & Debug JTAG, Serial, or Ethernet Executable Code On-Chip Debug Software Trace Hard Breakpoints SignalTap II Compiler, Linker, Debugger User Code Libraries RTOS GNU Tools

硬件设计过程 硬件 : 1. 创建一个 Quartus Ⅱ 工程 2. 创建 Nios Ⅱ 系统模块 1 启动 SOPC Builder 2 添加 CPU 和外围器件 3 指定基地址 4 系统设置 5 生成系统模块 3. 将 Nios Ⅱ 系统模块符号添加到 BDF 文件中 4. 编译 Quqrtus Ⅱ 工程 5. 编程 FPGA 软件 : 启动 Nios Ⅱ IDE; 1. 建立新的软件工程, 编写源程序 ; 2. 编译工程 ; 3. 运行程序 ; 4. 调试程序 ; 5. 将程序下载到开发板上的 Flash 中

Elements of the System Contents Tab

System designed using the SOPC Builder

系统生成 运行 ModelSim 软件 SDK 使能 HDL 使能 使能 ModelSim 生成仿真文件 系统生成过程消息栏 SOPC Builder 消息栏

基于 Linux 的计算机系统组成

HAL 系统库

实验 实验一, 门级设计, 波形仿真 完成基本 FPGA 设计流程, 并功能仿真 参考 Quartus10 教程 - 基本.doc 实验二, 基本嵌入式系统 设计一个计算机系统, 并仿真调试 CPU+SRAM+JTAG UART + hello_world_small 参考 SOPC 开发快速入门教程中文版 - 全 - 从 chip 到 sopc.pdf 中第三章第三节的实验一, 但 无需加 pll, 无需关联 FPGA 引脚, 无需 program, 无需 build 基于 ISS 仿真调试, 无需开发板 实验三, 复杂嵌入式系统 完成一个电子钟系统设计 参考 北航 NIOS 教程 ( 全 - 电子钟 ).ppt 实验四, 基于 uc/os 的系统

实验二, 基本嵌入式系统, 仿真调试

User s view of the alarm clock

Block diagram of the alarm clock

Parallel I/O Interface - PIO PIO is a parameterized module that can be used for both input and output purposes. It can be configured at design time as: an input port an output port a bidirectional port

Registers in the PIO interface

Interval Timer Includes a counter whose contents are decremented by one in each clock cycle It can raise an interrupt request when the contents of the counter reach zero It can be programmed for continuous operation, where the counter is reset to its starting value each time its contents are decremented to zero

Registers in the Interval Timer interface

Continued on the next slide Figure 11.6

Continued on the next slide Figure 11.6 (continued)

Continued on the next slide Figure 11.7

Continued on the next slide Figure11.7 (continued)

Continued on the next slide Figure 11.7 (continued)

Figure 11.7 (continued)

Continued on the next slide Figure 11.7 (continued)

Continued on the next slide Figure 11.7 (continued)

Continued on the next slide Figure 11.7 (continued)

Figure 11.7 (continued)

SOPC 系统

llxx@ustc.edu.cn 44/94

实验二, 基本嵌入式系统 -LED, 仿真调试

实验二, 另一种仿真验证模式, 是否可行? 将如下代码转成 Nios II 机器指令, 存入 RAM 编写 testbench, 分析 output 端口 led 的波形

Nios II 的特殊控制指令

GUI Elements on the System Generation Tab