CS1252 用户手册 Rev 1.0 通讯地址 : 深圳市南山区蛇口南海大道 1079 号花园城数码大厦 A 座 9 楼邮政编码 : 公司电话 :+(86 755) 传真 :+(86 755) 公司网站 : Rev 1.0

Size: px
Start display at page:

Download "CS1252 用户手册 Rev 1.0 通讯地址 : 深圳市南山区蛇口南海大道 1079 号花园城数码大厦 A 座 9 楼邮政编码 : 公司电话 :+(86 755) 传真 :+(86 755) 公司网站 : Rev 1.0"

Transcription

1 CS1252 用户手册 通讯地址 : 深圳市南山区蛇口南海大道 1079 号花园城数码大厦 A 座 9 楼邮政编码 : 公司电话 :+(86 755) 传真 :+(86 755) 公司网站 : 第 1 页, 共 22 页

2 历史修改记录 历史修改记录 时间 记录 版本号 更换新 LOGO 1.0 第 2 页, 共 22 页

3 目录 目 录 历史修改记录... 2 目录 芯片功能说明 芯片主要功能特性 芯片应用场合 芯片基本结构描述 芯片特性说明 芯片最大极限值 直流特性 性能指标 芯片时序特性 控制寄存器时序特性 主模式时序特性 从模式时序特性 芯片引脚 芯片功能模块描述 控制寄存器说明 输出数据格式 芯片应用 芯片主模式应用范例 芯片从模式应用范例 多通道数据采集系统应用 多带宽系统应用 芯片封装 第 3 页, 共 22 页

4 目录 图目录 图 1 芯片原理框图... 6 图 2 控制寄存器时序 图 3 主模式时序图 图 4 从模式时序图 图 5 封装引脚图 图 6 主模式应用 图 7 从模式应用 图 8 八通道数据采集系统 图 9 多带宽系统 图 10 LQFP32 封装 表目录 表 1 最大极限值... 7 表 2 直流特性... 8 表 3 性能指标... 9 表 4 动态范围 输出码率 RMS 噪声 滤波器建立时间 vs. 滤波器截止频率 表 5 控制寄存器时序表 表 6 主模式时序表 表 7 从模式时序表 表 8 芯片封装管脚描述 表 9 模式控制寄存器说明 表 10 M0 真值表 表 11 截止频率真值表 表 12 输出数据格式 表 13 通道地址格式 第 4 页, 共 22 页

5 芯片功能说明 1 芯片功能说明 1.1 芯片主要功能特性 22-bit Sigma-Delta ADC 100dB 的动态范围 (73Hz 输入 ) ±0.006% 的 INL 片内低通数字滤波, 可编程截止频率从 584Hz 到 36.5Hz, 支持 5V 电压应用, 也支持 3.3V 电压应用 低功耗操作 50mW 软件控制滤波器截止频率 通用的 SPI 接口 支持级联, 最多支持 8 片级联 1.2 芯片应用场合 生物医学数据采集 心电图仪 脑电图仪 加工控制 高精度设备 地震分析仪 第 5 页, 共 22 页

6 芯片功能说明 1.3 芯片基本结构描述芯片 是数据采集处理芯片 它能够同时处理四个带宽上限至 584Hz 的信号,22 位的有效精度, 信号动态范围从 91dB( 截止频率 584Hz) 到 103dB( 截止频率 36.5Hz) 芯片包括四个独立的 A/D 转换通道, 通道采用 Sigma-Delta 技术 片内有一个 16 位的控制寄存器, 通过引脚 SCLK SDATA TFS 实现配置 其中控制寄存器的三个比特用来设置片内数字滤波器的截止频率, 截止频率有五种可选 :584Hz, 292Hz, 146Hz, 73Hz, 36.5 Hz 芯片有三个地址引脚, 最多支持 8 片级联, 这样数据采集系统最高可以同时采集 32 个信号 芯片通过引脚 CASCIN 和引脚 CASCOUT 进行级联操作 芯片采用 32 脚的 LQFP 封装 图 1 芯片原理框图 第 6 页, 共 22 页

7 芯片最大极限值 2 芯片特性说明 2.1 芯片最大极限值 表 1 最大极限值 参数 范围 单位 AVDD to AGND -0.3~+7 V DVDD to DGND -0.3~+7 V AVDD to DVDD -0.3~+0.3 V AGND to DGND -0.3~+0.3 V 模拟输入到 AGND -1/2AVDD-0.3~1/2AVDD+0.3 V 数字输入到 DGND -0.3~DVDD+0.3 V 数字输出到 DGND -0.3~DVDD+0.3 V 工作温度范围 -40~85 存储温度范围 -55~+150 焊接温度 时间 220,10 秒 第 7 页, 共 22 页

8 直流特性 2.2 直流特性 表 2 直流特性 参数 符号 典型值 单位 说明 模拟电源 AVDD 4.75 V Min VREF=AVDD/2 模拟信号输入 5 V Typ 范围是 ±AVDD/2 3.3V 应用中,AVDD=DVDD=3.3V±0.3V 5.25 V Max 数字电源 DVDD 4.75 V Min 5 V Typ 5.25 V Max 逻辑输出高电平电压 2.4 V IOUT 40µA VOH 逻辑输出低电平电压 VOL 0.4 V IOUT 1.6mA 逻辑输入高电平 VIH 2.4 V 逻辑输入低电平 VIL 0.8 V SDATA 端输入高电平电流 IIH_ SDATA +10 ua 内部到 5V 有 50k 上拉电阻, SDATA 端输入低电平电流 IIL_ SDATA -130 ua 工作电源是 3.3V RFS 端输入高电平电流 IIH_ RFS +10 ua 内部到 5V 有 50k 上拉电阻, RFS 端输入低电平电流 IIL_ RFS -130 ua 工作电源是 3.3V TFS 端输入高电平电流 IIH_ TFS +10 ua 工作电源是 3.3V TFS 端输入低电平电流 IIL_ TFS -650 ua SCLK 端输入高电平电流 IIH_ SCLK +10 ua 主模式时工作电源是 3.3V SCLK 端输入低电平电流 IIL_ SCLK -10 ua 逻辑输入高电平电流 IIH +10 ua 逻辑输入低电平电流 IIL -10 ua 除 SDATA RFS TFS 之外 电源电流 IDD 12 ma 功耗 PD 60 mw 第 8 页, 共 22 页

9 性能指标 2.3 性能指标 表 3 性能指标 参数名称参数符号单位典型值测试条件 ( 注 1) 分辨率 Resolution Bit 22 积分线性误差 增益误差 E L E G 1 通道 2 通道 3 通道 4 通道 1 通道 2 通道 3 通道 4 通道 %FSR %FSR ~ ~ 0.1 注 2 通道间增益匹配度 M G %FSR -0.1~ 0.1 增益温度系数 α EG 1 通道 2 通道 3 通道 4 通道 1 通道 µv/ 25 失调误差 E O 2 通道 3 通道 %FSR -0.02~ 通道 通道间失调匹配度 M O %FSR -0.05~ 0.05 失调温度系数 噪声电压 总谐波失真 α EO V en THD 1 通道 2 通道 3 通道 4 通道 1 通道 2 通道 3 通道 4 通道 1 通道 2 通道 3 通道 µv/ 8 µv rms 7 注 2 db -80 注 3 4 通道 通道隔离度 ISO 1 通道 db -82 注 4 第 9 页, 共 22 页

10 性能指标 2 通道 模拟输入端输入电压 V I 3 通道 4 通道 1 通道 2 通道 3 通道 4 通道 V -VREF~ + VREF 1 通道 模拟输入端输入电容 C I 2 通道 3 通道 4 通道 pf 12 注 2 电源抑制比 PSSR db -60 注 5 输出刷新率 f A Hz 279 注 6 动态范围见表 1 注 1: 除另外有规定外,VDDA=VDDD=5V±5%,VREF=2.5V,fCLKIN=8MHZ, 截止频率 =73HZ, 噪声测试带宽 BW=73HZ,-40 TA 85 注 2:TA=25 注 3: 输入频率为 35HZ,TA=25 注 4: 某一通道输入 VP-P=5V, 频率为 35HZ 正弦波时, 该通道馈通到其它三通道的幅度注 5:100mVp-p,120Hz 正弦波加于电源,TA=25 注 6:fCLKIN/( N),TA = 25 N 表 4 动态范围 输出码率 RMS 噪声 滤波器建立时间 vs. 滤波器截止频率 输出码率 动态范围 RMS 噪声 滤波器到 ± %FS 的 (Hz) (db) ( µ V) 建立时间 (ms) 截至频率 (Hz) 绝对群延迟 (ms) 第 10 页, 共 22 页

11 芯片时序特性 2.4 芯片时序特性 控制寄存器时序特性 条件 ( AVDD=DVDD=5V±5%,AGND=DGND=0V,fCLKIN=8MHz, 输入低电平 =0V, 输入高电平 =DVDD, CLKIN 占空比 40% 到 60%, 所有输入信号指定 tr=tf=5ns,temp=25 ) 表 5 控制寄存器时序表 参数 规范值 单位 说明 t1 1/f CLKIN ns min SCLK 周期 t2 77 ns min SCLK 宽度 t3 30 ns min TFS 建立时间 t4 20 ns min SDATA 建立时间 t5 10 ns min SDATA 保持时间 t6 20 ns min TFS 保持时间 t1 t2 SCLK(I) t3 t2 t6 TFS t4 t5 SDATA(I) DB0 (DB8) DB1 (DB9) DB2 (DB10) DB3 (DB11) DB4 (DB12) DB5 (DB13) DB6 (DB14) DB7 (DB15) 图 2 控制寄存器时序 第 11 页, 共 22 页

12 芯片时序特性 主模式时序特性 条件 ( AVDD=DVDD=5V±5%,AGND=DGND=0V,fCLKIN=8MHz, 输入低电平 =0V, 输入高电平 =DVDD, CLKIN 占空比 40% 到 60%, 所有输入信号指定 tr=tf=5ns,temp=25 ) 表 6 主模式时序表 参数 规范值 单位 说明 fclkin 400 khz min 8 MHz max CLKIN 频率 tr 40 ns max 数字输出上升沿时间, 典型值 20ns tf 40 ns max 数字输出下降沿时间, 典型值 20ns t7 1/fCLKIN ns min CASCIN 脉冲宽度 t8 1/fCLKIN ns min CASCIN 到 DRDY 建立时间 t9 1/2fCLKIN+30 ns max DRDY 低到 SCLK 低电平延迟 t10 50 ns max CLKIN 高到 DRDY 低,SCLK 有效, RFS 有效延迟 t11 40 ns max CLKIN 高到 SCLK 高电平延迟 t12 50 ns min SCLK 宽度 t13 1/fCLKIN ns SCLK 周期 t14 40 ns max SCLK 高到 RFS 高电平延迟 t15 1/fCLKIN ns RFS 脉冲宽度 t16 45 ns max SCLK 高到 DRDY 有效值延迟 t17 1/2fCLKIN+50 ns max 1/2fCLKIN+10 ns min SCLK 低到 DRDY 高阻延迟 t18 1/2fCLKIN+60 ns max CLKIN 高到 DRDY 高电平延迟 t19 50 ns max CLKIN 高到 RFS 高阻,SCLK 20 ns min 高阻延迟 t20 1/2fCLKIN+50 ns max SCLK 低到 CASCOUT 高电平的延迟 t21 2/fCLKIN ns CASCOUT 脉冲宽度 t7 CASCIN t8 CLKIN DRDY SCLK(O) t10 t9 t11 t12 t12 t13 t14 t18 t19 t19 RFS(O) SDATA(O) t16 DB31 (CH1) DB30 (CH1) DB1 (CH1) t15 DB0 (CH1) DB2 (CH4) DB1 (CH4) t17 DB0 (CH4) t21 CASCOUT t20 图 3 主模式时序图 第 12 页, 共 22 页

13 芯片时序特性 从模式时序特性 条件 ( AVDD=DVDD=5V±5%,AGND=DGND=0V,fCLKIN=8MHz, 输入低电平 =0V, 输入高电平 =DVDD, CLKIN 占空比 40% 到 60%, 所有输入信号指定 tr=tf=5ns,temp=25 ) 表 7 从模式时序表 参数 规范值 单位 说明 fclkin 400 khz min CLKIN 频率 8 MHz max tr 40 ns max 数字输出上升沿时间, 典型值 20ns tf 40 ns max 数字输出下降沿时间, 典型值 20ns t23 1/fCLKIN ns min CASCIN 脉冲宽度 t24 50 ns min SCLK 宽度 t ns min SCLK 周期 t26 1/fCLKIN+30 ns min CASCIN 高到 RFS 建立时间 t27 30 ns min RFS 低到 SCLK 高电平建立时间 t28 50 ns max SCLK 高到 DRDY 有效值延迟 t31 60 ns max SCLK 高到 CASCOUT 高电平延迟 t32 2/fCLKIN ns max CASCOUT 脉冲宽度 DRDY CASCIN t23 t26 t24 t25 SCLK(I) t27 t24 RFS(I) SDATA(O) t28 DB31 (CH1) DB30 (CH1) DB29 (CH1) DB1 (CH1) DB0 (CH1) DB1 (CH4) DB0 (CH4) t32 CASCOUT t31 图 4 从模式时序图 第 13 页, 共 22 页

14 芯片引脚 2.5 芯片引脚 1 24 AVDD 2 23 AIN1 AGND A TOP DRDY SDATA MODE AGND 6 19 AIN AGND RFS DGND DOUT1 CLKIN CLKOUT AGND TFS DGND DVDD AIN3 AGND A1 AGND AIN4 A0 AGND VREF RESET SCLK DOUT2 CASCIN CASCOUT 图 5 封装引脚图 表 8 芯片封装管脚描述 管脚序号 IO 符号 管脚描述 1 P AGND 模拟地 2 P AVDD 模拟电源 3 IA Ain1 通道 1 输入 4 P AGND 模拟地 5 I A2 芯片地址信号输入 6 P AGND 模拟地 7 IA AIN2 通道 2 输入 8 P AGND 模拟地 9 IA AIN3 通道 3 输入 10 P AGND 模拟地 11 I A1 芯片地址信号输入 12 P AGND 模拟地 13 IA AIN4 通道 4 输入 14 I A0 芯片地址信号输入 15 P AGND 模拟地 16 IA VREF 正参考电压 第 14 页, 共 22 页

15 芯片引脚 管脚序号 IO 符号 管脚描述 17 O CASCOUT 数字信号输出, 当 4 个通道数据输出完成时, CASCOUT 变成高电平, 持续两个系统时钟周期 18 I CASCIN 数字信号输入, 用来使能数据流输出 19 P DVDD 数字电源 20 I MODE 工作模式选择信号 : =0, 为主模式 ;=1, 为从模式 21 I/O SDATA 串口数据输入 / 输出 22 O DRDY 数据准备输出 23 P DGND 数字地 24 I TFS 片上控制寄存器时的帧同步输入信号 25 O DOUT2 数字信号输出, 用来检测控制寄存器是否配置成功 26 O CLKOUT 时钟输出脚 : 使用无源晶振时与 CLKIN 配合, 外接 22pF 电容 ; 使用有源晶振时引脚浮空 27 I CLKIN 外部时钟输入 : 使用无源晶振时需要与 CLKOUT 配合, 外接 22pF 电容 ; 使用有源晶振时直接接有源晶振的时钟输出脚 28 O DOUT1 数字信号输出, 用来检测控制寄存器是否配置成功 29 P DGND 数字地 30 I/O RFS 接收帧同步信号 31 I/O SCLK 串口时钟输入 / 输出 32 I RESET 同步复位 DSP 信号, 一般用于级联时同步信号输出 第 15 页, 共 22 页

16 控制寄存器说明 3 芯片功能模块描述 3.1 控制寄存器说明控制寄存器是 16 位的寄存器, 分两个字节编写, 低字节先编写, 高字节后编写 数据载入格式是先低位 (DB0 或者 DB8) 后高位 (DB7 或者 DB15) 通过三条控制线( TFS SCLK SDATA) 实现通信 上电初始, 控制寄存器处于不确定状态 控制寄存器需要通过 SCLK TFS SDATA 进行配置, 否则 不会输出 DRDY 引脚 MODE 定义芯片是工作在主模式还是从模式 在上述两种模式中,TFS 的下降沿导致芯片放弃对 SDATA 和 SCLK 的控制,SDATA 和 SCLK 变为输入端 当 TFS 变低时,SDATA 线上的数据在 SCLK 下降沿送到芯片的控制寄存器中 当输入 8 比特数据之后, 传输自动停止 当下一个 TFS 下降沿来时, 继续剩下 8 比特数据的传输 仅当 DB8 等于 1 并且 DB0 等于 0 时, 控制寄存器才确认输入的数据是有效的 控制寄存器列表见表 9 控制寄存器 DB15(Enable) 是编程控制寄存器使能信号, 它必须设置成 1 来使能 控制寄存器的编程 如果设置成 0, 编成的控制寄存器字被忽略, 这样允许用户跳过 寄存器, 让 DSP 或 MCU 使用串行码编辑其它串行的外围设备 当一个有效的控制寄存器字接受后, 芯片询问 M0 比特 如果 M0 等于 0, 当芯片地址脚对应控制寄存器中 A2 A1 A0 的值, 数字滤波器截止频率按照 FC2 FC1 FC0 编程 ; 当芯片地址脚不对应控制寄存器中 A2 A1 A0 的值,FC2 FC1 FC0 的值将被忽略 如果 M1 等于 1, 数字滤波器截止频率按照 FC2 FC1 FC0 编程而不用考虑控制寄存器中 A2 A1 A0 的值 在多通道应用中, 允许用户所有 都使用相同的截止频率或者给不同地址的 不同的截止频率 控制寄存器比特 FC2 FC1 FC0 控制数字滤波器截止频率见表 11 控制寄存器比特 D2 D1 控制数字输出脚 DOUT2 DOUT1 控制寄存器 DB0(0) DB1(X) DB2(X) DB3(X) DB4(X) DB5(DOUT1) DB6(DOUT2) DB7(FC0) DB8(1) 表 9 模式控制寄存器说明说明只有当 DB8=1 同时 DB0=0 时, 才认为一个完成的字节被编辑了控制数字输出脚 DOUT1 控制数字输出脚 DOUT2 控制数字滤波器截止频率只有当 DB8=1 同时 DB0=0 时, 才认为一个完成的字节被编辑了 第 16 页, 共 22 页

17 控制寄存器说明 DB9(FC1) DB10(FC2) DB11(M0) DB12(A0) DB13(A1) DB14(A2) DB15(A3) 控制数字滤波器截止频率控制数字滤波器截止频率编程模式芯片地址芯片地址芯片地址编程控制寄存器使能信号 表 10 M0 真值表 M0 可编程模式 0 对外部引脚地址 ={A2,A1,A0} 的芯片的控制寄存器进行配置 1 忽略芯片外部引脚地址, 配置芯片的控制寄存器 表 11 截止频率真值表 FC2 FC1 FC0 截止频率 (Hz) 第 17 页, 共 22 页

18 输出数据格式 3.2 输出数据格式 输出数据是 128 位的, 包含了 4 个通道的数据, 通道数据顺序是 : 通道 1 通道 2 通道 3 通道 4, 每个通道 32 位 这 32 位的数据格式如表 12 和表 13 所示,DA[21:0] 是有符号数据,OVFL 是溢出标志位, 当输入信号范围超出 - VREF ~+ VREF 时,OVFL 会被置为 1, 如果输入信号 U 在 -VREF~+ VREF 的范围内,OVFL=0,DA[21:0] 寄存器值 : 如果 U>=0,DA[21:0] = INT[U/VREF*2^21]; 如果 U<=0,DA[21:0] = INT[2^22+U/VREF*2^21]; 如果输入信号 U 超出 - VREF ~+ VREF 的范围,OVFL=1,DA[21:0] 寄存器值 : 如果 U>=0,DA[21:0] = INT[(U - VREF)/VREF*2^21]; 如果 U<=0,DA[21:0] = INT[2^22+(U+VREF)/VREF*2^21]; 表 12 输出数据格式 DB31 DB10 DB9 DB8 DB7 DB6 DB5 DB4 DB3 DB2 DB1 DB0 DB21 DB0 数据转换结果 CA0 CA1 通道地址 A0 A1 A2 芯片地址 0 OVFL 溢出标志位 3 b000 表 13 通道地址格式 Channel CA1(DB8) CA0(DB9) AIN1 0 0 AIN2 0 1 AIN3 1 0 AIN4 1 1 第 18 页, 共 22 页

19 芯片应用 3.3 芯片应用 芯片主模式应用范例图 6 是芯片 使用主模式实现和 DSP 芯片 TMS320C25 接口的应用范例 初始化编程 的控制寄存器 FSX 变低电平使能外部时钟 当配置完成控制寄存器,FSX 应该始终保持高电平, 此时的外部时钟要求是一直关闭的 开始控制数据线 SDATA, 传送数据 同时, 会提供时钟和要求 DSP 通过 RESET 脚发送桢同步信号 图 6 主模式应用 芯片从模式应用范例图 7 是芯片 使用从模式实现和 DSP 芯片 接口的应用范例 芯片被设置成从模式 DSP56001 设置异步门控时钟 DSP 发送 2 个字节给 的控制寄存器 图 7 从模式应用 第 19 页, 共 22 页

20 芯片应用 多通道数据采集系统应用图 8 是使用 2 片 实现八路通道数据采集的应用 芯片通过 MODE 脚拉高被设置成从模式 这意味着 ADSP-2101 是主模式, 并提供必要的帧同步信号和 SCLK 信号 两个 芯片的 A2 A1 A0 分别配置成 000 和 001, 通过 CASCIN 和 CASCOUT 实现级联 上电后, 首先要配置它们两个的控制寄存器 当写寄存器完成, 发送 reset 脉冲信号给两个 芯片 这样确保采样和接口时序是同步的 复位完成后,DSP 进入读程序过程 DSP 等待芯片 的中断, 这个中断由 DRDY 变低产生, 表示 转换的数据已经准备好 图 8 八通道数据采集系统 第 20 页, 共 22 页

21 芯片应用 多带宽系统应用在一些应用中要求多个 芯片对应每个芯片都有不同的带宽 如图 9, 两颗 实现不同带宽的应用 这个范例芯片使用主模式, 但是从模式同样也可以实现这样的应用 这个例子显示器件 #0 的截止频率设置成 292Hz, 器件 #1 的截止频率设置成 146Hz 图 9 多带宽系统 第 21 页, 共 22 页

22 芯片封装 4 芯片封装 图 10 LQFP32 封装 第 22 页, 共 22 页

×××芯片用户手册

×××芯片用户手册 用户手册 通讯地址 : 深圳市南山区蛇口南海大海 1079 号花园城数码大厦 A 座 9 楼邮政编码 :518057 公司电话 :+(86 755)86169257 传真 :+(86 755)86169057 公司网站 :www.chipsea.com 第 1 页, 共 13 页 历史修改记录 历史修改记录 时间 记录 版本号 2012-12-19 更换新 LOGO, 重新发布 1.0 第 2 页,

More information

Microsoft Word - SDI0818用户手册_V1_Agu22_08.doc

Microsoft Word - SDI0818用户手册_V1_Agu22_08.doc 24 位模数转换器 特征 : 24 位分辨率 ( 无失码 ) 19.5 位有效位 (ENBO 128 倍放大 ) 18 位不动码 内部集成 128 倍增益放大器 内部集成震荡器频率 :2.45MHz 偏差 :+/- 5% 具有很高的电源抑制比, 方便在强电源噪声环境下应用 : 10Hz 时对 50Hz 60Hz 噪声抑制 (-100dB) 输出码率 10Hz 80Hz 可选 外部输入参考电压 VREFP

More information

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8 Version History 日期 版本 2016/8/4 1.00 2016/9/2 1.01 2016/11/29 1.02 2017/2/16 1.03 2017/6/9 1.04 2018/6/27 1.05 2018/8/8 1.06 新增 MG196 PBGA256S 封装信息 ; 修改 SSPI 模式的配置功能 ; 增加 QN88 封装信息 2019/3/27 1.07 增加电源供电要求

More information

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小由外接电阻来调整 封装图 SSOP24L-0.635-D1.40 (mm) ( SM16125ES ) 特点 16 通道恒流源输出 电流输出大小不因输出端负载电压变化而变化

More information

DATASHEET SEARCH SITE ==

DATASHEET SEARCH SITE == 特性描述 是应用于电子秤低频测量的单通道模拟前端 该器件可以接受直接来自传感器的低电平的输入信号, 然后产生串行的数字输出 利用 Σ-Δ 转换技术实现了 24 位无丢失代码性能 通过选定的输入信号被送到一个基于模拟调制器的增益可编程专用前端 片内数字滤波器处理调制器的输出信号, 通过通信口发命令可调节滤波器的截止点和输出更新速率, 从而对数字滤波器的第一个陷波进行编程 只需 2.6~5.5V 单电源供电

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

24位模数转换器

24位模数转换器 24 位高精度低电压模数转换器 特征 : 24 位分辨率 ( 无失码 ) 20.7 位有效位 (ENBO 128 倍放大 10Hz 输出 3.0V) 18.2 位不动码 (ENBO 128 倍放大 10Hz 输出 3.0V) 内部集成可编程增益 : 2 4 8 16 32 128 256 1024 2048 倍 输出码率可选 (Hz): 0.625 1.25 2.5 5 10 20( 默认 ) 40

More information

Specification of the 13.56MHz RFID card reader/writer

Specification of the 13.56MHz RFID card reader/writer Specification of the 13.56MHz RFID card reader/writer 3.1 RFID RFID card...4 3.2 13.56MHz RFID 13.56MHz RFID card reader/writer...4 4.1...4 4.2...7 4.3...7 4.4...7 4.5...8 4.6...8 4.7...9 4.8...9 4.9...9

More information

1996 1997 GJB-151 1998 1999 2001 2002 220AC TEMPEST TEMPEST / / 1.dV/dt di/dt 2. NEMP ESD V -- - +++ - +++ - - - + V V V G PCB PCB / / IEC CISPR CISPR Pub. IEC TC77 IEC CENELEC EN FCC DOD FCC Part,

More information

CS1240/CS1241用户手册

CS1240/CS1241用户手册 用户手册 20-bit Sigma-Delta ADC 通讯地址 : 深圳市南山区蛇口南海大道 1079 号花园城数码大厦 A 座 9 楼邮政编码 :518067 公司电话 :+(86 755)86169257 传真 :+(86 755)86169057 公司网站 :www.chipsea.com 微信号 : 芯海科技微信二维码 : 第 1 页, 共 30 页 版本历史 历史版本 修改内容 版本日期

More information

技术文档

技术文档 地址 : 深圳市高新技术产业园南区高新南一道国微大厦 5 楼 ADD: Shenzhen High-tech Industrial Park,South Area GaoxinS.Ave.1 st,guowei Building. 电话 Tel:0755-26991331 传真 Fax:0755-26991336 邮编 :518057 网址 :www.chinaasic.com LED 恒流驱动芯片

More information

引脚名称 QFN(4 4)-24L SSOP-24L ( 引脚间距 / 1.0) SOP-24L ( 引脚间距 1.27) 引脚描述 管脚号 管脚名称功能 QFN-24 SSOP-24/SOP-24L 1 4 LAT 数据锁存输入端 ( 低电平有效 ) 2 5 OUT0 3 6 OUT1

引脚名称 QFN(4 4)-24L SSOP-24L ( 引脚间距 / 1.0) SOP-24L ( 引脚间距 1.27) 引脚描述 管脚号 管脚名称功能 QFN-24 SSOP-24/SOP-24L 1 4 LAT 数据锁存输入端 ( 低电平有效 ) 2 5 OUT0 3 6 OUT1 D5056 带预充电管的 16 位 LED 控制器 D5056 是一款专用于大屏幕 LED 低压差 高精度带预充电管的 16 位恒流驱动芯片 内含高精度电流驱动电路 16 位移位寄存器 锁存器 温度补偿电路等 D5056 内置带预充电管, 可以解决列消隐问题 再配上我司解决行消隐的 D4973,LED 屏幕消隐问题可完美解决, 大大提高刷新率 D5056 可接受外部 CPU 编程控制信号, 分别控制

More information

绯荤粺A4鐗堟湰鍗曢〉

绯荤粺A4鐗堟湰鍗曢〉 2011 +86-10-60290088 600 690 470 970 670 1000 194 130 294 65 85 150 82 90 +86-10-60290088 +86-10-60290088 +86-10-60290088 +86-10-60290088 +86-10-60290088 +86-10-60290088

More information

adsd

adsd HS8108 电脑开关电源控制器 概述 : HS8108 是 PWM 开关电源控制器, 主要用于台式 PC( 个人电脑 ) 的开关电源部分 HS8108 能够提供开关电源所有的控制和保护功能 : PWM 脉宽调制及推挽输出, 具有过压 欠压 过流 过功耗 远程控制 AC 掉电保护等功能, 两个内嵌的精密 431 调节器用作稳定 5V 辅助电源和 33V 输出电压, 内嵌的精密振荡器可以保证各种延时的精确性

More information

CS1240/CS1241用户手册

CS1240/CS1241用户手册 用户手册 通讯地址 : 深圳市南山区蛇口南海大道 1079 号花园城数码大厦 A 座 9 楼邮政编码 :518067 公司电话 :+(86 755)86169257 传真 :+(86 755)86169057 公司网站 :www.chipsea.com 第 1 页, 共 29 页 历史修改记录 历史修改记录 时间 记录 版本号 2012-12-19 更换新 LOGO, 重新发布 1.0 第 2 页,

More information

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD 1/16 T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma (@T = 25 C, @Vdd = 3V) VDD 1.8 3.0 3.6 V (1) 0.08 0.3 µa Idd 300 450 500 µa 0.25

More information

录 目 录 第 5 章 我 们 的 世 界 第 5.1 节 精 彩 的 世 界 1 第 5.2 节 数 字 化 生 存 3 第 5.3 节 测 量 世 界 探 悉 4 第 5.4 节 取 样 - 量 化 导 致 信 息 丢 失 8 第 5.5 节 数 字 化 世 界 更 精 彩 10 第 6 章 L

录 目 录 第 5 章 我 们 的 世 界 第 5.1 节 精 彩 的 世 界 1 第 5.2 节 数 字 化 生 存 3 第 5.3 节 测 量 世 界 探 悉 4 第 5.4 节 取 样 - 量 化 导 致 信 息 丢 失 8 第 5.5 节 数 字 化 世 界 更 精 彩 10 第 6 章 L LabVIEW 学 习 札 记 第 二 卷 LabVIEW 开 发 技 术 丛 书 录 目 录 第 5 章 我 们 的 世 界 第 5.1 节 精 彩 的 世 界 1 第 5.2 节 数 字 化 生 存 3 第 5.3 节 测 量 世 界 探 悉 4 第 5.4 节 取 样 - 量 化 导 致 信 息 丢 失 8 第 5.5 节 数 字 化 世 界 更 精 彩 10 第 6 章 LabVIEW 最

More information

GJB128A.PDF

GJB128A.PDF ± ± ± ± ± ± ± ± ± ± ± ± ±± ±±5µ ± ± ± ± ± ± ± ± ± ± ± ± ± µ ± ± ± ± ± ± ± ± ± ± ± ±1 ± ± + ± ± ± ± ± ± ± ± ± ±1. ± ± ± µ ± ± ±5 ± ± ± ± ± ±30 ± ± ± ± ± ±0.5 ±0 ±

More information

CD4066中文资料:CD4066是四双向模拟开关,主要用作模拟或数字信号的多路传输。引出端排列与CC4016一致,但具有比较低的导通阻抗。另外,导通阻抗在整个输入信号范围内基本上变。CD4066由四个相互独立的双向开关组成,每个开关有一个控制信号,开关中的p和n器件在控制信号作用下同时开关。这种结

CD4066中文资料:CD4066是四双向模拟开关,主要用作模拟或数字信号的多路传输。引出端排列与CC4016一致,但具有比较低的导通阻抗。另外,导通阻抗在整个输入信号范围内基本上变。CD4066由四个相互独立的双向开关组成,每个开关有一个控制信号,开关中的p和n器件在控制信号作用下同时开关。这种结 CD466 中文资料 CD466 的引脚功能下图所示 每个封装内部有 4 个独立的模拟开关 每个模拟开关有输入 输出 控制三个端子 其中输入端和输出端可互换 当控制端加高电平时 开关导通 ; 当控制端加低电平时开关截止 模拟开关导通时 导通电阻为几十欧姆 ; 模拟开关截止时 呈现很高的阻抗 可以看成为开路 模拟开关可传输数字信号和模拟信号 可传输的模拟信号的上限频率为 4 各开关间的串扰很小 典型值为

More information

2 12

2 12 SHENZHEN BRILLIANT CRYSTAL TECHNOLOGIC CO.,LTD. The specification for the following models Graphic LCM serial communication control board CB001 PROPOSED BY APPROVED Design Approved TEL:+86-755-29995238

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

R/W

R/W (HD44780 KS0066 ) 3 3 5 6 10 14 HD44780/KS0066 16 2 LCM 8 1 40 4 LCD HD44780/KS0066 HD44100 IC PCB 0.1 CMOS 1. 2. 3. 4. 5. RH60% 6. 1. 2. 3. PCB 3 4. 5. 6. 1. 280 C 2. 3 4s 3. 4. 5. 3 5 1. 2. IC 3. DC-DC

More information

Microsoft Word - TM1812.doc

Microsoft Word - TM1812.doc 一 概述 TM1812 是 12 通道 LED( 发光二极管显示器 ) 驱动控制专用电路, 内部集成有 MCU 数 字接口 数据锁存器 LED 高压驱动等电路 通过外围 MCU 控制实现该芯片的单独辉 度 级联控制实现户外大屏 护栏管 幻彩灯条的彩色点阵发光控制 本产品性能优良, 质量可靠 采用 SOP16 的封装形式 二 特性说明 采用高压功率 CMOS 工艺 输出端口耐压 24V 芯片 VDD

More information

02 Keysight 34460A/61A/65A/70A - Truevolt DMM Truevolt DMM 1 µa pico second Truevolt DMM 4.3 Keysight Truevolt DMM Keysight Truevolt 34460A 34461A 344

02 Keysight 34460A/61A/65A/70A - Truevolt DMM Truevolt DMM 1 µa pico second Truevolt DMM 4.3 Keysight Truevolt DMM Keysight Truevolt 34460A 34461A 344 Keysight Technologies 34460A 34461A 34465A (6 ) 34470A (7 ) Truevolt DMM 02 Keysight 34460A/61A/65A/70A - Truevolt DMM Truevolt DMM 1 µa pico second Truevolt DMM 4.3 Keysight Truevolt DMM Keysight Truevolt

More information

ICN2028

ICN2028 概述 DP5220B 是一款专为 LED 显示屏设计的驱动 IC, 采用 16 路恒流灌电流输出 DP5220B 集成了 Noise Free TM 技术, 具有极佳的抗干扰特性, 使恒流及低灰效果不受 PCB 板的影响 并可选用不同的外挂电阻对输出级电流大小进行调节, 精确控制 LED 的发光亮度 DP5220B 在显示过程中 (OE=0) 会缓存 16bit 显示数据, 所以系统在 DP5220B

More information

29 0. 0.1 0.2 0.3 1. 30 1840 1930 1932 1926 35 51 55 214 1 31 1988 3 2. 2.1 3 2000 2.2 79 1 52 32 56 57 57 2 2.3. 2 10 4 40 16 4 64 2.4 3. 3.0 3.1 1 Hz 33 193ms 176 174 169 167 165 163 162 160 159 (T )

More information

深圳明和科技

深圳明和科技 500mA 同步降压 DC/DC 转化器 ME3101 系列 描述 : ME3101 是一款同步整流降压型 DC/DC 内置 0.6ΩPMOS 驱动管和 0.7ΩNMOS 开关管 兼容陶瓷电容, 外部只需一只电感和两只电容, 可高效率的输出 500mA 内置振荡器电路, 振荡频率可达 1.2MHZ ME3101 为 PFM/PWM 型自动开关控制模式, 在满载时也能快速响应, 达到纹波小, 效率高的效果

More information

ETA104 数据采集模块数据手册

ETA104 数据采集模块数据手册 Emtronix ETA104 数据采集模块数据手册 1. 概述 1.1 ETA104 介绍 ETA104 模拟数据采集模块 ( 下文简称 :ETA104 模块 ) 是基于英创公司 SBC840 工控应用底板 符合 DM5028 标准应用扩展模块 ETA104 模块上的模数转换功能, 采用 ADS7871 模拟信号转换芯片, 占用 ESMARC 工控主板的 SPI 总线进行通讯, 最高可实现 48K

More information

第十四章 STC单片机比较器原理及实现

第十四章 STC单片机比较器原理及实现 第 14 章 STC 单片机比较器 原理及实现 何宾 2015.02 1 本章主要内容 STC 单片机比较器结构 STC 单片机比较器寄存器组 STC 单片机比较器应用 2 STC 单片机比较器结构 STC15W 系列单片机内置了模拟比较器 对于 STC15W201S STC15W404S, 以及 STC15W1K16S 系 列单片机的比较器内部结构 3 STC 单片机比较器结构 S T C 15W

More information

ICN2028

ICN2028 ICN2028 (16 路双缓存恒流输出 LED 驱动芯片 ) 概述 ICN2028 是一款专为 LED 显示屏设计的驱动 IC, 采用 16 路恒流灌电流输出 ICN2028 集成了 Noise Free TM 技术, 具有极佳的抗干扰特性, 使恒流及低灰效果不受 PCB 板的影响 并可选用不同的外挂电阻对输出级电流大小进行调节, 精确控制 LED 的发光亮度 ICN2028 在显示过程中 (OE=0)

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 STC 单片机比较器原理及实现 主讲 : 何宾 Email:hebin@mail.buct.edu.cn 2016.03 STC 单片机比较器结构 STC15W 系列单片机内置了模拟比较器 对于 STC15W201S STC15W404S, 以及 STC15W1K16S 系列单片机的比较器内部结 构 STC 单片机比较器结构 从图中可以看出, 比较器正端输入 CMP+ 的输入电压来自单片机的 P5.5

More information

A (QFP-48-12x ) RANGE IF70K AL-OUT AL-SIG VSS CLKFREQ-SEL HR-SET MIN-SET AM/FM-SEL AL-DISP A AL-

A (QFP-48-12x ) RANGE IF70K AL-OUT AL-SIG VSS CLKFREQ-SEL HR-SET MIN-SET AM/FM-SEL AL-DISP A AL- AM/FM SC361 0 AM/FM 12 CMOS QFP-48-12x12-0.8 FM 150M Hz AM 30 MHz LCD 3 13 1/3 4 32.768kHz FM 10.7 MHz 70 khz AM 455kHz 12 1.8V ~ 3.3V QFP44-10 x10-0.8 A B C D QFP-48-12x12-0.8 QFP-44-10x10-0.8 COB (QFP

More information

SD3101 带 UART 和 I 2 C 的三差分通道计量 SOC 特点 高精度 ADC,24 位数据输出, 输入增益可选 和 16,3 个差分或 5 个伪差分通道, 可测量信号的真有效值 瞬时值和频率 8 位 RISC 低功耗 MCU,49 条指令,6 级堆栈, 在 2.097MHz

SD3101 带 UART 和 I 2 C 的三差分通道计量 SOC 特点 高精度 ADC,24 位数据输出, 输入增益可选 和 16,3 个差分或 5 个伪差分通道, 可测量信号的真有效值 瞬时值和频率 8 位 RISC 低功耗 MCU,49 条指令,6 级堆栈, 在 2.097MHz 带 UART 和 I C 的三差分通道计量 SOC 特点 高精度 ADC, 位数据输出, 输入增益可选 8 和 6, 个差分或 个伪差分通道, 可测量信号的真有效值 瞬时值和频率 8 位 RISC 低功耗 MCU, 条指令,6 级堆栈, 在.0MHz 工作时钟,MCU 部分在.V 工作电压下电流典型值为 00uA;kHz 时钟待机模式下工作电流为.6uA, 休眠模式电流小于 ua 6k Bytes

More information

内部结构框图 SDI LSB 16Bit 移位寄存器 (1 16Bit 通道 ) MSB 0 15 LSB MSB 输出开 / 关数据锁存 (1 16Bit 通道 ) 0 15 R-EXT 16 通道恒流输出驱动 OUT0 OUT1 OUT14 OUT15 图 2 管脚信息 SDI OUT0 OUT

内部结构框图 SDI LSB 16Bit 移位寄存器 (1 16Bit 通道 ) MSB 0 15 LSB MSB 输出开 / 关数据锁存 (1 16Bit 通道 ) 0 15 R-EXT 16 通道恒流输出驱动 OUT0 OUT1 OUT14 OUT15 图 2 管脚信息 SDI OUT0 OUT 特性描述 是 D 显示面板设计的驱动 IC, 它内建的 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成平行输出数据格式 具有 16 个电流源, 可以在每个输出端口提供 2~60mA 恒定电流量以驱动 D, 每个 OUT 驱动通道输出可短接后得到更大的电流输出, 且当环境发生变化时, 对其输出电流影响很小 同时可以选用不同阻值 (REXT) 的外接电阻来调整 各输出端口的电流大小, 因此,

More information

一、 概述

一、 概述 概述 FZH119 是一种 LED( 发光二极管显示器 ) 驱动控制与用电路, 内部集成有 MCU 数字接口 数据锁存器 LED 驱动等电路 本产品性能优良, 质量可靠 主要应用于电子产品 LED 显示屏驱动 采用 SOP28 的封装形式 特性说明 采用 CMOS 工艺 显示模式 (8 段 16 位 ) 辉度调节电路 ( 占空比 8 级可调 ) 两线串行接口 (SCLK,DIN) 振荡方式 : 内置

More information

RP7900 Series Regenerative Power System - Data Sheet

RP7900 Series Regenerative Power System - Data Sheet RP7900 RP7931A - RP7936A (200/208 VAC) RP7941A - RP7946A (400/480 VAC) RP7951A - RP7953A (200/208 VAC) RP7961A - RP7963A (400/480 VAC) 12V / HEV/EV 300V RP7900 Keysight RP7900 3U RP7900 950 V 800 A 10

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

Electrical and Optical Clock Data Recovery Solutions - Data Sheet

Electrical and Optical Clock Data Recovery Solutions - Data Sheet 32 GBd N1076A 32 GBd N1077A / 64 GBd N1076B 64 GBd N1078A / 64 GBd NRZ PAM4 O/E < 100 fs RMS JSA PLL ...3...4...4...5 /...6...8...11 N1076A...12 N1076B DCA-M...13 N1077A...15 N1078A DCA-M...17...21...

More information

TONE RINGER

TONE RINGER 1/3 占空比通用 156 段 LCD 显示驱动电路 描述 SC75823E/W 是一个通用 LCD 驱动器, 可以用于微处理器控制的收音机的频率显示及其他显示应用 此外还可以最多直接驱动 156 个 LCD 字符段 主要特点 * 支持 1/3 占空比 1/2 偏置和 1/3 占空比 1/3 偏置的 LCD, 通过串行数据控制可以驱动多达 156 个字符段 * 和系统控制电路之间的串行数据通信支持

More information

SM16126 LED 显示屏驱动 ICIGGS2011V1.2 SM16126 概述 封装信息 SM16126 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据 产品名称 封装形式 塑封体尺寸 (mm) 脚间距 (mm) 转换成并行输出数据格式

SM16126 LED 显示屏驱动 ICIGGS2011V1.2 SM16126 概述 封装信息 SM16126 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据 产品名称 封装形式 塑封体尺寸 (mm) 脚间距 (mm) 转换成并行输出数据格式 SM16126 概述 封装信息 SM16126 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据 产品名称 封装形式 塑封体尺寸 (mm) 脚间距 (mm) 转换成并行输出数据格式 SM16126 工作电压为 3.3V 5V, 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流 ; 且单颗 IC 片内输出通道的电流差异小于 ±3%;

More information

! *!"#$%&'()*+,-./#01 6, 8 6, 8 6, 8 8!"# ( / )!"# ( / )!"# ( / )! ( ) 3SB3!" Ø22mm!"# ( / ) 6, 8 6, 8 6, 8 8!"# ( / )!"# ( / )!"# ( ) 7, 10 7, 9 7, 8

! *!#$%&'()*+,-./#01 6, 8 6, 8 6, 8 8!# ( / )!# ( / )!# ( / )! ( ) 3SB3! Ø22mm!# ( / ) 6, 8 6, 8 6, 8 8!# ( / )!# ( / )!# ( ) 7, 10 7, 9 7, 8 SIRIUS 3SB3 sirius s ! *!"#$%&'()*+,-./#01 6, 8 6, 8 6, 8 8!"# ( / )!"# ( / )!"# ( / )! ( ) 3SB3!" Ø22mm!"# ( / ) 6, 8 6, 8 6, 8 8!"# ( / )!"# ( / )!"# ( ) 7, 10 7, 9 7, 8! (2 /3 ) ( / ) RONIS! ( SB) CES

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

Microsoft Word - ATT7021manualcn.doc

Microsoft Word - ATT7021manualcn.doc 珠海炬力集成电路设计有限公司 ATT7021 用户手册 Page 1of 10 ATT7021 用户说明 特点 线性度好 一点校准误差可以保证误差小于 0.1 动态工作范围大于 500 1 高频输出脉冲适宜于计算机数据处理 低频输出脉冲能直接驱动脉冲电机 电流通道增益可调 在电流通道输入端可以使用小的电阻值锰铜 具有真正的反窃电功能逻辑输出 REVP 用于监测负功率, 能够精确测量正负两个方向的有功功率,

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

数字带通 带阻 高通滤波器的设计 把一个归一化原型模拟低通滤波器变换成另一个所需类型的模拟滤波器, 再将其数字化 直接从模拟滤波器通过一定的频率变换关系完成所需类型数字滤波器的设计 先设计低通型的数字滤波器, 再用数字频率变化方法将其转换成所需类型数字滤波器

数字带通 带阻 高通滤波器的设计 把一个归一化原型模拟低通滤波器变换成另一个所需类型的模拟滤波器, 再将其数字化 直接从模拟滤波器通过一定的频率变换关系完成所需类型数字滤波器的设计 先设计低通型的数字滤波器, 再用数字频率变化方法将其转换成所需类型数字滤波器 数字带通 带阻 高通滤波器的设计 把一个归一化原型模拟低通滤波器变换成另一个所需类型的模拟滤波器, 再将其数字化 直接从模拟滤波器通过一定的频率变换关系完成所需类型数字滤波器的设计 先设计低通型的数字滤波器, 再用数字频率变化方法将其转换成所需类型数字滤波器 模拟原型方法 : 模拟低通 - 模拟带通 H ( j) H ( j) 3 3 3 模拟原型方法 : 模拟低通 - 模拟带通 H ( j) 模拟低通

More information

Applications

Applications 概述 FM6126/FM6126Q 是一款专为 LED 模块和显示器设计的驱动 IC, 具有 16 路恒定的电流输出驱动能力 FM6126/FM6126Q 是一款专为 LED 显示屏设计的驱动 IC, 采用 16 路恒流灌电流输出 FM6126/FM6126Q 采用了 输出钳位 专利技术, 可以有效消除第一行偏暗现象, 同时可以防止灯珠损坏 同时 FM6126/FM6126Q 具有极佳的抗干扰特性,

More information

第 卷 第 期 年 月 半 导 体 学 报! " # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% )

第 卷 第 期 年 月 半 导 体 学 报!  # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% ) 第 卷 第 期 年 月!"# $%&'%' $!&'#%#$1/#1 $'! /18103 2?/03101?/18103 /3 0,?/0301.13 )*+!!! '!,!! -.&' $!'! 4%%&1)/1(7%&)03(%)%&,%*(1&0)%$-0*,%30)17*1*)0(+1(1+&1*+*),)1; &113(%44(10&.0701&0-&00*/)%;()1%-1+%&0)0*1*)%

More information

SM16106 LED 显示屏驱动 IC IT1GIGV1.0 SM16106 概述 SM16106 是专为 LED 显示屏设计的驱动芯片, 内 建 CMOS 位移寄存器与锁存功能, 可以将串行的输入 数据转换成并行输出数据格式 SM16106 工作电压为 3.3V 5.0V, 提供 16 个电 流

SM16106 LED 显示屏驱动 IC IT1GIGV1.0 SM16106 概述 SM16106 是专为 LED 显示屏设计的驱动芯片, 内 建 CMOS 位移寄存器与锁存功能, 可以将串行的输入 数据转换成并行输出数据格式 SM16106 工作电压为 3.3V 5.0V, 提供 16 个电 流 SM16106 概述 SM16106 是专为 LED 显示屏设计的驱动芯片, 内 建 CMOS 位移寄存器与锁存功能, 可以将串行的输入 数据转换成并行输出数据格式 SM16106 工作电压为 3.3V 5.0V, 提供 16 个电 流源, 可以在每个输出端口提供 1mA 32mA 的恒定电 流 ; 且单颗 IC 片内输出电流差异小于 ±2.5%; 多颗 IC 间的输出电流差异小于 ±3.5%; 通道输出电流不随着输

More information

Microsoft Word - hx711中文.doc

Microsoft Word - hx711中文.doc 电子秤专用模拟 / 数字 (A/D) 转换器芯片 简介 HX711 采用了海芯科技集成电路专利技术, 是一款专为高精度电子秤而设计的 24 位 A/D 转换器芯片 与同类型其它芯片相比, 该芯片集成了包括稳压电源 片内时钟振荡器等其它同类型芯片所需要的外围电路, 具有集成度高 响应速度快 抗干扰性强等优点 降低了电子秤的整机成本, 提高了整机的性能和可靠性 该芯片与后端 MCU 芯片的接口和编程非常简单,

More information

Keysight RF ps 350 MHz 6 15 GHz ps 75,000 90,000 Datalog 1M USB LXI-C/Ethernet LANUSBGPIB MDA / 350 MHz 53210A, 53220A, 53210A, 5322

Keysight RF ps 350 MHz 6 15 GHz ps 75,000 90,000 Datalog 1M USB LXI-C/Ethernet LANUSBGPIB MDA / 350 MHz 53210A, 53220A, 53210A, 5322 Keysight Technologies 53200A / / Keysight 53200 RF 12 20 ps 350 MHz 6 15 GHz 12 20 ps 75,000 90,000 Datalog 1M USB LXI-C/Ethernet LANUSBGPIB MDA / 350 MHz 53210A, 53220A, 53210A, 53220A, 53210A, 53220A,

More information

untitled

untitled EDM12864-03 : 25-1 : 116600 : (0411)7612956 7632020 7612955 : (0411)7612958 Model No.: Editor: 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

D/A DAC ( 1us) (10~20 ) DAC0832 1

D/A DAC ( 1us) (10~20 ) DAC0832 1 D/A DAC0832 8 ( 1us) (10~20 ) DAC0832 1 1. 20 DI7~DI0 ILE 8 8 DAC 8 D/A LE LE & RFB VREF IOUT2 IOUT1 RFB CS WR1 XFER WR2 & & AGND VCC DGND 2 DI7~DI0 ILE & 8 LE 8 DAC LE 8 D/A RFB V REF IOUT2 IOUT1 R FB

More information

三路输出LED闪光电路–HL0423

三路输出LED闪光电路–HL0423 产品概述 UCS1903B 是三通道 LED 驱动控制专用电路, 内部集成有 MCU 数字接口 数据锁存器 LED 高压驱 动等电路 通过外围 MCU 控制实现该芯片的单独辉度 级联控制实现户外大屏的彩色点阵发光控制 产 品性能优良, 质量可靠 功能特点 单线数据传输, 可无限级联 在接受完本单元的数据后能自动将后续数据进行整形转发 任意两点传输距离超过 10 米而无需增加任何电路 数据传输频率 800K/

More information

QIANZHENYU 2

QIANZHENYU 2 2009-8-7 QIANZHENYU 1 . 1.1 2001 2001 12 3 2009-8-7 QIANZHENYU 2 9 19 132 19 2009-8-7 QIANZHENYU 3 1.2 GB/T4765-1995 2009-8-7 QIANZHENYU 4 1.3 2009-8-7 QIANZHENYU 5 . 2.1 Electromagnetic Environment 2.2

More information

HXJ9005技术资料

HXJ9005技术资料 概 述 一 款 双 通 道 桥 接 的 音 频 功 率 放 大 器, 在 5V 电 源 电 压 4Ω 负 载 时, 可 提 供 3.5W 的 功 率 具 有 低 功 耗 关 断 模 式 和 过 温 保 护 功 能 在 电 路 启 动 时, 具 有 缓 冲 及 防 抖 动 功 能 此 外, 当 接 立 体 耳 机 时, 芯 片 可 以 单 终 端 工 作 模 式 驱 动 立 体 耳 机 具 有 外 部

More information

SIGNUM 3SB3

SIGNUM 3SB3 SGNUM * 6, 8 6, 8 6, 8 8 : : : : ( ) Ø22mm 6, 8 6, 8 6, 8 8 : : : : ( ) 7, 10 7, 9 7, 8 : (2 /3 ) RNS ( SB) : : CES / BKS : ( / ) 10 7, 8 : (2 /3 ) RNS ( 360012K1) : : MR : 7 Ø22mm 16 16 16 16 : : : :

More information

input commom-mode range) output swing (open loop gain) (GBW) ( phase margin) (gain margin)

input commom-mode range) output swing (open loop gain) (GBW) ( phase margin) (gain margin) OP AMP... 4 1. :... 4 2.... 5 2.1... 5 2.2... 6 3.... 6 3.1... 7 3.1.1... 7 3.1.2... 7 3.1.3... 7 3.1.4... 8 3.2 (Symbol)... 8 4.... 9 4.1... 9 4.1.1 Folded cascode... 9 4.1.2... 9 Fig 7... 10 4.1.3...

More information

5991-1117CHCN.indd

5991-1117CHCN.indd 开 关 电 源 测 量 应 用 指 南 使 用 Agilent InfiniiVision 3000/4000 X 系 列 示 波 器 并 结 合 开 关 电 源 测 量 选 件 简 介 配 有 开 关 电 源 测 量 选 件 的 Agilent 3000 和 4000 X 系 列 示 波 器 能 够 提 供 一 个 快 速 且 方 便 的 方 法, 帮 助 您 分 析 开 关 电 源 的 可 靠

More information

科展報告

科展報告 中 華 民 國 第 55 屆 中 小 學 科 學 展 覽 會 作 品 說 明 書 國 小 組 物 理 科 手 機 電 磁 波 有 多 強 - 手 機 電 磁 波 強 度 之 探 討 學 校 名 稱 : 嘉 義 縣 竹 崎 鄉 龍 山 國 民 小 學 作 者 : 指 導 老 師 : 小 五 張 凱 傑 邱 煌 仁 小 五 陳 俊 宏 關 鍵 詞 : 電 磁 波 手 機 編 號 : 手 機 電 磁 波

More information

untitled

untitled 2013/08/23 Page1 26 Ver.1.2 2013/08/23 Page2 26 Ver.1.2 2013/08/23 Page3 26 Ver.1.2 -JO8 DIM EN 5 GND GND -FA6 SOT23-6 6 SEN SW VIN 4 DIM 3 2 1 -GG5 VIN SEN GND DIM 5 SW 1 SOT89-5 4 3 2 TO-252 -HE5 VIN

More information

<4D F736F F F696E74202D AD4955D89BF8FDA8DD790E096BE C835B E707074>

<4D F736F F F696E74202D AD4955D89BF8FDA8DD790E096BE C835B E707074> e-trace 132 1617 1872 p32-12 1/71 2/71 . GPS AIST 17 1-12 p32-39 3/71 GPS NMIJGPSGPS time GPS #N 1 GPS #N 2 GPS GPS #N 3 TA TA GPS _ time TA T T GPS _ time T T AB B A B T T T T ( T TB) B A B B A 4/71 16

More information

Microsoft Word - FM17522_ps_chs - new.doc

Microsoft Word - FM17522_ps_chs - new.doc FM17522 通用 非接触读写器芯片 2016.10 FM17522 非接触读写器芯片 版本 1.4 1 本资料是为了让用户根据用途选择合适的上海复旦微电子集团股份有限公司 ( 以下简称复旦微电子 ) 的产品而提供的参考资料, 不转让属于复旦微电子或者第三者所有的知识产权以及其他权利的许可 在使用本资料所记载的信息最终做出有关信息和产品是否适用的判断前, 请您务必将所有信息作为一个整体系统来进行评价

More information

NS4890用户手册

NS4890用户手册 用户手册 1.2 2012 年 10 月 第 1页 共 修改历史 日期 版本 作者 修改说明 第 2页 共 目 录 1 功能说明...5 2 主要特性...5 3 应用领域...5 4 典型应用电路...5 5 极限参数...6 6 电气特性...6 7 芯片管脚描述...8 7.1 7.2 8 管脚分配图... 8 引脚功能描述... 8 B 典型参考特性... 9 8.1 8.2 8.3 8.4

More information

Keysight Technologies N1090A N1092A/B/C/D/E N1094A/B DCA-M 1 Gb/s 53 GBaud

Keysight Technologies N1090A N1092A/B/C/D/E N1094A/B DCA-M 1 Gb/s 53 GBaud Keysight Technologies N1090A N1092A/B/C/D/E N1094A/B DCA-M 1 Gb/s 53 GBaud 02 Keysight N1090A N1092A/B/C/D/E N1094A/B DCA-M DCA...03 N109X...04 N1090A...07 N1092/4...08 N1090A... 10 N1090A EEC... 12 N1090A...

More information

MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需

MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需 MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需检测电阻, 能检测交直流电流和外围电路简单 检测电流方案为电流传感器 APCL-C784 和 C79B

More information

Intersil精密模拟器件

Intersil精密模拟器件 Intersil 1nV/vHz & 0.00017% 1nV/ Hz Hz IC +125 DCPs VOUT I 2 C Intersil 450nA ( ) 2nA na / IntersilIC 2.8mm 1.6mm / V I SS µa (Max.) V OS (mv) (Max.) I B (pa) @1kHz (nv/ Hz ) khz (Tye.)(dB) (Tye.)(dB)

More information

untitled

untitled EDM12832-08 : 25-1 : 116600 : (0411)7612956 7632020 7631122 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

TONE RINGER

TONE RINGER 四通道低压 5V 全桥驱动描述 是一款四通道低压 5V 全桥驱动芯片, 为摄像 机 消费类产品 玩具和其他低压或者电池供电的运动控 制类应用提供了集成的电机驱动解决方案 能提供高达 0.8A 的输出电流 可以工作在 1.8~6V 的电源电压上 具有 PWM(IN1/IN2) 输入接口, 与行业标准器件 兼容, 并具有过温保护功能 具有省电模式 主要特点 四通道 H 桥电机驱动器 -- 驱动直流电机或其他负载

More information

MPW 项目简介

MPW 项目简介 特性描述 是三通道 LED( 发光二极管 ) 驱动控制专用电路, 内部集成有 MCU 数字接口 数据锁存器 LED 高压驱动等电路 通过外围 MCU 控制实现该芯片的单独辉度 级联控制实现户外大屏的彩色点阵发光控制 本产品性能优良, 质量可靠 功能特点 采用高压功率 CMOS 工艺 输出端口耐压 24V 非 5V 供电时 须串接电阻并对 接 5V 稳压管, 工作电压支持 6V~24V 辉度调节电路,256

More information

S P = n = S PVsp ( 1+ i) 1 ( 1+ 010. ) 10 = PV sp = 0. 3855 10000 1000 = 900 10 ( 10000 + 1000) 010. = 5500 010. = 550 2 = 100( - ) 20000 50000 5 100 = 40% 50000 2 20000 6875 10000 3125 100 100 = = 12.

More information

XPT4871芯片用户手册

XPT4871芯片用户手册 NS489 NS489 用户手册 V. 深圳市 科技有限公司 2 年月 6 第 页, 共 7 页 NS489 目 录 功能说明... 4 2 主要特性... 4 3 应用领域... 4 4 典型应用电路... 4 5 极限参数... 5 6 电气特性... 5 7 芯片管脚描述... 7 7. 管脚分配图... 7 7.2 引脚功能描述... 7 8 NS489 典型参考特性... 8 8. 总谐波失真

More information

MHE/4, : ( ) MSF. BSI 2

MHE/4, : ( ) MSF. BSI 2 BS 5900:1999 ICS91.140.90 1 MHE/4, : ( ) MSF. BSI 2 ii 1 1 2 1 3 1 4 3 5 4 6 4 7 7 8 9 9 9 10 9 11 10 12 12 13 12 14 12 15 15 16 18 17, 18 18 18 A( ) 19 B( ) 20 C ( ) 20 D ( ) 21 E ( ) 22 F( ) 27 G( )

More information

×××芯片用户手册

×××芯片用户手册 LXY28162 用户手册 2008 年 07 月 V1.0 版权所有, 侵权必究深圳市灵芯宇科技有限公司第 1 页, 共 13 页 目录 1 芯片功能说明... 4 1.1 芯片主要功能特性... 5 1.2 芯片应用场合... 5 1.3 芯片基本结构描述... 5 2 芯片特性说明... 6 2.1 芯片的封装和引脚... 6 2.2 芯片最大极限值... 7 2.3 芯片电气特性 (VDD=5)...

More information

DATASHEET SEARCH SITE ==

DATASHEET SEARCH SITE == 矽诺威一级代理龙创威电子小柯 13652437521 描述 特性 是一颗单通道 AB 类音频功率放大器 在 5V 电源供电,THD+N=10%,4 欧姆负载上可以输出 2.5W 的功率 优异的噪声和 THD 指标可以提供高品质的音频信号放大 极少的外围元件就能提供芯片稳定工作, 大大减少了 PCB 面积并降低成本 具有关断功能, 极大的延长系统的待机时间 过热保护功能增强系统的可靠性 POP 声抑制功能改善了系统的听觉感受,

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM3316/3315 MCU 2017.06 FM3316/3315 MCU 2.21 (http://www.fmsh.com/) FM3316/3315 MCU 2.22 ... 3 1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.3.1... 6 2.3.2... 6 2.4... 9 2.5... 10 2.5.1 LQFP64... 10 2.5.2

More information

... 2 SK SK Command KA 9000 COM... 9 SK / SK / Autolock SK

... 2 SK SK Command KA 9000 COM... 9 SK / SK / Autolock SK SK 9000 ... 2 SK 9000... 4... 4... 5 SK 9000... 7... 9 Command KA 9000 COM... 9 SK 9000... 10 / SK 9000... 10 / Autolock... 12... 13... 14 SK 9000... 17... 18... 19... 19... 20 SK 9000... 20 ZH RU PT NL

More information

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg 1 1 a. 0.5V 5V 1Hz 1MHz b. 0.1% 2 : a. 0.5V 5V 1Hz 1MHz b. 0.1% (3) a. 0.5V 5V 100 s b. 1% 4 1 10 5 1MHz 6 1 2 1 0.1Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15

More information

目 次 前 言... IV 1 范 围... 1 2 规 范 性 引 用 文 件... 1 3 术 语 和 定 义... 2 3.1 一 般 定 义... 2 3.2 准 确 度... 2 4 型 号 和 命 名... 3 4.1 产 品 型 号...3 4.2 基 本 参 数 与 尺 寸...3

目 次 前 言... IV 1 范 围... 1 2 规 范 性 引 用 文 件... 1 3 术 语 和 定 义... 2 3.1 一 般 定 义... 2 3.2 准 确 度... 2 4 型 号 和 命 名... 3 4.1 产 品 型 号...3 4.2 基 本 参 数 与 尺 寸...3 ICS 17.100 N13 中 华 人 民 共 和 国 国 家 标 准 GB/T 耐 压 式 计 量 给 煤 机 Pressure mode weighing coal feeder (OIML R50:1997, Continuous Totalizing Automatic Weighing Instruments,NEQ) ( 报 批 稿 ) ( 本 稿 完 成 日 期 :2010-4-29)

More information

Cerris IROS

Cerris IROS 上海海栎创微电子有限公司 CSM212/216/224 数据手册 具有专用电容检测模块的 8 位单片机 Rev: V1.2 www.hynitron.com CSM212/216/224 是一款具有高性能精简指令集且集成电容触控功能的 EERPOM 型 8051 单片机 此单 片机集成有硬件触控 CDC 模块, 可多次编程的 EEPROM 存储器和常用通讯接口, 为各种触摸按键的应用 提供了一种简单而又有效的实现方法

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

1 A5 3169-20 3169-21,, 3166 30%,, VT(PT/CT 3, D/A 3169-21 4 D/A, RMS, LAG/LEAD,, RMS A5 3169-21 ( D/A 9661 ( 33, 9661 (500A AC ( 9669 (1000A AC ( 9438

1 A5 3169-20 3169-21,, 3166 30%,, VT(PT/CT 3, D/A 3169-21 4 D/A, RMS, LAG/LEAD,, RMS A5 3169-21 ( D/A 9661 ( 33, 9661 (500A AC ( 9669 (1000A AC ( 9438 http://www.hioki.cn 3169-20 3169-21 34,, PC, RS-232C,,, 3169-203169-21 3169-20,3169-21 33 (, 0.5A~5000A, PC 9625,! /ISO14001 / /, 254mm AC 5000A 9667 HIOKI,, 3169-2196619669 (,3169-20/21 5000A 2005 1 A5

More information

MPW 项目简介

MPW 项目简介 特性描述 TM1814 是具有内控同步功能的四通道 LED 恒流可调的驱动 IC, 可由 MCU 输入一组恒流设置与 PWM 设置的数据到 TM1814 实现各式各样的显示, 当信号输入断开后实现同步固定七彩花样变化, 可防止芯片损坏导致的后续级联芯片不工作 芯片内部集成有 MCU 固化程序和单线数字接口 数据锁存器 LED 恒流驱动等电路 VDD 引脚内部集成 5V 稳压管, 外围器件少 适用于护栏管

More information

Keysight U1210 DMM CAT IV 600V CAT III 1000 V 52mm A + CAT III 1000 V/CAT IV 600 V 0.01 A /

Keysight U1210 DMM CAT IV 600V CAT III 1000 V 52mm A + CAT III 1000 V/CAT IV 600 V 0.01 A / Keysight U1210 Keysight U1210 DMM CAT IV 600V CAT III 1000 V 52mm 2 1000A + CAT III 1000 V/CAT IV 600 V 0.01 A / U1210 52mm/2 1000A + Keysight U1210 Keysight U1210 U1210 U1177A Android 10 3 2 / 1000A +

More information

A5katalog_fina CNl.indd

A5katalog_fina CNl.indd System DIO 2000 工 业 测 量 系 统 的 完 整 解 决 方 案 STARMANS DIO 2000 软 件 DIO 2000 一 般 功 能 该 系 统 的 超 声 通 道 设 计 为 独 立 的 超 声 插 入 单 元 ( 模 块 ), 每 个 模 块 都 有 独 立 的 微 处 理 器 控 制 和 信 号 处 理 功 能 插 入 单 元 ( 尺 寸 为 100 x 160 毫

More information

16位A/D转换器

16位A/D转换器 16 位 A/D 转换器 anyh 是双竞公司新推出的 16 位 A/D 转换器, 具有两个全差分输入通道, 可用于测量低频模拟信号 这种器件带有增益可编程放大器, 可通过软件编程来直接测量传感器输出的各种微小信号 具有分辨率高 动态范围广 自校准等特点, 因而非常适合于工业控制 仪表测量等领域 16 位 A/D 转换器 1. 概述 是双竞公司新推出的 16 位 A/D 转换器, 具有两个全差分输入通道,

More information

DATASHEET SEARCH SITE ==

DATASHEET SEARCH SITE == 大功率 高细分两相混合式 步进电机芯片式驱动器 一 特性 双全桥 MOSFET 驱动, 低导通电阻 Ron=0.4Ω( 上桥 + 下桥 ) 最高最耐压 50VDC, 峰值电流 4.5A ; 工作电压 42V, 工作电流 3.5A 多种细分可选 (1/2 1/8 1/10 1/16 1/20 1/32 1/40 1/64) 自动半流锁定功能 衰减方式连续可调 内置温度保护及过流保护 重量 : 9.86

More information

untitled

untitled LCD EDM12864HBSL-ALC-G : 25-1 : 116600 : (0411)7612956 7632020 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

CX3300 Series Device Current Waveform Analyzer - Data Sheet

CX3300 Series Device Current Waveform Analyzer - Data Sheet CX3300 CX3322A 2 CX3324A 4 CX1101A CX1102A CX1103A CX1104A CX1105A CX1151A CX1152A ... 03... 05... 07 CX3300... 09 200 MHz 1 X 10 12 CX3300... 11 14 16... 12 1 GSa/s 200 MHz... 14 CX3300... 15... 19 /...

More information

STEP-MXO2 V2硬件手册

STEP-MXO2 V2硬件手册 小脚丫 STEP FPGA STEP 2016/8/28 目录 1. 概述... 2 2.STEP-MXO2 V2 硬件简介 :... 3 2.1 STEP-MXO2 V2 开发板... 3 2.2 FT232 编程器... 3 2.3 FPGA... 3 2.4 供电... 4 2.5 时钟... 4 2.6 七段数码管... 4 2.7 三色 LED... 4 2.8 拨码开关... 4 2.9

More information

FM17520非接触读写器芯片

FM17520非接触读写器芯片 FM17522 通用 非接触读写器芯片 2014. 07 FM17522 非接触读写器芯片 版本 1.1 1 本资料是为了让用户根据用途选择合适的上海复旦微电子集团股份有限公司 ( 以下简称复旦微电子 ) 的产品而提供的参考资料, 不转让属于复旦微电子或者第三者所有的知识产权以及其他权利的许可 在使用本资料所记载的信息最终做出有关信息和产品是否适用的判断前, 请您务必将所有信息作为一个整体系统来进行评价

More information

Microsoft Word - HTL7G06S009P_V2.3_CH.doc

Microsoft Word - HTL7G06S009P_V2.3_CH.doc Document Number: HTL7G6S9P Product Data Sheet Rev. 2.3, 1/17 LDMOS 射频功率晶体管 HTL7G6S9P 1. 产品描述 HTL7G6S9P 是一款为 VHF/UHF 频段射频功率放大器而设计的 LDMOS 射频功率晶体管 器件内部集成静电保护电路 1-6MHz, 8W, 7.2V WIDE BAND RF POWER LDMOS TRANSISTOR

More information

SD3102 带显示驱动 I 2 C 和按键的单差分通道计量 SOC 特点 高精度 ADC,24 位数据输出, 输入增益可选 和 16, 单差分通道, 可测量信号的真有效值和瞬时值 8 位 RISC 低功耗 MCU,49 条指令,6 级堆栈, 在 2.048MHz 工作时钟,MCU 部分

SD3102 带显示驱动 I 2 C 和按键的单差分通道计量 SOC 特点 高精度 ADC,24 位数据输出, 输入增益可选 和 16, 单差分通道, 可测量信号的真有效值和瞬时值 8 位 RISC 低功耗 MCU,49 条指令,6 级堆栈, 在 2.048MHz 工作时钟,MCU 部分 带显示驱动 I C 和按键的单差分通道计量 SOC 特点 高精度 ADC, 位数据输出, 输入增益可选 8 和 6, 单差分通道, 可测量信号的真有效值和瞬时值 8 位 RISC 低功耗 MCU,9 条指令,6 级堆栈, 在.08MHz 工作时钟,MCU 部分在.V 工作电压下电流典型值为 00uA;kHz 时钟待机模式下工作电流为 7.6uA, 休眠模式电流小于 ua 6k Byts OTP 程序存储器,5

More information

s 153 30 2002.11 MM440... 3 ECO... 4 MM440... 8 ECO... 9... 11 6SE92... 14 ECO /... 16 MDV... 18 MICROMASTER440... 19 MICROMASTER440... 20 MM440... 22... 24 MM440... 27 MICROMASTER440... 29 MM440... 31

More information

bingdian001.com

bingdian001.com (AVA PROPRE 6HD) ... 5 1.1 1.2 1.3 1.4 1.5 1.6... 5... 6... 6... 9... 9... 10... 12 2.1 2.2 2.3 2.4 2.5 2.6 2.7 2.8 2.9... 12... 12... 13... 13... 14... 15... 16... 17... 18 2.10... 18 2.11... 19 2.12...

More information

AVS7516 声音事件检测芯片硬件数据手册修正记录 版本 发布日期 内容描述 首次发布版本 修订电路参考图等细节 修订部分错误, 更新部分电路参考图 第 2 页共 16 页

AVS7516 声音事件检测芯片硬件数据手册修正记录 版本 发布日期 内容描述 首次发布版本 修订电路参考图等细节 修订部分错误, 更新部分电路参考图 第 2 页共 16 页 AVS7516 声音事件检测芯片 硬件数据手册 深圳声联网科技有限公司 0755-33349168 0755-33349798 www.avsnest.com 声联网官方订阅号 第 1 页共 16 页 AVS7516 声音事件检测芯片硬件数据手册修正记录 版本 发布日期 内容描述 1.0 2017-04-27 首次发布版本 1.1 2017-08-02 修订电路参考图等细节 1.2 2018-07-09

More information

3 3 ma mv V AMC 3 2.5kPa ~ 100 MPa 2.5 kpa ~ 100MPa 4

3 3 ma mv V AMC 3 2.5kPa ~ 100 MPa 2.5 kpa ~ 100MPa 4 DPI 620 - DPI 620 0.0025%rdg+0.002%FS Hart Win CE PDA USB IEEE 802.11g WIFI - PM 620 GE 2.5 kpa 100 MPa 0.005%FS DPI 620 3 - PV 62X - 95% 2 MPa - 95% 10 MPa - 0 100 MPa 3 3 www.ge-mcs.com 3 3 ma mv V AMC

More information

XT1861

XT1861 同步升压 DC-DC 变换器 产品概述 XT1861 系列产品是一款低功耗高效率 低纹波 工作频率高的 PFM 控制升压 DC-DC 变换器 XT1861 系列产品仅需要 3 个外部元器, 即可完成低输入的电池电压输入 用途 1~3 个干电池的电子设备 数码相机 电子词典 ED 手电筒 ED 灯 血压计 MP3 遥控玩具 无线耳机 无线鼠标键盘 医疗器械 防丢器 汽车防盗器 充电器 VCR PD 等手持电子设备

More information

19 0 1 2 3 4 5 6 7 28 29 0 1 2 3 4

19 0 1 2 3 4 5 6 7 28 29 0 1 2 3 4 19 0 1 2 3 4 5 6 7 28 29 0 1 2 3 4 5 6 7 38 ( ) VS 3 100 ( ) MM MM ! 1 2003 VS . MM MM MM MM MM MM MM CS MM CS MM MM ! ? % Y O [ ] Y O [ ] [ ] [ ] MM MM

More information