Microsoft Word - hx711中文.doc

Size: px
Start display at page:

Download "Microsoft Word - hx711中文.doc"

Transcription

1 电子秤专用模拟 / 数字 (A/D) 转换器芯片 简介 HX711 采用了海芯科技集成电路专利技术, 是一款专为高精度电子秤而设计的 24 位 A/D 转换器芯片 与同类型其它芯片相比, 该芯片集成了包括稳压电源 片内时钟振荡器等其它同类型芯片所需要的外围电路, 具有集成度高 响应速度快 抗干扰性强等优点 降低了电子秤的整机成本, 提高了整机的性能和可靠性 该芯片与后端 MCU 芯片的接口和编程非常简单, 所有控制信号由管脚驱动, 无需对芯片内部的寄存器编程 输入选择开关可任意选取通道 A 或通道 B, 与其内部的低噪声可编程放大器相连 通道 A 的可编程增益为 128 或 64, 对应的满额度差分输入信号幅值分别为 ±20mV 或 ±40mV 通道 B 则为固定的 32 增益, 用于系统参数检测 芯片内提供的稳压电源可以直接向外部传感器和芯片内的 A/D 转换器提供电源, 系统板上无需另外的模拟电源 芯片内的时钟振荡器不需要任何外接器件 上电自动复位功能简化了开机的初始化过程 特点 两路可选择差分输入 片内低噪声可编程放大器, 可选增益为 32,64 和 128 片内稳压电路可直接向外部传感器和芯片内 A/D 转换器提供电源 片内时钟振荡器无需任何外接器件, 必要时也可使用外接晶振或时钟 上电自动复位电路 简单的数字控制和串口通讯 : 所有控制由管脚输入, 芯片内寄存器无需编程 可选择 10Hz 或 80Hz 的输出数据速率 同步抑制 50Hz 和 60Hz 的电源干扰 耗电量 ( 含稳压电源电路 ): 典型工作电流 :< 1.6mA, 断电电流 :< 1µA 工作电压范围 :2.6 ~ 5.5V 工作温度范围 :-40 ~ 管脚的 SOP-16 封装 传感器 V AVDD 10uF AVDD R2 R1 VFB S8550 V SUP BASE VSUP DVDD 2.7~5.5V INA+ INA- INB+ INB- Input MUX Analog Supply Regulator PGA Gain = 32, 64, bit Σ ADC Digital Interface DOUT PD_SCK RATE To/From MCU 0.1uF VBG Bandgap Reference Internal Oscillator HX711 AGND XI XO 图一 HX711 内部方框图 Information contained in this document is for design reference only and not a guarantee. Avia Semiconductor reserves the right to modify it without notice. TEL: (592) (P. R. China) AVIA SEMICONDUCTOR market@aviaic.com

2 管脚说明 稳压电路电源稳压电路控制输出模拟电源稳压电路控制输入模拟地参考电源输出通道 A 负输入端通道 A 正输入端 VSUP BASE AVDD VFB AGND VBG INNA INPA DVDD RATE XI XO DOUT PD_SCK INPB INNB 数字电源输出数据速率控制输入外部时钟或晶振输入晶振输入串口数据输出断电和串口时钟输入通道 B 正输入端通道 B 负输入端 SOP-16L 封装 管脚号名称性能描述 1 VSUP 电源 稳压电路供电电源 : 2.6 ~ 5.5V 2 BASE 模拟输出 稳压电路控制输出 ( 不用稳压电路时为无连接 ) 3 AVDD 电源 模拟电源 : 2.6 ~ 5.5V 4 VFB 模拟输入 稳压电路控制输入 ( 不用稳压电路时应接地 ) 5 AGND 地 模拟地 6 VBG 模拟输出 参考电源输出 7 INA- 模拟输入 通道 A 负输入端 8 INA+ 模拟输入 通道 A 正输入端 9 INB- 模拟输入 通道 B 负输入端 10 INB+ 模拟输入 通道 B 正输入端 11 PD_SCK 数字输入 断电控制 ( 高电平有效 ) 和串口时钟输入 12 DOUT 数字输出 串口数据输出 13 XO 数字输入输出 晶振输入 ( 不用晶振时为无连接 ) 14 XI 数字输入 外部时钟或晶振输入,0: 使用片内振荡器 15 RATE 数字输入 输出数据速率控制,0: 10Hz; 1: 80Hz 16 DVDD 电源 数字电源 : 2.6 ~ 5.5V 表一管脚描述 AVIA SEMICONDUCTOR 2

3 主要电气参数 参数条件及说明最小值典型值最大值单位 满额度差分输入范围 V(inp)-V(inn) ±0.5(AVDD/GAIN) V 有效位数 (Effective- Number-of-Bits) (1) 增益 = 128, 速率 =10Hz 19.7 Bits 无噪声位数 (Noise- Free Bits) (2) 增益 = 128, 速率 =10Hz 17.3 Bits 积分非线性 (INL) 满量程的百分比 ±0.001 %of FSR 输入共模电压范围 AGND+1.2 AVDD-1.3 V 输出数据速率使用片内振荡器,RATE = 0 10 Hz 使用片内振荡器,RATE = DVDD 80 外部时钟或晶振,RATE = 0 f clk /1,105,920 外部时钟或晶振,RATE = DVDD f clk /138,240 输出数据编码二进制补码 FFFFF HEX (3) 输出稳定时间 RATE = ms RATE = DVDD 50 输入零点漂移增益 = mv 增益 = mv 输入噪声增益 = 128,RATE = 0 50 nv(rms) 增益 = 128,RATE = DVDD 90 温度系数输入零点漂移 ( 增益 = 128) ±12 nv/ 增益漂移 ( 增益 = 128) ±7 ppm/ 输入共模信号抑制比增益 = 128,RATE = db 电源干扰抑制比增益 = 128,RATE = db 输出参考电压 (V BG ) 1.25 V 外部时钟或晶振频率 MHz 电源电压 DVDD V AVDD,VSUP 模拟电源电流正常工作 1500 µa ( 含稳压电路 ) 断电 0.5 数字电源电流正常工作 100 µa 断电 0.2 (1) 有效位数 ENBs(Effective Number of Bits) = ln(fsr/rms Noise)/ln(2) FSR 为满量程输入或输出, RMS Noise 为对应的输入或输出噪声有效值 (2) 无噪声位数 (Noise-Free Bits) = ln(fsr/peak-to-peak Noise)/ln(2) FSR 为满量程输入或输出, Peak-to-Peak Noise 为对应的输入或输出噪声峰 - 峰值 (3) 输出稳定时间指从上电 复位 输入通道或增益改变到有效的稳定输出数据时间 表二主要电气参数表 AVIA SEMICONDUCTOR 3

4 模拟输入 通道 A 模拟差分输入可直接与桥式传感器的差分输出相接 由于桥式传感器输出的信号较小, 为了充分利用 A/D 转换器的输入动态范围, 该通道的可编程增益较大, 为 128 或 64 这些增益所对应的满量程差分输入电压分别 ± 20mV 或 ±40mV 通道 B 为固定的 32 增益, 所对应的满量程差分输入电压为 ±80mV 通道 B 应用于包括电池在内的系统参数检测 供电电源 数字电源 (DVDD) 应使用与 MCU 芯片相同的的数字供电电源 HX711 芯片内的稳压电路可同时向 A/D 转换器和外部传感器提供模拟电源 稳压电源的供电电压 (VSUP) 可与数字电源 (DVDD) 相同 稳压电源的输出电压值 (V AVDD) 由外部分压电阻 R 1 R 2 和芯片的输出参考电压 VBG 决定 ( 图 1),V AVDD=V BG(R 1+R 2)/R 2 应选择该输出电压比稳压电源的输入电压 (V SUP) 低至少 100mV 如果不使用芯片内的稳压电路, 管脚 VSUP 应连接到 DVDD 或 AVDD 中电压较高的一个管脚上 管脚 VBG 上不需要外接电容, 管脚 VFB 应接地, 管脚 BASE 为无连接 时钟选择 如果将管脚 XI 接地,HX711 将自动选择使用内部时钟振荡器, 并自动关闭外部时钟输入和晶振的相关电路 这种情况下, 典型输出数据速率为 10Hz 或 80Hz 如果需要准确的输出数据速率, 可将外部输入时钟通过一个 20pF 的隔直电容连接到 XI 管脚上, 或将晶振连接到 XI 和 XO 管脚上 这种情况下, 芯片内的时钟振荡器电路会自动关 闭, 晶振时钟或外部输入时钟电路被采用 此时, 若晶振频率为 MHz, 输出数据速率为准确的 10Hz 或 80Hz 输出数据速率与晶振频率以上述关系按比例增加或减少 使用外部输入时钟时, 外部时钟信号不一定需要为方波 可将 MCU 芯片的晶振输出管脚上的时钟信号通过 20pF 的隔直电容连接到 XI 管脚上, 作为外部时钟输入 外部时钟输入信号的幅值可低至 150mV 串口通讯 串口通讯线由管脚 PD_SCK 和 DOUT 组成, 用来输出数据, 选择输入通道和增益 当数据输出管脚 DOUT 为高电平时, 表明 A/D 转换器还未准备好输出数据, 此时串口时钟输入信号 PD_SCK 应为低电平 当 DOUT 从高电平变低电平后,PD_SCK 应输入 25 至 27 个不等的时钟脉冲 ( 图二 ) 其中第一个时钟脉冲的上升沿将读出输出 24 位数据的最高位 (MSB), 直至第 24 个时钟脉冲完成,24 位输出数据从最高位至最低位逐位输出完成 第 25 至 27 个时钟脉冲用来选择下一次 A/D 转换的输入通道和增益, 参见表三 PD_SCK 脉冲数输入通道增益 25 A B A 64 表三输入通道和增益选择 PD_SCK 的输入时钟脉冲数不应少于 25 或多于 27, 否则会造成串口通讯错误 当 A/D 转换器的输入通道或增益改变时, A/D 转换器需要 4 个数据输出周期才能稳定 DOUT 在 4 个数据输出周期后才会从高电平变低电平, 输出有效数据 AVIA SEMICONDUCTOR 4

5 当前转换周期 下一个转换周期 一个数据输出周期时间 DOUT MSB LSB T2 T3 T1 PD_SCK 下一次转换 : 通道 A, 增益 128 PD_SCK T4 下一次转换 : 通道 B, 增益 32 PD_SCK 下一次转换 : 通道 A, 增益 64 图二数据输出, 输入通道和增益选择时序图 符号 说明 最小值典型值最大值单位 T1 DOUT 下降沿到 PD_SCK 脉冲上升沿 0.1 µs T2 PD_SCK 脉冲上升沿到 DOUT 数据有效 0.1 µs T3 PD_SCK 正脉冲电平时间 µs T4 PD_SCK 负脉冲电平时间 0.2 µs 复位和断电 当芯片上电时, 芯片内的上电自动复位电路会使芯片自动复位 管脚 PD_SCK 输入用来控制 HX711 的断电 当 PD_SCK 为低电平时, 芯片处于正常工作状态 断电控制 : 进入正常工作状态 芯片从复位或断电状态进入正常工作状态后, 通道 A 和增益 128 会被自动选择作为第一次 A/D 转换的输入通道和增益 随后的输入通道和增益选择由 PD_SCK 的脉冲数决定, 参见串口通讯一节 芯片从复位或断电状态进入正常工作状态后,A/D 转换器需要 4 个数据输出周期才能稳定 DOUT 在 4 个数据输出周期后才会从高电平变低电平, 输出有效数据 PD_SCK 图三 60µ s 断电 断电控制 正常工作 如果 PD_SCK 从低电平变高电平并保持在高电平超过 60µs,HX711 即进入断电状态 ( 图三 ) 如使用片内稳压电源电路, 断电时, 外部传感器和片内 A/D 转换器会被同时断电 当 PD_SCK 重新回到低电平时, 芯片会自动复位后 应用实例 图四为 HX711 芯片应用于计价秤的一个参考电路图 该方案使用内部时钟振荡器 (XI=0),10Hz 的输出数据速率 (RATE=0) 电源 (2.7~5.5V) 直接取用与 MCU 芯片相同的供电电源 通道 A 与传感器相连, 通道 B 通过片外分压电阻 ( 未在图一中显示 ) 与电池相连, 用于检测电池电压 AVIA SEMICONDUCTOR 5

6 充电电路 LED 稳压管 1u 磁珠 L1 LCD 电池 Q1 0.1u 传感器 0.1u 1k 4.7k VSUP BASE AVDD VFB AGND VBG INNA INPA DVDD RATE XI XO DOUT PD_SCK INPB INNB VDD I/O I/O 0.1u HX711 MCU 1k 图四 HX711 计价秤应用参考电路图 L1: 用于隔离模拟与数字电源 ; Q1: 用于关断传感器和 ADC 电源 参考 PCB 板 ( 单层 ) 图五为与 HX711 相关部分的 PCB 板参考设计线路图 图五为相应的单层 PCB 板参考设计板图 图五 与 HX711 相关部分的 PCB 板参考设计线路图 AVIA SEMICONDUCTOR 6

7 图六 与 HX711 相关部分的单层 PCB 板参考设计板图 AVIA SEMICONDUCTOR 7

8 参考驱动程序 ( 汇编 ) /* 在 ASM 中调用 : LCALL ReadAD 可以在 C 中调用 : extern unsigned long ReadAD(void);.. unsigned long data; data=readad(); */ PUBLIC ReadAD HX711ROM segment code rseg HX711ROM sbit sbit ADDO = P1.5; ADSK = P0.0; /* OUT: R4, R5, R6, R7 R7=>LSB 如果在 C 中调用, 不能修改 R4,R5,R6,R */ ReadAD: CLR ADSK // 使能 AD(PD_SCK 置低 ) JB ADDO,$ // 判断 AD 转换是否结束, 若未结束则等待否则开始读取 MOV R4,#24 ShiftOut: SETB ADSK //PD_SCK 置高 ( 发送脉冲 ) NOP CLR ADSK //PD_SCK 置低 MOV C,ADDO // 读取数据 ( 每次一位 ) XCH A,R7 // 移入数据 RLC A XCH A,R7 XCH A,R6 RLC A XCH A,R6 XCH A,R5 RLC A XCH A,R5 DJNZ R4,ShiftOut // 判断是否移入 24BIT SETB ADSK NOP CLR ADSK RET END AVIA SEMICONDUCTOR 8

9 参考驱动程序 (C) sbit ADDO = P1^5; sbit ADSK = P0^0; unsigned long ReadCount(void){ unsigned long Count; unsigned char i; ADSK=0; Count=0; while(addo); for (i=0;i<24;i++){ ADSK=1; Count=Count<<1; ADSK=0; if(addo) Count++; } ADSK=1; Count=Count^0x800000; ADSK=0; return(count); } AVIA SEMICONDUCTOR 9

10 封装尺寸 典型值 最大值最小值 单位 : 毫米 SOP-16L 封装 注意事项 1. 所有数字输入管脚, 包括 RATE,XI 和 PD_SCK 管脚, 芯片内均无内置拉高或拉低电阻 这些管脚在使用时不应悬空 2. 建议使用通道 A 与传感器相连, 作为小信号输入通道 ; 通道 B 用于系统参数检测, 如电池电压检测 3. 建议使用 PNP 管 S8550 与片内稳压电源电路配合 也可根据需要使用其他 MOS 或双极晶体管, 但应注意稳压电源的稳定性 4. 无论是采用片内稳压电源或系统上其他电源, 建议传感器和 A/D 转换器使用同一模拟供电电源 5.PD_SCK 的输入时钟脉冲数不应少于 25 或多于 27, 否则会造成串口通讯错误 6. 与 DOUT 相连的 MCU 接口应设置为输入口, 并且不接任何拉高或拉低电阻, 以减少 MCU 与 ADC 之间的电流交换 ( 干扰 ) AVIA SEMICONDUCTOR 10

11 参考电路板 参考驱动程序 常见问题 问 : 答 : 问 : 答 : AVIA SEMICONDUCTOR 11

DATASHEET SEARCH SITE ==

DATASHEET SEARCH SITE == 特性描述 是应用于电子秤低频测量的单通道模拟前端 该器件可以接受直接来自传感器的低电平的输入信号, 然后产生串行的数字输出 利用 Σ-Δ 转换技术实现了 24 位无丢失代码性能 通过选定的输入信号被送到一个基于模拟调制器的增益可编程专用前端 片内数字滤波器处理调制器的输出信号, 通过通信口发命令可调节滤波器的截止点和输出更新速率, 从而对数字滤波器的第一个陷波进行编程 只需 2.6~5.5V 单电源供电

More information

×××芯片用户手册

×××芯片用户手册 用户手册 通讯地址 : 深圳市南山区蛇口南海大海 1079 号花园城数码大厦 A 座 9 楼邮政编码 :518057 公司电话 :+(86 755)86169257 传真 :+(86 755)86169057 公司网站 :www.chipsea.com 第 1 页, 共 13 页 历史修改记录 历史修改记录 时间 记录 版本号 2012-12-19 更换新 LOGO, 重新发布 1.0 第 2 页,

More information

Microsoft Word - SDI0818用户手册_V1_Agu22_08.doc

Microsoft Word - SDI0818用户手册_V1_Agu22_08.doc 24 位模数转换器 特征 : 24 位分辨率 ( 无失码 ) 19.5 位有效位 (ENBO 128 倍放大 ) 18 位不动码 内部集成 128 倍增益放大器 内部集成震荡器频率 :2.45MHz 偏差 :+/- 5% 具有很高的电源抑制比, 方便在强电源噪声环境下应用 : 10Hz 时对 50Hz 60Hz 噪声抑制 (-100dB) 输出码率 10Hz 80Hz 可选 外部输入参考电压 VREFP

More information

24位模数转换器

24位模数转换器 24 位高精度低电压模数转换器 特征 : 24 位分辨率 ( 无失码 ) 20.7 位有效位 (ENBO 128 倍放大 10Hz 输出 3.0V) 18.2 位不动码 (ENBO 128 倍放大 10Hz 输出 3.0V) 内部集成可编程增益 : 2 4 8 16 32 128 256 1024 2048 倍 输出码率可选 (Hz): 0.625 1.25 2.5 5 10 20( 默认 ) 40

More information

16位A/D转换器

16位A/D转换器 16 位 A/D 转换器 anyh 是双竞公司新推出的 16 位 A/D 转换器, 具有两个全差分输入通道, 可用于测量低频模拟信号 这种器件带有增益可编程放大器, 可通过软件编程来直接测量传感器输出的各种微小信号 具有分辨率高 动态范围广 自校准等特点, 因而非常适合于工业控制 仪表测量等领域 16 位 A/D 转换器 1. 概述 是双竞公司新推出的 16 位 A/D 转换器, 具有两个全差分输入通道,

More information

第 卷 第 期 年 月 半 导 体 学 报! " # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% )

第 卷 第 期 年 月 半 导 体 学 报!  # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% ) 第 卷 第 期 年 月!"# $%&'%' $!&'#%#$1/#1 $'! /18103 2?/03101?/18103 /3 0,?/0301.13 )*+!!! '!,!! -.&' $!'! 4%%&1)/1(7%&)03(%)%&,%*(1&0)%$-0*,%30)17*1*)0(+1(1+&1*+*),)1; &113(%44(10&.0701&0-&00*/)%;()1%-1+%&0)0*1*)%

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

<4D6963726F736F667420576F7264202D20D4D3D6BECEC4B8E5C4A3B0E52E646F63>

<4D6963726F736F667420576F7264202D20D4D3D6BECEC4B8E5C4A3B0E52E646F63> 1/8 文 / 杜 洋 自 从 我 的 爱 上 单 片 机 一 书 问 世, 爱 上 一 词 就 在 电 子 制 作 的 圈 子 里 小 火 了 一 把 随 后 又 出 现 了 爱 上 制 作 爱 上 Arduino, 还 有 一 大 堆 类 似 名 字 的 出 版 物 虽 然 每 一 本 的 内 容 都 很 精 彩, 但 总 这 么 爱 来 爱 去 的, 是 不 是 有 点 审 美 疲 劳 呢?

More information

GJB128A.PDF

GJB128A.PDF ± ± ± ± ± ± ± ± ± ± ± ± ±± ±±5µ ± ± ± ± ± ± ± ± ± ± ± ± ± µ ± ± ± ± ± ± ± ± ± ± ± ±1 ± ± + ± ± ± ± ± ± ± ± ± ±1. ± ± ± µ ± ± ±5 ± ± ± ± ± ±30 ± ± ± ± ± ±0.5 ±0 ±

More information

DPJJX1.DOC

DPJJX1.DOC 8051 111 2K 1 2 3 ' ' 1 CPU RAM ROM / A/D D/A PC CPU 40 68 10 20 8 51 PIII 8051 2 MCS51 8051 8031 89C51 8051 8031 89C51? MCS51 INTEL INTEL 8031 8051 8751 8032 8052 8752 8051 8051 8051 MCS51 8031 8031

More information

untitled

untitled EDM12832-08 : 25-1 : 116600 : (0411)7612956 7632020 7631122 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

供充放一体电源及充电电源手册_0_.doc

供充放一体电源及充电电源手册_0_.doc 2010. 05 供充放一体电源及充电电源 适用于铅酸电池 锂电池 镍氢电池 实现不间断供电 采用自动均 / 浮充 恒流 / 恒压 零电流 -ΔV 检测 / 涓充 / 滴充技术 提供各种保护 显示各种工作状态 目录 SCD 供充放一体电源 ( 锂电池系列 )-----------1 SCD 供充放一体电源 ( 铅酸电池系列 )----------3 C 充电电源 ( 镍氢电池系列 )-------------------------5

More information

IC芯片自主创新设计实验

IC芯片自主创新设计实验 IC 芯片自主创新设计实验 设计报告 设计题目 : 格雷码计数器芯片设计 设计学生 : 吴东生 ( 集成电路 ) 景国新 ( 固体电子 ) 林道明 ( 集成电路 ) 连维重 ( 集成电路 ) 施望 ( 集成电路 ) 刘锦秀 ( 集成电路 ) 刘中伟 ( 集成电路 ) 李梦宁 ( 集成电路 ) 指导教师 : 阮爱武 杜涛 指导单位 : 电子设计自动化技术 课程组 一 格雷码计数器芯片设计概述 功能描述

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

R/W

R/W (HD44780 KS0066 ) 3 3 5 6 10 14 HD44780/KS0066 16 2 LCM 8 1 40 4 LCD HD44780/KS0066 HD44100 IC PCB 0.1 CMOS 1. 2. 3. 4. 5. RH60% 6. 1. 2. 3. PCB 3 4. 5. 6. 1. 280 C 2. 3 4s 3. 4. 5. 3 5 1. 2. IC 3. DC-DC

More information

Electrical and Optical Clock Data Recovery Solutions - Data Sheet

Electrical and Optical Clock Data Recovery Solutions - Data Sheet 32 GBd N1076A 32 GBd N1077A / 64 GBd N1076B 64 GBd N1078A / 64 GBd NRZ PAM4 O/E < 100 fs RMS JSA PLL ...3...4...4...5 /...6...8...11 N1076A...12 N1076B DCA-M...13 N1077A...15 N1078A DCA-M...17...21...

More information

Microsoft Word - DW01

Microsoft Word - DW01 用途 / Purpose: 用于锂离子 / 锂聚合物可充电电池组 For lithium ion / lithium polymer rechargeable battery pack 特点 / Features: (1) 高电压检测电路 / Precision voltage detection circuit 过充检测电压 Overcharge detection voltage 4.3 过充恢复电压

More information

untitled

untitled EDM16080-01 Model No.: Editor: 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3

More information

12232A LED LED LED EL EL CCFL EL CCF

12232A LED LED LED EL EL CCFL EL CCF 12232A 0 50-20 +70-30 +85 LED LED LED EL EL CCFL EL CCF 122 x 32 1/32Duty 1/5Bias 6:00 STN( ), EL LED EL/100VAC 400HZ LED/4.2VDC 1 / VDD-VSS 0 6.5 V Ta=25 LCD VDD-V0 0 12.0 V V1 0 VDD V VDD-VSS - 4.75

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

HXJ9005技术资料

HXJ9005技术资料 概 述 一 款 双 通 道 桥 接 的 音 频 功 率 放 大 器, 在 5V 电 源 电 压 4Ω 负 载 时, 可 提 供 3.5W 的 功 率 具 有 低 功 耗 关 断 模 式 和 过 温 保 护 功 能 在 电 路 启 动 时, 具 有 缓 冲 及 防 抖 动 功 能 此 外, 当 接 立 体 耳 机 时, 芯 片 可 以 单 终 端 工 作 模 式 驱 动 立 体 耳 机 具 有 外 部

More information

Microsoft Word - HTL7G06S009P_V2.3_CH.doc

Microsoft Word - HTL7G06S009P_V2.3_CH.doc Document Number: HTL7G6S9P Product Data Sheet Rev. 2.3, 1/17 LDMOS 射频功率晶体管 HTL7G6S9P 1. 产品描述 HTL7G6S9P 是一款为 VHF/UHF 频段射频功率放大器而设计的 LDMOS 射频功率晶体管 器件内部集成静电保护电路 1-6MHz, 8W, 7.2V WIDE BAND RF POWER LDMOS TRANSISTOR

More information

1.1 ML_ONOFF = 1 Q 3 Q 8 C 0.3V M 2 L 1 ML_ONOFF = 0 Q 3 Q 8 C 1. + R31 VCC R21 10K ML_ONOFF R15 0:off 1:on 1K Green Light VCC=5V L1 Q VDD=12V C

1.1 ML_ONOFF = 1 Q 3 Q 8 C 0.3V M 2 L 1 ML_ONOFF = 0 Q 3 Q 8 C 1. + R31 VCC R21 10K ML_ONOFF R15 0:off 1:on 1K Green Light VCC=5V L1 Q VDD=12V C AUTOMATIC TROLLEY H K Hwang K K Chen J-S Lin S-C Wang M-L Li C-C Lin W-B Lin Dept. Of Electrical Engineering Far East College ABSTRACT This paper proposes an automatic trolley which can move automatically

More information

CS1240/CS1241用户手册

CS1240/CS1241用户手册 用户手册 20-bit Sigma-Delta ADC 通讯地址 : 深圳市南山区蛇口南海大道 1079 号花园城数码大厦 A 座 9 楼邮政编码 :518067 公司电话 :+(86 755)86169257 传真 :+(86 755)86169057 公司网站 :www.chipsea.com 微信号 : 芯海科技微信二维码 : 第 1 页, 共 30 页 版本历史 历史版本 修改内容 版本日期

More information

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD 1/16 T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma (@T = 25 C, @Vdd = 3V) VDD 1.8 3.0 3.6 V (1) 0.08 0.3 µa Idd 300 450 500 µa 0.25

More information

29 0. 0.1 0.2 0.3 1. 30 1840 1930 1932 1926 35 51 55 214 1 31 1988 3 2. 2.1 3 2000 2.2 79 1 52 32 56 57 57 2 2.3. 2 10 4 40 16 4 64 2.4 3. 3.0 3.1 1 Hz 33 193ms 176 174 169 167 165 163 162 160 159 (T )

More information

大16开产品画册排版.cdr

大16开产品画册排版.cdr 北京圣莱特商贸有限公司 中国 北京 新型产品 XYZ 20A 颜色 黑色 尺寸 210*180*130mm 功能参数 1 使用高转换效率单晶硅片 太阳能转换效率高达16%以上 2 太阳能电池板规格 10W 3 充电器内置高容量可充电电池 20AH 4 输出电压 220V 5 用交流适配器给充电器内置电池充电时间 5小时 (6) 太阳能给充电器内置电池充电时间 20小时

More information

DK 通道带自校正功能的容性触摸感应器 概述 DK712 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 DK712 有更强的抗干扰性和更好的一致性 特点 带自校正功能的 12 通道感应芯片 可以通过

DK 通道带自校正功能的容性触摸感应器 概述 DK712 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 DK712 有更强的抗干扰性和更好的一致性 特点 带自校正功能的 12 通道感应芯片 可以通过 概述 DK712 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 DK712 有更强的抗干扰性和更好的一致性 特点 带自校正功能的 12 通道感应芯片 可以通过任何非导电介质感应 按键触摸 通过一个外部电容调整灵敏度 感应按键的 PCB 走线长度不同不会导致灵敏度不同 四位 BCD 码输出 I2C 接口 工作电压范围

More information

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0 SH79F6431 1. SH79F6431 1T 8051 FLASH SH79F JET51 Keil µ vision JTAG 1.1. SH79F6431 LQFP64 1.2. (Target Board) SH79F6431 1 V 1.0 1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F6431 1 2 1 B. 1(VCC/GND)

More information

TSINGTEK DISPLAY CO.,LTD LCD CONTROLLER & DRIVER ST7920 OR EQUIVALENT (f) 639 2A f B1

TSINGTEK DISPLAY CO.,LTD LCD CONTROLLER & DRIVER ST7920 OR EQUIVALENT (f) 639 2A f B1 TSINGTEK DISPLAY CO.,LTD LCD CONTROLLER & DRIVER ST7920 OR EQUIVALENT 588 4 1 0571-85121224 85121742 85121304(f) 639 2A095 0571-88256346 89902095 f B1618 010-62051209 62000662 62568913 82036512 f http://www.tsingtek.com

More information

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小由外接电阻来调整 封装图 SSOP24L-0.635-D1.40 (mm) ( SM16125ES ) 特点 16 通道恒流源输出 电流输出大小不因输出端负载电压变化而变化

More information

浙江朗威微系统有限公司

浙江朗威微系统有限公司 浙江朗威微系统有限公司 LW54123 漏电保护专用集成电路说明书 1 订购须知 联系信息 浙江朗威微系统有限公司 芯片名称 描述 封装类型 LW54123 高速对地漏电保护集成电路 P8/OP8 Add:No. 99 Huaxing Road, Hangzhou East oftware Park Building, 4th Floor enture Building 浙江省杭州市华星路 99 号东部软件园创业大厦

More information

SD3101 带 UART 和 I 2 C 的三差分通道计量 SOC 特点 高精度 ADC,24 位数据输出, 输入增益可选 和 16,3 个差分或 5 个伪差分通道, 可测量信号的真有效值 瞬时值和频率 8 位 RISC 低功耗 MCU,49 条指令,6 级堆栈, 在 2.097MHz

SD3101 带 UART 和 I 2 C 的三差分通道计量 SOC 特点 高精度 ADC,24 位数据输出, 输入增益可选 和 16,3 个差分或 5 个伪差分通道, 可测量信号的真有效值 瞬时值和频率 8 位 RISC 低功耗 MCU,49 条指令,6 级堆栈, 在 2.097MHz 带 UART 和 I C 的三差分通道计量 SOC 特点 高精度 ADC, 位数据输出, 输入增益可选 8 和 6, 个差分或 个伪差分通道, 可测量信号的真有效值 瞬时值和频率 8 位 RISC 低功耗 MCU, 条指令,6 级堆栈, 在.0MHz 工作时钟,MCU 部分在.V 工作电压下电流典型值为 00uA;kHz 时钟待机模式下工作电流为.6uA, 休眠模式电流小于 ua 6k Bytes

More information

ETA104 数据采集模块数据手册

ETA104 数据采集模块数据手册 Emtronix ETA104 数据采集模块数据手册 1. 概述 1.1 ETA104 介绍 ETA104 模拟数据采集模块 ( 下文简称 :ETA104 模块 ) 是基于英创公司 SBC840 工控应用底板 符合 DM5028 标准应用扩展模块 ETA104 模块上的模数转换功能, 采用 ADS7871 模拟信号转换芯片, 占用 ESMARC 工控主板的 SPI 总线进行通讯, 最高可实现 48K

More information

D/A DAC ( 1us) (10~20 ) DAC0832 1

D/A DAC ( 1us) (10~20 ) DAC0832 1 D/A DAC0832 8 ( 1us) (10~20 ) DAC0832 1 1. 20 DI7~DI0 ILE 8 8 DAC 8 D/A LE LE & RFB VREF IOUT2 IOUT1 RFB CS WR1 XFER WR2 & & AGND VCC DGND 2 DI7~DI0 ILE & 8 LE 8 DAC LE 8 D/A RFB V REF IOUT2 IOUT1 R FB

More information

Intersil精密模拟器件

Intersil精密模拟器件 Intersil 1nV/vHz & 0.00017% 1nV/ Hz Hz IC +125 DCPs VOUT I 2 C Intersil 450nA ( ) 2nA na / IntersilIC 2.8mm 1.6mm / V I SS µa (Max.) V OS (mv) (Max.) I B (pa) @1kHz (nv/ Hz ) khz (Tye.)(dB) (Tye.)(dB)

More information

Keysight B2900A / Keysight B2961A 6 Keysight B2962A 6 Keysight B2961A B2962A nano-voltmeter / nv/10 fa 10 µvrms 210 V/ 3 A 10.5 A GUI nv 2

Keysight B2900A / Keysight B2961A 6 Keysight B2962A 6 Keysight B2961A B2962A nano-voltmeter / nv/10 fa 10 µvrms 210 V/ 3 A 10.5 A GUI nv 2 Keysight B2961A/B2962A 6 1 /2 210 V 3 A /10.5 A 31.8W 100 nv/10 fa Keysight B2900A / Keysight B2961A 6 Keysight B2962A 6 Keysight B2961A B2962A nano-voltmeter / 6 100 nv/10 fa 10 µvrms 210 V/ 3 A 10.5

More information

adsd

adsd HS8108 电脑开关电源控制器 概述 : HS8108 是 PWM 开关电源控制器, 主要用于台式 PC( 个人电脑 ) 的开关电源部分 HS8108 能够提供开关电源所有的控制和保护功能 : PWM 脉宽调制及推挽输出, 具有过压 欠压 过流 过功耗 远程控制 AC 掉电保护等功能, 两个内嵌的精密 431 调节器用作稳定 5V 辅助电源和 33V 输出电压, 内嵌的精密振荡器可以保证各种延时的精确性

More information

ARK-14013/14017/14018

ARK-14013/14017/14018 ARK-24017F 8 ARK-24017F : 8 2 ii 1997~2000,,,,,, 1...3 1.1 ARK...3 1.2 ARK-24017F...3 ARK-24017F?...3 ARK-24017F...3 ARK-24017F...3 ARK-24017F...5 ARK-24017F...6...7 2.1...7 2.2...7 ARK...7...8...8...8...9

More information

专业主干课程与主要专业课程教学大纲(2009年、2011年).doc

专业主干课程与主要专业课程教学大纲(2009年、2011年).doc ... 1... 4... 9... 12... 16... 20... 23... 26... 30... 33... 36 Electric Circuits 00440021 64 0 0 4 1 2 Y- 3 4 ZYT H 5 Analog Electronic Technique 00440041 54 14 0 3.5 1. 2. 1. 2. 3. RC 4. 5. 1. 20 2.

More information

TONE RINGER

TONE RINGER 四通道低压 5V 全桥驱动描述 是一款四通道低压 5V 全桥驱动芯片, 为摄像 机 消费类产品 玩具和其他低压或者电池供电的运动控 制类应用提供了集成的电机驱动解决方案 能提供高达 0.8A 的输出电流 可以工作在 1.8~6V 的电源电压上 具有 PWM(IN1/IN2) 输入接口, 与行业标准器件 兼容, 并具有过温保护功能 具有省电模式 主要特点 四通道 H 桥电机驱动器 -- 驱动直流电机或其他负载

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

物品重量分級器.doc

物品重量分級器.doc Ω Ω Ω Ω Ω Ω Ω 9 A R = Ω Ω + - - + R = A R = - ρ A A R = + A A R = A ρ Ω = + A A A - R + + = + = ρ ) A A ) ( A R ( + + = + + = A ) A R (+ R R = R R = F F Active Dummy V Active Dummy ± ± ± mv = mv ±

More information

1 TPIS TPIS 2 2

1 TPIS TPIS 2 2 1 1 TPIS TPIS 2 2 1. 2. 3. 4. 3 3 4 5 4 TPIS TPIS 6 5 350 Mark Coil F3/F6 350 M 150 M 25 M 7.12M 8 M F3 F6 F4 F7 F8 8M AA 7 350 28V 5V IC HCPL2731 0.5mA 6 8 (TPIS) TPIS 9 7 IC AT89C2051 AT89C2051 CMOS8

More information

Microsoft PowerPoint - IC测试培训二.ppt

Microsoft PowerPoint - IC测试培训二.ppt IC 测试培训 第二章 IC 测试实例 By 孙鹏程 29-9-8 1 2.1 LDO 基础知识 本章要点 2.2 LDO 主要参数及意义 2.3 LDO 测试方案 2.4 LDO 测试程序 2 2.1 LDO 基础知识 什么是 LDO? LDO:(low dropout regulator) 低压差线性稳压器, 其基本电路可由串联调整管 VT 取样电阻 R1 和 R2 比较放大器 A 组成 取样电压加在比较器

More information

行业

行业 PCL-1800 PCL-1800 1.1...2 1.1.1 1K FIFO...2 1.1.2...2 1.1.3 16...3 1.1.4...3 1.1.5...3 1.1.6...3 1.2...3 1.3...4 1.4...5 2.1...5 2.2...6 2.2.1...6 2.2.2...6 2.2.3 D/A...7 2.2.4...7 2.2.5 TRIG0 GATE0...8

More information

一、考試宗旨:考選風險管理人才,提升風險管理水準。

一、考試宗旨:考選風險管理人才,提升風險管理水準。 101 年 第 1 次 風 險 管 理 師 考 試 簡 章 一 考 試 宗 旨 : 考 選 風 險 管 理 人 才, 提 升 風 險 管 理 水 準 二 考 試 類 別 :( 一 ) 個 人 風 險 管 理 師 ;( 二 ) 企 業 風 險 管 理 師 可 同 時 報 考 三 考 試 科 目 :( 參 考 用 書 請 詳 閱 簡 章 第 二 頁 ) ( 一 ) 個 人 風 險 管 理 師 考 試 (

More information

微處理機期末專題

微處理機期末專題 微 處 理 機 期 末 專 題 自 動 鋼 琴 組 員 :b92611004 羅 鈞 瑋 b92611008 吳 妍 儂 b92611038 吳 韋 靜 b92611042 林 佳 穎 一 簡 介 本 組 的 主 題 是 自 動 鋼 琴 在 播 放 音 樂 的 同 時, 鋼 琴 會 自 動 按 下 琴 鍵, 被 按 下 的 琴 鍵 所 對 應 到 的 音 階, 就 是 正 在 撥 放 的 樂 曲 的

More information

MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需

MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需 MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需检测电阻, 能检测交直流电流和外围电路简单 检测电流方案为电流传感器 APCL-C784 和 C79B

More information

untitled

untitled EDM12864-03 : 25-1 : 116600 : (0411)7612956 7632020 7612955 : (0411)7612958 Model No.: Editor: 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

电 阻 应 变 式 传 感 器 是 将 被 测 量 的 力, 通 过 它 产 生 的 金 属 弹 性 变 形 转 换 成 电 阻 变 化 的 元 件 由 电 阻 应 变 片 和 测 量 线 路 两 部 分 组 成 电 阻 应 变 片 产 生 的 误 差, 主 要 来 源 于 温 度 的 影 响, 本

电 阻 应 变 式 传 感 器 是 将 被 测 量 的 力, 通 过 它 产 生 的 金 属 弹 性 变 形 转 换 成 电 阻 变 化 的 元 件 由 电 阻 应 变 片 和 测 量 线 路 两 部 分 组 成 电 阻 应 变 片 产 生 的 误 差, 主 要 来 源 于 温 度 的 影 响, 本 AT89C51 体 重 称 电 路 图 原 理 本 文 设 计 一 种 利 用 电 阻 应 变 式 压 力 传 感 器 和 MCS-51 单 片 机 等 器 件 制 作 的 体 重 秤 该 体 重 秤 的 量 程 为 100kg, 能 实 现 称 重 数 码 显 示 调 零 等 功 能 该 体 重 秤 利 用 电 桥 测 量 原 理, 将 压 力 应 变 传 感 器 阻 值 转 换 为 电 压 值,

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

Contents

Contents 2017 Contents Contents 噁 Contents Contents 能源化工 .. Dalian Institute of Chemical Physics,Chinese Academy of Sciences.. .. Dalian Institute of Chemical Physics,Chinese Academy of Sciences.. .. Dalian Institute

More information

深圳明和科技

深圳明和科技 500mA 同步降压 DC/DC 转化器 ME3101 系列 描述 : ME3101 是一款同步整流降压型 DC/DC 内置 0.6ΩPMOS 驱动管和 0.7ΩNMOS 开关管 兼容陶瓷电容, 外部只需一只电感和两只电容, 可高效率的输出 500mA 内置振荡器电路, 振荡频率可达 1.2MHZ ME3101 为 PFM/PWM 型自动开关控制模式, 在满载时也能快速响应, 达到纹波小, 效率高的效果

More information

单片机原理及应用实验指导书.doc

单片机原理及应用实验指导书.doc 1 2 3 4...2...4...9...9 AEDK598 MCS51...9 MCS51...10...10...10...10...10...10...11 P1...12...12...12....12...12...13 P3...14...14...14...14...14...14 I/O...15...15...15...15...15...16...17...17...17...17...17...18...19...19

More information

untitled

untitled Macroblock 6~36 1A - (PWM) - (PWM) 1,024 3 LED 350mA12V 97% Hysteretic PFM 0.3Ω (UVLO)(Start-Up)(OCP) (TP) LED 6 PCB Mini Small Outline Package GMS : MSOP-8L-118mil Small Outline Package GD: SOP8L-150-1.27

More information

51 C 51 isp 10 C PCB C C C C KEIL

51 C 51 isp 10   C   PCB C C C C KEIL http://wwwispdowncom 51 C " + + " 51 AT89S51 In-System-Programming ISP 10 io 244 CPLD ATMEL PIC CPLD/FPGA ARM9 ISP http://wwwispdowncom/showoneproductasp?productid=15 51 C C C C C ispdown http://wwwispdowncom

More information

AN-80 各单通道 ADC 采用先进的 CMOS 工艺制造, 提供 引脚 LFCSP 封装, 额定温度范围为 0ºC 至 +8ºC 工业温度范围 设计和布局考虑因素对于布局设计师, 引脚排列间的唯一差异是数据输出位的分配 所有双通道转换器中, 引脚 和引脚 8 分别为 A 和 B 的 MSB, 该

AN-80 各单通道 ADC 采用先进的 CMOS 工艺制造, 提供 引脚 LFCSP 封装, 额定温度范围为 0ºC 至 +8ºC 工业温度范围 设计和布局考虑因素对于布局设计师, 引脚排列间的唯一差异是数据输出位的分配 所有双通道转换器中, 引脚 和引脚 8 分别为 A 和 B 的 MSB, 该 AN-80 应用笔记 One Technology Way P.O. Box Norwood, MA 00- Tel: 8/-00 Fax: 8/- www.analog.com 利用引脚兼容高速 ADC 简化设计任务 作者 :Robert M. Clarke 简介选择 ADC 可能是系统设计师最棘手的问题 转换器分辨率和采样速率决定系统性能 然而, 设计通常是基于一系列无法验证的假设, 除非原型系统经过测试

More information

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe   Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L 1 SH88F516 8051 64K FLASH 1024 EEPROM SH88F516 JET51 S-Lab Keil µ vision ISP51 Keil µ vision ISP51 ISP51 PC RS232 MCU SH88F516 SH88F516 1.1 SH88F516 1.1 SH88F516 SH88Fxx: QFP44, DIP40 RAM Flash E2 ADC

More information

引脚名称 QFN(4 4)-24L SSOP-24L ( 引脚间距 / 1.0) SOP-24L ( 引脚间距 1.27) 引脚描述 管脚号 管脚名称功能 QFN-24 SSOP-24/SOP-24L 1 4 LAT 数据锁存输入端 ( 低电平有效 ) 2 5 OUT0 3 6 OUT1

引脚名称 QFN(4 4)-24L SSOP-24L ( 引脚间距 / 1.0) SOP-24L ( 引脚间距 1.27) 引脚描述 管脚号 管脚名称功能 QFN-24 SSOP-24/SOP-24L 1 4 LAT 数据锁存输入端 ( 低电平有效 ) 2 5 OUT0 3 6 OUT1 D5056 带预充电管的 16 位 LED 控制器 D5056 是一款专用于大屏幕 LED 低压差 高精度带预充电管的 16 位恒流驱动芯片 内含高精度电流驱动电路 16 位移位寄存器 锁存器 温度补偿电路等 D5056 内置带预充电管, 可以解决列消隐问题 再配上我司解决行消隐的 D4973,LED 屏幕消隐问题可完美解决, 大大提高刷新率 D5056 可接受外部 CPU 编程控制信号, 分别控制

More information

甄試報告1125.PDF

甄試報告1125.PDF LabVIEW LabVIEW Laboratory Virtual Instrument Engineering Workbench G LabVIEW DAQ LabVIEW LabVIEW LabVIEW LabVIEW ph LabVIEW DAQ LabVIEW PZT LabVIEW / =2 10-8 1 LabVIEW DAQ LabVIEW DAQ DAQ LabVIEW DAQ

More information

Microsoft Word - FM320X简介

Microsoft Word - FM320X简介 FM320X 低压电力线载波通信芯片 2013. 12 FM3203 低压电力线载波通信芯片 版本 1.0 1 本资料是为了让用户根据用途选择合适的上海复旦微电子集团股份有限公司 ( 以下简称复旦微电子 ) 的产品而提供的参考资料, 不转让属于复旦微电子或者第三者所有的知识产权以及其他权利的许可 在使用本资料所记载的信息最终做出有关信息和产品是否适用的判断前, 请您务必将所有信息作为一个整体系统来进行评价

More information

使用说明书 DT4221 DT4222 数字万用表 DIGITAL MULTIMETER 2013 年 11 月第一版 DT4221A982-00(A981-00) 13-11H CN ...1...1...2...3...7 1 11 1.1... 11 1.2...12 1.3...16 1.4...17 2 19 2.1...19 2.2 /...20 2.3...22 2.4...25...

More information

录 目 录 第 5 章 我 们 的 世 界 第 5.1 节 精 彩 的 世 界 1 第 5.2 节 数 字 化 生 存 3 第 5.3 节 测 量 世 界 探 悉 4 第 5.4 节 取 样 - 量 化 导 致 信 息 丢 失 8 第 5.5 节 数 字 化 世 界 更 精 彩 10 第 6 章 L

录 目 录 第 5 章 我 们 的 世 界 第 5.1 节 精 彩 的 世 界 1 第 5.2 节 数 字 化 生 存 3 第 5.3 节 测 量 世 界 探 悉 4 第 5.4 节 取 样 - 量 化 导 致 信 息 丢 失 8 第 5.5 节 数 字 化 世 界 更 精 彩 10 第 6 章 L LabVIEW 学 习 札 记 第 二 卷 LabVIEW 开 发 技 术 丛 书 录 目 录 第 5 章 我 们 的 世 界 第 5.1 节 精 彩 的 世 界 1 第 5.2 节 数 字 化 生 存 3 第 5.3 节 测 量 世 界 探 悉 4 第 5.4 节 取 样 - 量 化 导 致 信 息 丢 失 8 第 5.5 节 数 字 化 世 界 更 精 彩 10 第 6 章 LabVIEW 最

More information

同步网络中的高性能线卡时钟解决方案

同步网络中的高性能线卡时钟解决方案 Application Report ZHCA493 JAN 2013 同 步 网 络 中 的 高 性 能 线 卡 时 钟 解 决 方 案 Shawn Han; Steven Shi China Telecom Application Team 摘 要 作 为 一 种 高 性 能 低 成 本 的 线 卡 时 钟 解 决 方 案,LMK04800 可 以 实 现 传 统 的 SDH/SONET 系 统

More information

Microsoft Word - FM17522_ps_chs - new.doc

Microsoft Word - FM17522_ps_chs - new.doc FM17522 通用 非接触读写器芯片 2016.10 FM17522 非接触读写器芯片 版本 1.4 1 本资料是为了让用户根据用途选择合适的上海复旦微电子集团股份有限公司 ( 以下简称复旦微电子 ) 的产品而提供的参考资料, 不转让属于复旦微电子或者第三者所有的知识产权以及其他权利的许可 在使用本资料所记载的信息最终做出有关信息和产品是否适用的判断前, 请您务必将所有信息作为一个整体系统来进行评价

More information

untitled

untitled Portable Electrode B91901070 B91901133 量 ECG 路 更 量 路 performance RF 量 路 Portable Electrode 便利 量 portable electrode 路 濾 濾 行 electrode 類 FM modulation scheme ECG 類 數 RF RF demodulate 利 Elvis Labview ECG

More information

DS_MM32P021_Ver1.9.0

DS_MM32P021_Ver1.9.0 产品手册 Datasheet MM32P021 32 位基于 ARM Cortex M0 核心的微控制器 版本 :1.9.0 保留不通知的情况下, 更改相关资料的权利 目录 1. 总介... 5 1.1 概述... 5 1.2 产品特性... 5 2. 规格说明... 6 2.1 器件对比... 6 2.2 功能简述... 6 3. 引脚定义... 7 3.1 引脚配置... 7 3.2 引脚描述...

More information

Ps22Pdf

Ps22Pdf 书 名 : 作 者 : 出 版 社 : 出 版 时 间 : ,,,,,,,,,,,,,,,,,,,,,,,,,, 1 ,,,,,,,,,,,,,,,,, 2 4 11 20 24 28 35 41 1 51 60 66 72 79 88 90 93 96 100 105 110 2 117 121 124 130 133 135 138 141 144 148 152 157 166 3 175 178

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

Products catalog

Products catalog 加速度传感器 MA 运动传感器红外线阵列传感器压力传感器 机器用传感器 松下电器的机器用传感器为节能 安全 舒适做出贡献 在各个生活场景中提供传感器 空调 Grid-EYE 人体检测 门灯的ON/OFF Grid-EYE 人体检测 血压计 压力传感器 压力检测 周围明亮时 熄灯 周围变暗时发 出微弱灯光 有人靠近时 Grid-EYE 进行检 测 1%亮灯 人不在时 再次 发出微弱灯光 安防摄像头 Grid-EYE

More information

1996 1997 GJB-151 1998 1999 2001 2002 220AC TEMPEST TEMPEST / / 1.dV/dt di/dt 2. NEMP ESD V -- - +++ - +++ - - - + V V V G PCB PCB / / IEC CISPR CISPR Pub. IEC TC77 IEC CENELEC EN FCC DOD FCC Part,

More information

AVS7516 声音事件检测芯片硬件数据手册修正记录 版本 发布日期 内容描述 首次发布版本 修订电路参考图等细节 修订部分错误, 更新部分电路参考图 第 2 页共 16 页

AVS7516 声音事件检测芯片硬件数据手册修正记录 版本 发布日期 内容描述 首次发布版本 修订电路参考图等细节 修订部分错误, 更新部分电路参考图 第 2 页共 16 页 AVS7516 声音事件检测芯片 硬件数据手册 深圳声联网科技有限公司 0755-33349168 0755-33349798 www.avsnest.com 声联网官方订阅号 第 1 页共 16 页 AVS7516 声音事件检测芯片硬件数据手册修正记录 版本 发布日期 内容描述 1.0 2017-04-27 首次发布版本 1.1 2017-08-02 修订电路参考图等细节 1.2 2018-07-09

More information

Microsoft Word - LR1122B-B.doc

Microsoft Word - LR1122B-B.doc UNISONIC TECHNOLOGIES CO., LTD LOW NOISE ma LDO REGULATOR DESCRIPTION The UTC is a typical LDO (linear regulator) with the features of High output voltage accuracy, low supply current, low ON-resistance,

More information

CA24064B LED LED LED EL EL CCFL EL CCF /

CA24064B LED LED LED EL EL CCFL EL CCF / CA24064B 0 50-20 +70-30 +85 LED LED LED EL EL CCFL EL CCF 39 2 6 0755-81995643/27890716 0 13713911853 0755-27890716 1 : VDD-VSS 0 6 V LCD VDD-V0 Ta=25 0 28.0 V VI 0 VDD V : VDD-VSS --- 4.75 5.0 5.25 V

More information

专科疾病诊治(二十)

专科疾病诊治(二十) ...1... 11...19...32...43...50...52...53...58...61...64...66...69...84...89...92...95 I ...97... 100... 103... 107... 109 AD...111... 125... 128... 131... 135... 138... 140... 143... 146... 149... 152...

More information

(02)2809-4742 (02)2809-4742 27 28 (02)2809-4742 85 3 (02)3343-3300 156 12 (02

(02)2809-4742 (02)2809-4742 27 28 (02)2809-4742 85 3 (02)3343-3300  156 12 (02 3475 http://mops.tse.com.tw http://www.ic-fortune.com (02)2809-4742 denis.lee@ic-fortune.com (02)2809-4742 nanhui.lee@ic-fortune.com 27 28 (02)2809-4742 85 3 (02)3343-3300 http://www.fhs.com.tw 156 12

More information

untitled

untitled LCD EDM12864HBSL-ALC-G : 25-1 : 116600 : (0411)7612956 7632020 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

杭州言曼科技有限公司 CB01 子卡说明文档 ( 对外发布 ) CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别 CB01 标配版本和低成本区别 CB01 结构信息

杭州言曼科技有限公司 CB01 子卡说明文档 ( 对外发布 )   CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别 CB01 标配版本和低成本区别 CB01 结构信息 CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别... 2 2. CB01 标配版本和低成本区别... 2 3. CB01 结构信息... 3 4. CB01 功耗信息... 3 5. LED 显示... 3 6. 按键... 4 7. 拨码开关... 4 8. USB 串口 - 型号 :CP2102... 4 9. 输入时钟... 4 10. I2C

More information

<4D F736F F D20424D313044A3A8B5A5BDDAEFAEB5E7B3D8B1A3BBA44943A3A9>

<4D F736F F D20424D313044A3A8B5A5BDDAEFAEB5E7B3D8B1A3BBA44943A3A9> 概述 BM10D 产品是单节锂离子 / 锂聚合物可充电电池组保护的高集成度解决方案 BM10D 包括了先进的功率 MOSFET, 高精度的电压检测电路和延时电路 BM10D 具有非常小的 DFN-5L 的封装, 这使得该器件非常适合应用于空间限制得非常小的可充电电池组应用 BM10D 具有过充, 过放, 过流, 短路等所有的电池所需保护功能, 并且工作时功耗非常低 该芯片不仅仅是为手机而设计, 也适用于一切需要锂离子或锂聚合物可充电电池长时间供电的各种信息产品的应用场合

More information

´®ÐжàͨµÀ10λA/Dת»»Æ÷TLC1543¼°ÆäÔÚµçѹÊý¾Ý²É¼¯ÏµÍ³ÖеÄÓ¦ÓÃ

´®ÐжàͨµÀ10λA/Dת»»Æ÷TLC1543¼°ÆäÔÚµçѹÊý¾Ý²É¼¯ÏµÍ³ÖеÄÓ¦Óà 电子世界 杂志 串行 A/D 转换器 TLC1543 及其应用 严天峰 TLC1543 是美国 TI 公司生产的多通道 低价格的模数转换器 采用串行通信接口, 具有输入通道多 性价比高 易于和单片机接口的特点, 可广泛应用于各种数据采集系统 TLC1543 为 20 脚 DIP 封装的 CMOS 10 位开关电容逐次 A/D 逼近模数转换器, 引脚排列如图 1 所示 其中 A0~A10(1~9 11

More information

untitled

untitled 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 () 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 ³ 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69

More information

王 晓 焰 社 会 性 别 理 论 与 世 纪 英 国 妇 女 的 社 会 地 位 代 对 终 身 产 生 影 响 二 是 称 为 结 构 性 别 的 社 会 性 别 它 用 以 表 示 两 性 关 系 分 野 下 的 社 会 结 构 即 作 为 社 会 的 组 织 和 结 构 中 体 现 出 的

王 晓 焰 社 会 性 别 理 论 与 世 纪 英 国 妇 女 的 社 会 地 位 代 对 终 身 产 生 影 响 二 是 称 为 结 构 性 别 的 社 会 性 别 它 用 以 表 示 两 性 关 系 分 野 下 的 社 会 结 构 即 作 为 社 会 的 组 织 和 结 构 中 体 现 出 的 第 卷 第 期 四 川 师 范 大 学 学 报 社 会 科 学 版 年 月 社 会 性 别 理 论 与 世 纪 英 国 妇 女 的 社 会 地 位 四 川 大 学 历 史 文 化 学 院 四 川 成 都 依 据 女 性 主 义 关 于 社 会 性 别 的 理 论 西 方 学 者 突 破 超 越 旧 有 的 研 究 范 式 围 绕 着 英 国 工 业 化 进 程 的 多 样 性 和 劳 动 按 性 别

More information

untitled

untitled 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 200526 200529 0 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 () 52 53 54 55 56 57 58 59 ³ ³ ³ 60 61 62

More information

untitled

untitled 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 ³ ³ ³ 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 ³ 42 43 44 ³ 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67

More information

untitled

untitled 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 ³ 29 30 31 32 33 34 35 36 37 38 39 40 ³ ³ ³ 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

untitled

untitled 2006-4-25 2006-4-26 2 2006-4-26 3 20 50 6 2006-4-26 4 µ 2006-4-26 5 CERN LEP/LHC 2006-4-26 6 L3 Detector 2006-4-26 7 2006-4-26 8 ATLAS Detector (A Toroidal LHC ApparatuS) 2006-4-26 9 CMS Detector 2006-4-26

More information

概述 ST08B 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 ST08B 和 ST08 相比有更强的抗干扰性和更好的一致性 这个芯片可以工作在低功耗的环境下, 当电源为 5v 时, 工作电流为 220ua,

概述 ST08B 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 ST08B 和 ST08 相比有更强的抗干扰性和更好的一致性 这个芯片可以工作在低功耗的环境下, 当电源为 5v 时, 工作电流为 220ua, Dec 2009 ST08B 8 通道带自校正功能的容性触摸感应器 ( 改进版 ) WWW.ICMAN.CN 2009.12 ST08B Spec 1.7 1 概述 ST08B 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 ST08B 和 ST08 相比有更强的抗干扰性和更好的一致性 这个芯片可以工作在低功耗的环境下,

More information

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi SPHE8202R Design Guide V2.0 JUN, 2007 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com SPHE8202R Design Guide Important Notice

More information

DATASHEET SEARCH SITE ==

DATASHEET SEARCH SITE == 矽诺威一级代理龙创威电子小柯 13652437521 描述 特性 是一颗单通道 AB 类音频功率放大器 在 5V 电源供电,THD+N=10%,4 欧姆负载上可以输出 2.5W 的功率 优异的噪声和 THD 指标可以提供高品质的音频信号放大 极少的外围元件就能提供芯片稳定工作, 大大减少了 PCB 面积并降低成本 具有关断功能, 极大的延长系统的待机时间 过热保护功能增强系统的可靠性 POP 声抑制功能改善了系统的听觉感受,

More information

Unidrive M400 PLC 0.25 kw kw (0.33 hp hp) 100 V 200 V 400 V 575 V 690 V

Unidrive M400 PLC 0.25 kw kw (0.33 hp hp) 100 V 200 V 400 V 575 V 690 V Unidrive M400 PLC 0.25 kw - 110 kw (0.33 hp - 150 hp) 100 V 200 V 400 V 575 V 690 V Unidrive M Unidrive M Unidrive M400 I/O Commander SK Unidrive M www.unidrivem.com Unidrive M Discover Unidrive M App

More information