×××芯片用户手册

Size: px
Start display at page:

Download "×××芯片用户手册"

Transcription

1 用户手册 通讯地址 : 深圳市南山区蛇口南海大海 1079 号花园城数码大厦 A 座 9 楼邮政编码 : 公司电话 :+(86 755) 传真 :+(86 755) 公司网站 : 第 1 页, 共 13 页

2 历史修改记录 历史修改记录 时间 记录 版本号 更换新 LOGO, 重新发布 1.0 第 2 页, 共 13 页

3 目录 目录 历史修改记录... 2 目录... 3 图目录 芯片功能说明 芯片主要功能特性 芯片应用场合 芯片基本结构功能描述 芯片绝对最大极限值 芯片数字逻辑特性 电气特性 芯片封装和引脚描述 芯片功能模块描述 模拟输入 参考电压 时钟系统 复位和断电 (POR&power down) 串行总线接口 ( SPI ) 串行时钟 ( SCLK ) 数据输入输出 ( DDATA ) 串口通信 芯片封装 采用 SOP-8 封装 采用 PDIP-8 封装 第 3 页, 共 13 页

4 图目录 图目录 图 1 原理框图... 6 图 2 芯片封装引脚图... 9 图 3 模拟输入结构图 图 4 Power down 模式进入和恢复时序图 图 5 数据读取时序 图 6 SOP-8 封装尺寸信息 图 7 PDIP-8 封装尺寸信息 表目录 表 1 极限值... 7 表 2 数字逻辑特性... 7 表 3 电气特性... 8 表 4 芯片引脚说明... 9 表 5 数据读取时序表 第 4 页, 共 13 页

5 芯片功能说明 1 芯片功能说明 是一款高精度 Sigma Delta ADC 芯片, 采用 8 脚封装, 单路差分输入通道, 内置稳压源提供 ADC 参考电压以及传感器供电电源, 可实现 128 倍 PGA 增益 1.1 芯片主要功能特性 24 位无失码 内部 128 倍 PGA 放大 有效精度 17.5 位 INL 小于 0.003% 内置振荡器提供 5M 系统时钟 内置稳压源提供 3V 参考电压输出 输出速率 10Hz 2 线 SPI 接口, 方便使用 自带有 Power down 功能, 功耗小于 1uA 1.2 芯片应用场合 工业过程控制 重量计 液体 / 气体化学分析 血液计 智能变换器 便携式设备 第 5 页, 共 13 页

6 芯片基本结构功能描述 1.3 芯片基本结构功能描述 是 24 位高精度 低功耗 Sigma-Delta 模数转换芯片, 有效分辨率可达 17.5 位 可以在 3.3V~5.5V 电源电压条件下工作 典型工作电压为 4.5V 内置 5M 振荡器, 内置 3V 参考电压源, 可为 ADC 提供参考电压和传感器供电电源 同时 可以直接使用外部参考电压, 使用时, 直接将外部参考电压连入 VREF 端即可 输出数据速率为 7Hz 采用 2 线类 SPI 接口 :SCLK,DDATA; 配置 SCLK,DDATA 可以使芯片进入 Power down 模式 上电时,SCLK 需拉低以使芯片能够正常工作 ; 将 SCLK 拉高超过 60us, 此后当 DDATA 输出由高变低时, 进入 Power down 模式 该模式下, 将 SCLK 拉低, 退出 Power down 返回正常工作模 式 VDD VREF 3 V LDO Register AINP AINN PGA 2 nd - order modulator Digital filter Controller Serial Interface DDATA SCLK Internal OSC AGND DGND 图 1 原理框图 第 6 页, 共 13 页

7 芯片功能说明 1.4 芯片绝对最大极限值 表 1 极限值 名称 符号 最小 最大 单位 模拟电源电压 AVDD V 数字电源电压 DVDD V 数字地与模拟地之间压差 V 电源瞬间电流 100 ma 电源恒定电流 10 ma 数字管脚输入电压 -0.3 DVDD+0.3 V 模拟管脚输入电压 -0.3 AVDD+0.3 V 节温 150 工作温度 储存温度 芯片管脚焊接温度 芯片数字逻辑特性 表 2 示出了 的数字逻辑特性 表 2 数字逻辑特性 参数 最小 典型 最大 单位 条件说明 VIH 0.8 DVDD DVDD V VIL DGND 0.2 DVDD V VOH DVDD-0.4 DVDD+0.4 V Ioh=1mA VOL DGND DGND+0.4 V IoL=1mA IIH 10 ua VI=DVDD IIL -10 ua VI=DGND fosc MHz 第 7 页, 共 13 页

8 电气特性 1.6 电气特性 芯片供电电压为 3.3V-5.5V, 工作温度为 -40 ~+85, 电气如下所示 : 模拟输入 系统性能 参考电压输入 电源 表 3 电气特性 参数 条件 最小值 典型值 最大值 单位 模拟输入范围 AGND-0.1 AVDD+0.1 V 满幅输入电压 ±VREF/128 V 差分输入阻抗 250 kω PGA 增益 128 分辨率 无失码 24 Bits 输入噪声 120 nv 积分线性度 % of FS 死区 0.2 uv 失调误差 0.5 mv 失调误差漂移 0.05 uv/ 增益误差 % 增益误差漂移 10 ppm/ 共模抑制比 100 db 电源抑制比 db REFP -REFN 内部参考源 3 V 外部参考源 V 共模抑制比 120 db 温度特性 内部参考源 50 ppm/ 模拟电源电压 AVDD V 数字电源电压 DVDD 模拟部分电流 普通模式 1200 ua Power down ua 数字部分电流 普通模式 300 ua Power down ua 第 8 页, 共 13 页

9 芯片封装和引脚描述 1.7 芯片封装和引脚描述 AGND VREF AINP AINN SOP TOPVIEW VDD DGND SCLK DDATA 图 2 芯片封装引脚图 表 4 芯片引脚说明 引脚序号 引脚名称 输入 / 输出 说明 1 AGND P 模拟地 2 VREF I/O 芯片参考源 3 AINP I ADC 正输入端 4 AINN I ADC 反输入端 5 DDATA O SPI 接口串行数据输出 6 SCLK I SPI 接口串行时钟输入 7 DGND P 数字地 8 VDD P 电源 第 9 页, 共 13 页

10 芯片功能模块描述 2 芯片功能模块描述 是一个 24bit 高精度 ADC, 内部包含一个 2 阶 sigma delta modulator 和一个 3 阶 comb ftlter, 内部 PGA 可以实现 128 倍放大, 集成内置振荡器, 内置 LDO 等, 2.1 模拟输入 ADC 的差分输入 AINP,AINN 与桥式传感器差分输出连接, 为提高抗 EMC 性能, 在芯片内部 AINP,AINN 引脚到调制器输入之间添加抗 EMC 滤波器, 截止频率为 20MHz AINP p SD modulator AINN p 2.2 参考电压 图 3 模拟输入结构图 内置 3V 稳压源, 从芯片引脚 VREF 输出 3V 参考电压, 该输出电压可作为 ADC 的参考电压及传感器的激励电压 ADC 的参考电压及传感器的激励电压也可以由外置稳压源或电源电压提供 当采用外置稳压源或电源电压时, 直接将外置电源输入到 VREF 端即可 第 10 页, 共 13 页

11 芯片功能模块描述 2.3 时钟系统 内置 5M 振荡器, 提供 76.8K 调制器采样频率,DDATA 输出速率为 7Hz 2.4 复位和断电 (POR&power down) 当芯片上电时, 内置上电复位电路会使芯片自动复位,SCLK 用来控制芯片的断电功能 (power down), 当 SCLK 处在低电平时, 系统正常工作, 当 SCLK 从低电平变为高电平至少超过 t1=60us 后, 此时当 DDATA 从高变低发生状态变化, 进入将断电模式, 断电时, 所有模块都会被切断, 此时功耗小于 1uA, 而当 SCLK 重新回到低电平时, 芯片自动复位进入正常工作状态 SCLK t1>60us Power down 模式 正常工作模式 DDATA 图 4 Power down 模式进入和恢复时序图 第 11 页, 共 13 页

12 串行总线接口 ( SPI ) 2.5 串行总线接口 ( SPI ) 通过 SPI 总线与外部的控制器进行通讯 只能用于从模式 总线接口是模拟的 SPI 总线, 包括 SCLK 和 DDATA 串行时钟 ( SCLK ) SCLK 为施密特触发, 用来对 DDATA 信号进行采样 为了防止错误的采样数据,SCLK 必须十分干净 在每一个数据更新之前,SPI 总线将被复位, 进而开始下一个通讯周期 数据输入输出 ( DDATA ) DDATA 为 DRDY 和 DATA 分时复用引脚, 在没有使用时,DDATA 为高阻态, 当内部数据准备就绪时,DDAT 由高变低 当作为数据输出引脚使用时, 数据输出先输出高位数据, 再输出低位数据 串口通信数据接收时, 当 DDATA 下降沿来临时,MCU 发送 SCLK 时钟, 第一个 SCLK 信号为响应信号, 控制器从第二个 SCLK 的上升沿开始接收数据, 在 SCLK 的下降沿更新数据, 外部的控制器在上升沿接收数据 当接收完第 24 个数据后, 必须向 发送结束标志位, 将 SCLK 置低,DDAT 置高, 数据位为 DDAT(23:1), 最低位 DDAT(0) 恒为 1 更新后, 如果外部控制器一直没有读取数据,DDAT 将一直保持低电平, 直到下一个有效 数据到来之前被置高 Data (23bit ) ( high) DDAT MSB LSB 0 t1 t2 t3 SCLK t2 t4 图 5 数据读取时序 表 5 数据读取时序表 参数 描述 MIN TYP MAX UNITS t1 DDAT 置低后首个 SCLK 到来时的间隔时间 0 ns t2 SCLK 脉冲宽度 100 ns t3 数据更新就绪脉宽 39 us t4 转换周期 100 ms 第 12 页, 共 13 页

13 芯片封装 3 芯片封装 3.1 采用 SOP-8 封装 3.2 采用 PDIP-8 封装 图 6 SOP-8 封装尺寸信息 图 7 PDIP-8 封装尺寸信息 第 13 页, 共 13 页

CS1240/CS1241用户手册

CS1240/CS1241用户手册 用户手册 20-bit Sigma-Delta ADC 通讯地址 : 深圳市南山区蛇口南海大道 1079 号花园城数码大厦 A 座 9 楼邮政编码 :518067 公司电话 :+(86 755)86169257 传真 :+(86 755)86169057 公司网站 :www.chipsea.com 微信号 : 芯海科技微信二维码 : 第 1 页, 共 30 页 版本历史 历史版本 修改内容 版本日期

More information

24位模数转换器

24位模数转换器 24 位高精度低电压模数转换器 特征 : 24 位分辨率 ( 无失码 ) 20.7 位有效位 (ENBO 128 倍放大 10Hz 输出 3.0V) 18.2 位不动码 (ENBO 128 倍放大 10Hz 输出 3.0V) 内部集成可编程增益 : 2 4 8 16 32 128 256 1024 2048 倍 输出码率可选 (Hz): 0.625 1.25 2.5 5 10 20( 默认 ) 40

More information

Microsoft Word - SDI0818用户手册_V1_Agu22_08.doc

Microsoft Word - SDI0818用户手册_V1_Agu22_08.doc 24 位模数转换器 特征 : 24 位分辨率 ( 无失码 ) 19.5 位有效位 (ENBO 128 倍放大 ) 18 位不动码 内部集成 128 倍增益放大器 内部集成震荡器频率 :2.45MHz 偏差 :+/- 5% 具有很高的电源抑制比, 方便在强电源噪声环境下应用 : 10Hz 时对 50Hz 60Hz 噪声抑制 (-100dB) 输出码率 10Hz 80Hz 可选 外部输入参考电压 VREFP

More information

CS1252 用户手册 Rev 1.0 通讯地址 : 深圳市南山区蛇口南海大道 1079 号花园城数码大厦 A 座 9 楼邮政编码 : 公司电话 :+(86 755) 传真 :+(86 755) 公司网站 : Rev 1.0

CS1252 用户手册 Rev 1.0 通讯地址 : 深圳市南山区蛇口南海大道 1079 号花园城数码大厦 A 座 9 楼邮政编码 : 公司电话 :+(86 755) 传真 :+(86 755) 公司网站 :  Rev 1.0 CS1252 用户手册 通讯地址 : 深圳市南山区蛇口南海大道 1079 号花园城数码大厦 A 座 9 楼邮政编码 :518067 公司电话 :+(86 755)86169257 传真 :+(86 755)86169057 公司网站 :www.chipsea.com 第 1 页, 共 22 页 历史修改记录 历史修改记录 时间 记录 版本号 2012-12-19 更换新 LOGO 1.0 第 2 页,

More information

CS1240/CS1241用户手册

CS1240/CS1241用户手册 用户手册 通讯地址 : 深圳市南山区蛇口南海大道 1079 号花园城数码大厦 A 座 9 楼邮政编码 :518067 公司电话 :+(86 755)86169257 传真 :+(86 755)86169057 公司网站 :www.chipsea.com 第 1 页, 共 29 页 历史修改记录 历史修改记录 时间 记录 版本号 2012-12-19 更换新 LOGO, 重新发布 1.0 第 2 页,

More information

DATASHEET SEARCH SITE ==

DATASHEET SEARCH SITE == 特性描述 是应用于电子秤低频测量的单通道模拟前端 该器件可以接受直接来自传感器的低电平的输入信号, 然后产生串行的数字输出 利用 Σ-Δ 转换技术实现了 24 位无丢失代码性能 通过选定的输入信号被送到一个基于模拟调制器的增益可编程专用前端 片内数字滤波器处理调制器的输出信号, 通过通信口发命令可调节滤波器的截止点和输出更新速率, 从而对数字滤波器的第一个陷波进行编程 只需 2.6~5.5V 单电源供电

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

深圳明和科技

深圳明和科技 500mA 同步降压 DC/DC 转化器 ME3101 系列 描述 : ME3101 是一款同步整流降压型 DC/DC 内置 0.6ΩPMOS 驱动管和 0.7ΩNMOS 开关管 兼容陶瓷电容, 外部只需一只电感和两只电容, 可高效率的输出 500mA 内置振荡器电路, 振荡频率可达 1.2MHZ ME3101 为 PFM/PWM 型自动开关控制模式, 在满载时也能快速响应, 达到纹波小, 效率高的效果

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

SD3101 带 UART 和 I 2 C 的三差分通道计量 SOC 特点 高精度 ADC,24 位数据输出, 输入增益可选 和 16,3 个差分或 5 个伪差分通道, 可测量信号的真有效值 瞬时值和频率 8 位 RISC 低功耗 MCU,49 条指令,6 级堆栈, 在 2.097MHz

SD3101 带 UART 和 I 2 C 的三差分通道计量 SOC 特点 高精度 ADC,24 位数据输出, 输入增益可选 和 16,3 个差分或 5 个伪差分通道, 可测量信号的真有效值 瞬时值和频率 8 位 RISC 低功耗 MCU,49 条指令,6 级堆栈, 在 2.097MHz 带 UART 和 I C 的三差分通道计量 SOC 特点 高精度 ADC, 位数据输出, 输入增益可选 8 和 6, 个差分或 个伪差分通道, 可测量信号的真有效值 瞬时值和频率 8 位 RISC 低功耗 MCU, 条指令,6 级堆栈, 在.0MHz 工作时钟,MCU 部分在.V 工作电压下电流典型值为 00uA;kHz 时钟待机模式下工作电流为.6uA, 休眠模式电流小于 ua 6k Bytes

More information

Microsoft Word - hx711中文.doc

Microsoft Word - hx711中文.doc 电子秤专用模拟 / 数字 (A/D) 转换器芯片 简介 HX711 采用了海芯科技集成电路专利技术, 是一款专为高精度电子秤而设计的 24 位 A/D 转换器芯片 与同类型其它芯片相比, 该芯片集成了包括稳压电源 片内时钟振荡器等其它同类型芯片所需要的外围电路, 具有集成度高 响应速度快 抗干扰性强等优点 降低了电子秤的整机成本, 提高了整机的性能和可靠性 该芯片与后端 MCU 芯片的接口和编程非常简单,

More information

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8 Version History 日期 版本 2016/8/4 1.00 2016/9/2 1.01 2016/11/29 1.02 2017/2/16 1.03 2017/6/9 1.04 2018/6/27 1.05 2018/8/8 1.06 新增 MG196 PBGA256S 封装信息 ; 修改 SSPI 模式的配置功能 ; 增加 QN88 封装信息 2019/3/27 1.07 增加电源供电要求

More information

R/W

R/W (HD44780 KS0066 ) 3 3 5 6 10 14 HD44780/KS0066 16 2 LCM 8 1 40 4 LCD HD44780/KS0066 HD44100 IC PCB 0.1 CMOS 1. 2. 3. 4. 5. RH60% 6. 1. 2. 3. PCB 3 4. 5. 6. 1. 280 C 2. 3 4s 3. 4. 5. 3 5 1. 2. IC 3. DC-DC

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

adsd

adsd HS8108 电脑开关电源控制器 概述 : HS8108 是 PWM 开关电源控制器, 主要用于台式 PC( 个人电脑 ) 的开关电源部分 HS8108 能够提供开关电源所有的控制和保护功能 : PWM 脉宽调制及推挽输出, 具有过压 欠压 过流 过功耗 远程控制 AC 掉电保护等功能, 两个内嵌的精密 431 调节器用作稳定 5V 辅助电源和 33V 输出电压, 内嵌的精密振荡器可以保证各种延时的精确性

More information

Electrical and Optical Clock Data Recovery Solutions - Data Sheet

Electrical and Optical Clock Data Recovery Solutions - Data Sheet 32 GBd N1076A 32 GBd N1077A / 64 GBd N1076B 64 GBd N1078A / 64 GBd NRZ PAM4 O/E < 100 fs RMS JSA PLL ...3...4...4...5 /...6...8...11 N1076A...12 N1076B DCA-M...13 N1077A...15 N1078A DCA-M...17...21...

More information

Intersil精密模拟器件

Intersil精密模拟器件 Intersil 1nV/vHz & 0.00017% 1nV/ Hz Hz IC +125 DCPs VOUT I 2 C Intersil 450nA ( ) 2nA na / IntersilIC 2.8mm 1.6mm / V I SS µa (Max.) V OS (mv) (Max.) I B (pa) @1kHz (nv/ Hz ) khz (Tye.)(dB) (Tye.)(dB)

More information

DS_MM32P021_Ver1.9.0

DS_MM32P021_Ver1.9.0 产品手册 Datasheet MM32P021 32 位基于 ARM Cortex M0 核心的微控制器 版本 :1.9.0 保留不通知的情况下, 更改相关资料的权利 目录 1. 总介... 5 1.1 概述... 5 1.2 产品特性... 5 2. 规格说明... 6 2.1 器件对比... 6 2.2 功能简述... 6 3. 引脚定义... 7 3.1 引脚配置... 7 3.2 引脚描述...

More information

ETA104 数据采集模块数据手册

ETA104 数据采集模块数据手册 Emtronix ETA104 数据采集模块数据手册 1. 概述 1.1 ETA104 介绍 ETA104 模拟数据采集模块 ( 下文简称 :ETA104 模块 ) 是基于英创公司 SBC840 工控应用底板 符合 DM5028 标准应用扩展模块 ETA104 模块上的模数转换功能, 采用 ADS7871 模拟信号转换芯片, 占用 ESMARC 工控主板的 SPI 总线进行通讯, 最高可实现 48K

More information

SD3102 带显示驱动 I 2 C 和按键的单差分通道计量 SOC 特点 高精度 ADC,24 位数据输出, 输入增益可选 和 16, 单差分通道, 可测量信号的真有效值和瞬时值 8 位 RISC 低功耗 MCU,49 条指令,6 级堆栈, 在 2.048MHz 工作时钟,MCU 部分

SD3102 带显示驱动 I 2 C 和按键的单差分通道计量 SOC 特点 高精度 ADC,24 位数据输出, 输入增益可选 和 16, 单差分通道, 可测量信号的真有效值和瞬时值 8 位 RISC 低功耗 MCU,49 条指令,6 级堆栈, 在 2.048MHz 工作时钟,MCU 部分 带显示驱动 I C 和按键的单差分通道计量 SOC 特点 高精度 ADC, 位数据输出, 输入增益可选 8 和 6, 单差分通道, 可测量信号的真有效值和瞬时值 8 位 RISC 低功耗 MCU,9 条指令,6 级堆栈, 在.08MHz 工作时钟,MCU 部分在.V 工作电压下电流典型值为 00uA;kHz 时钟待机模式下工作电流为 7.6uA, 休眠模式电流小于 ua 6k Byts OTP 程序存储器,5

More information

29 0. 0.1 0.2 0.3 1. 30 1840 1930 1932 1926 35 51 55 214 1 31 1988 3 2. 2.1 3 2000 2.2 79 1 52 32 56 57 57 2 2.3. 2 10 4 40 16 4 64 2.4 3. 3.0 3.1 1 Hz 33 193ms 176 174 169 167 165 163 162 160 159 (T )

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi MICROCHIP EVM Board : APP001 1-1. APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pin 16 I/O Extension Interface 1-2. APP001 Block_A Block_B

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM3316/3315 MCU 2017.06 FM3316/3315 MCU 2.21 (http://www.fmsh.com/) FM3316/3315 MCU 2.22 ... 3 1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.3.1... 6 2.3.2... 6 2.4... 9 2.5... 10 2.5.1 LQFP64... 10 2.5.2

More information

EG4002 红外热释电处理芯片数据手册

EG4002 红外热释电处理芯片数据手册 ELERONIC GINT EG400 芯片数据手册 REV 1. 版本变更记录 版本号 日期 描述 V1.0 01 年 11 月 1 日 EG400 数据手册初稿 V1.1 01 年 0 月 6 日 添加光敏电阻应用图 V1. 01 年 04 月 6 日 更新光敏电阻应用图 / 1 目录 1. 特点... 4. 描述... 4. 应用领域... 4 4. 引脚... 5 4.1. 引脚定义...

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

大16开产品画册排版.cdr

大16开产品画册排版.cdr 北京圣莱特商贸有限公司 中国 北京 新型产品 XYZ 20A 颜色 黑色 尺寸 210*180*130mm 功能参数 1 使用高转换效率单晶硅片 太阳能转换效率高达16%以上 2 太阳能电池板规格 10W 3 充电器内置高容量可充电电池 20AH 4 输出电压 220V 5 用交流适配器给充电器内置电池充电时间 5小时 (6) 太阳能给充电器内置电池充电时间 20小时

More information

×××芯片用户手册

×××芯片用户手册 LXY28162 用户手册 2008 年 07 月 V1.0 版权所有, 侵权必究深圳市灵芯宇科技有限公司第 1 页, 共 13 页 目录 1 芯片功能说明... 4 1.1 芯片主要功能特性... 5 1.2 芯片应用场合... 5 1.3 芯片基本结构描述... 5 2 芯片特性说明... 6 2.1 芯片的封装和引脚... 6 2.2 芯片最大极限值... 7 2.3 芯片电气特性 (VDD=5)...

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD 1/16 T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma (@T = 25 C, @Vdd = 3V) VDD 1.8 3.0 3.6 V (1) 0.08 0.3 µa Idd 300 450 500 µa 0.25

More information

Microsoft Word - FM320X简介

Microsoft Word - FM320X简介 FM320X 低压电力线载波通信芯片 2013. 12 FM3203 低压电力线载波通信芯片 版本 1.0 1 本资料是为了让用户根据用途选择合适的上海复旦微电子集团股份有限公司 ( 以下简称复旦微电子 ) 的产品而提供的参考资料, 不转让属于复旦微电子或者第三者所有的知识产权以及其他权利的许可 在使用本资料所记载的信息最终做出有关信息和产品是否适用的判断前, 请您务必将所有信息作为一个整体系统来进行评价

More information

绯荤粺A4鐗堟湰鍗曢〉

绯荤粺A4鐗堟湰鍗曢〉 2011 +86-10-60290088 600 690 470 970 670 1000 194 130 294 65 85 150 82 90 +86-10-60290088 +86-10-60290088 +86-10-60290088 +86-10-60290088 +86-10-60290088 +86-10-60290088

More information

HXJ9005技术资料

HXJ9005技术资料 概 述 一 款 双 通 道 桥 接 的 音 频 功 率 放 大 器, 在 5V 电 源 电 压 4Ω 负 载 时, 可 提 供 3.5W 的 功 率 具 有 低 功 耗 关 断 模 式 和 过 温 保 护 功 能 在 电 路 启 动 时, 具 有 缓 冲 及 防 抖 动 功 能 此 外, 当 接 立 体 耳 机 时, 芯 片 可 以 单 终 端 工 作 模 式 驱 动 立 体 耳 机 具 有 外 部

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

技术文档

技术文档 地址 : 深圳市高新技术产业园南区高新南一道国微大厦 5 楼 ADD: Shenzhen High-tech Industrial Park,South Area GaoxinS.Ave.1 st,guowei Building. 电话 Tel:0755-26991331 传真 Fax:0755-26991336 邮编 :518057 网址 :www.chinaasic.com LED 恒流驱动芯片

More information

2 12

2 12 SHENZHEN BRILLIANT CRYSTAL TECHNOLOGIC CO.,LTD. The specification for the following models Graphic LCM serial communication control board CB001 PROPOSED BY APPROVED Design Approved TEL:+86-755-29995238

More information

内部结构框图 SDI LSB 16Bit 移位寄存器 (1 16Bit 通道 ) MSB 0 15 LSB MSB 输出开 / 关数据锁存 (1 16Bit 通道 ) 0 15 R-EXT 16 通道恒流输出驱动 OUT0 OUT1 OUT14 OUT15 图 2 管脚信息 SDI OUT0 OUT

内部结构框图 SDI LSB 16Bit 移位寄存器 (1 16Bit 通道 ) MSB 0 15 LSB MSB 输出开 / 关数据锁存 (1 16Bit 通道 ) 0 15 R-EXT 16 通道恒流输出驱动 OUT0 OUT1 OUT14 OUT15 图 2 管脚信息 SDI OUT0 OUT 特性描述 是 D 显示面板设计的驱动 IC, 它内建的 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成平行输出数据格式 具有 16 个电流源, 可以在每个输出端口提供 2~60mA 恒定电流量以驱动 D, 每个 OUT 驱动通道输出可短接后得到更大的电流输出, 且当环境发生变化时, 对其输出电流影响很小 同时可以选用不同阻值 (REXT) 的外接电阻来调整 各输出端口的电流大小, 因此,

More information

引脚名称 QFN(4 4)-24L SSOP-24L ( 引脚间距 / 1.0) SOP-24L ( 引脚间距 1.27) 引脚描述 管脚号 管脚名称功能 QFN-24 SSOP-24/SOP-24L 1 4 LAT 数据锁存输入端 ( 低电平有效 ) 2 5 OUT0 3 6 OUT1

引脚名称 QFN(4 4)-24L SSOP-24L ( 引脚间距 / 1.0) SOP-24L ( 引脚间距 1.27) 引脚描述 管脚号 管脚名称功能 QFN-24 SSOP-24/SOP-24L 1 4 LAT 数据锁存输入端 ( 低电平有效 ) 2 5 OUT0 3 6 OUT1 D5056 带预充电管的 16 位 LED 控制器 D5056 是一款专用于大屏幕 LED 低压差 高精度带预充电管的 16 位恒流驱动芯片 内含高精度电流驱动电路 16 位移位寄存器 锁存器 温度补偿电路等 D5056 内置带预充电管, 可以解决列消隐问题 再配上我司解决行消隐的 D4973,LED 屏幕消隐问题可完美解决, 大大提高刷新率 D5056 可接受外部 CPU 编程控制信号, 分别控制

More information

图 2 产品应用示意图 产品功能表 表 1 发送输入输出 RE DE DI Z Y X X X High Z High Z 1 0 X High Z High Z X = 无关 High Z = 高阻 表 2 接收 输入 输出 RE DE A B RO 0 0

图 2 产品应用示意图 产品功能表 表 1 发送输入输出 RE DE DI Z Y X X X High Z High Z 1 0 X High Z High Z X = 无关 High Z = 高阻 表 2 接收 输入 输出 RE DE A B RO 0 0 RFDot 产品,RoHS 认证, 绿色无铅封装 封装类型 : DIP/SO 产品概述 MAX485 是一款应用于 RS-485 和 RS-422 通信系统的收发芯片 MAX485 传输和接收的数据传输率可高达 2.5Mbps 485 为半双工型 另外,485 有驱动使能 (DE) 和接收使能 (RE) 管脚, 当时, 驱动和接收输出为高阻 MAX485 具有失效保护功能, 在处于接收状态时, 输入端开路或短路接收器输出为高电平

More information

供充放一体电源及充电电源手册_0_.doc

供充放一体电源及充电电源手册_0_.doc 2010. 05 供充放一体电源及充电电源 适用于铅酸电池 锂电池 镍氢电池 实现不间断供电 采用自动均 / 浮充 恒流 / 恒压 零电流 -ΔV 检测 / 涓充 / 滴充技术 提供各种保护 显示各种工作状态 目录 SCD 供充放一体电源 ( 锂电池系列 )-----------1 SCD 供充放一体电源 ( 铅酸电池系列 )----------3 C 充电电源 ( 镍氢电池系列 )-------------------------5

More information

DESCRIPTION

DESCRIPTION 集成 12-BIT ADC 的 8 位 MCU 特点 电源和复位 上电复位电路 (POR) 输入 / 输出 4 级可选的欠压复位电路 (BOR) 18 个 I/O 端口, 其中 P2.2 只能开漏输出 8 级可选低压检测 (LVD) 外围设备 LDO 给内核供电, 支持低功耗掉电模式 7 路键盘输入, 可唤醒 PD 模式 看门狗定时器 (WDT) 4 路外部中断输入, 可唤醒 PD 模式 CPU 12

More information

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 :

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 : SA 系列 / C-Link 产品特点 引用标准 : IEC 61071 结构 : 金属化聚丙烯膜结构 封装 : 聚酯胶带, 树脂填充 电气特性 工作温度 : - 40 至 + 85 C 范围 : 15 至 500μF 额定电压 : 500 至 1100 VC 偏差 : ± 5%, ± 10% 损耗因素 : 2 10-3 @100z 20±5 C 预期寿命 : 100,000 小时 @Un, 70

More information

一、 概述

一、 概述 概述 FZH119 是一种 LED( 发光二极管显示器 ) 驱动控制与用电路, 内部集成有 MCU 数字接口 数据锁存器 LED 驱动等电路 本产品性能优良, 质量可靠 主要应用于电子产品 LED 显示屏驱动 采用 SOP28 的封装形式 特性说明 采用 CMOS 工艺 显示模式 (8 段 16 位 ) 辉度调节电路 ( 占空比 8 级可调 ) 两线串行接口 (SCLK,DIN) 振荡方式 : 内置

More information

Microsoft Word - FM17522_ps_chs - new.doc

Microsoft Word - FM17522_ps_chs - new.doc FM17522 通用 非接触读写器芯片 2016.10 FM17522 非接触读写器芯片 版本 1.4 1 本资料是为了让用户根据用途选择合适的上海复旦微电子集团股份有限公司 ( 以下简称复旦微电子 ) 的产品而提供的参考资料, 不转让属于复旦微电子或者第三者所有的知识产权以及其他权利的许可 在使用本资料所记载的信息最终做出有关信息和产品是否适用的判断前, 请您务必将所有信息作为一个整体系统来进行评价

More information

Microsoft Word - BL5372_UMAN_V1.1.doc

Microsoft Word - BL5372_UMAN_V1.1.doc 低 功 耗 实 时 时 钟 芯 (RTC)BL5372 用 户 手 册 V1.2 ( 2012.11.12) 上 海 贝 岭 股 份 有 限 公 司 Shanghai Belling Co., Ltd. 1. 概 述 低 功 耗 实 时 时 钟 芯 片 (RTC)BL5372 BL5372 是 一 款 低 功 耗 实 时 时 钟 电 路, 通 过 I 2 C 两 线 接 口 电 路 可 以 与 CPU

More information

Microsoft Word - DW01

Microsoft Word - DW01 用途 / Purpose: 用于锂离子 / 锂聚合物可充电电池组 For lithium ion / lithium polymer rechargeable battery pack 特点 / Features: (1) 高电压检测电路 / Precision voltage detection circuit 过充检测电压 Overcharge detection voltage 4.3 过充恢复电压

More information

版权公告 本文中的信息, 包括供参考的 URL 地址, 如有变更, 恕不另行通知 文档 按现状 提供, 不负任何担保责任, 包括对适销性 适用于特定用途或非侵权性的任何担保, 和任何提案 规格或样品在他处提到的任何担保 本文档不负任何责任, 包括使用本文档内信息产生的侵犯任何专利权行为的责任 本文档

版权公告 本文中的信息, 包括供参考的 URL 地址, 如有变更, 恕不另行通知 文档 按现状 提供, 不负任何担保责任, 包括对适销性 适用于特定用途或非侵权性的任何担保, 和任何提案 规格或样品在他处提到的任何担保 本文档不负任何责任, 包括使用本文档内信息产生的侵犯任何专利权行为的责任 本文档 L6 LoRa TM 通信模块规格书 巧而美 唯匠心集成 智而快 享极速运行 版权公告 本文中的信息, 包括供参考的 URL 地址, 如有变更, 恕不另行通知 文档 按现状 提供, 不负任何担保责任, 包括对适销性 适用于特定用途或非侵权性的任何担保, 和任何提案 规格或样品在他处提到的任何担保 本文档不负任何责任, 包括使用本文档内信息产生的侵犯任何专利权行为的责任 本文档在此未以禁止反言或其他方式授予任何知识产权使用许可,

More information

s00_000_Dummy f.r Titelseite nur f.r Arbeits-PDFs

s00_000_Dummy f.r Titelseite nur f.r Arbeits-PDFs -V- SOEL-RTD-Q50-PU SOE 20m SOEG-RT 10 36 V DC 10 30 V DC SOEG-RTZ SOEG-RTH SOEG-RSP SOEG-RSG SOEG-S SOEG-E SOEG-L 10 36 V DC 10 30 V DC 10 36 V DC 10 30 V DC 10 36 V DC 10 30 V DC 10 36 V DC 10 30 V DC

More information

MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需

MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需 MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需检测电阻, 能检测交直流电流和外围电路简单 检测电流方案为电流传感器 APCL-C784 和 C79B

More information

ICN2028

ICN2028 概述 DP5220B 是一款专为 LED 显示屏设计的驱动 IC, 采用 16 路恒流灌电流输出 DP5220B 集成了 Noise Free TM 技术, 具有极佳的抗干扰特性, 使恒流及低灰效果不受 PCB 板的影响 并可选用不同的外挂电阻对输出级电流大小进行调节, 精确控制 LED 的发光亮度 DP5220B 在显示过程中 (OE=0) 会缓存 16bit 显示数据, 所以系统在 DP5220B

More information

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小由外接电阻来调整 封装图 SSOP24L-0.635-D1.40 (mm) ( SM16125ES ) 特点 16 通道恒流源输出 电流输出大小不因输出端负载电压变化而变化

More information

政府服務品質獎 服務規劃機關 參獎申請書

政府服務品質獎 服務規劃機關 參獎申請書 建 構 托 育 管 理 制 度 實 施 計 畫 (104 年 -107 年 ) ( 核 定 本 ) 104 年 5 月 7 日 目 錄 壹 計 畫 緣 起 1 一 依 據. 1 二 未 來 環 境 預 測. 2 三 問 題 評 析. 6 貳 計 畫 目 標.. 9 一 目 標 說 明. 9 二 達 成 目 標 之 限 制.. 11 三 預 期 績 效 指 標 及 評 估 基 準. 12 參 現 行

More information

臺 北 市 議 會 公 報, 維 護 相 對 不 易, 現 在 破 損 補 丁 的 地 磚 路 面 也 讓 民 眾 行 車 安 全 與 市 容 景 觀 同 受 威 脅 二 據 了 解, 北 市 府 為 強 化 整 體 地 區 或 商 圈 文 化 特 質 與 形 象, 提 升 商 圈 行 銷 實 力,

臺 北 市 議 會 公 報, 維 護 相 對 不 易, 現 在 破 損 補 丁 的 地 磚 路 面 也 讓 民 眾 行 車 安 全 與 市 容 景 觀 同 受 威 脅 二 據 了 解, 北 市 府 為 強 化 整 體 地 區 或 商 圈 文 化 特 質 與 形 象, 提 升 商 圈 行 銷 實 力, 臺 北 市 議 會 公 報 書 面 質 詢 及 答 覆 第 10 屆 第 8 次 定 期 大 會 (99.7.12 至 99.9.29) 議 員 書 面 質 詢 全 文 (7) 141 質 詢 日 期 : 中 華 民 國 99 年 8 月 23 日 質 詢 議 員 : 黃 向 羣 質 詢 對 象 : 臺 北 市 交 通 管 制 工 程 處 臺 北 市 新 建 工 程 處 質 詢 題 目 : 新 生

More information

D/A DAC ( 1us) (10~20 ) DAC0832 1

D/A DAC ( 1us) (10~20 ) DAC0832 1 D/A DAC0832 8 ( 1us) (10~20 ) DAC0832 1 1. 20 DI7~DI0 ILE 8 8 DAC 8 D/A LE LE & RFB VREF IOUT2 IOUT1 RFB CS WR1 XFER WR2 & & AGND VCC DGND 2 DI7~DI0 ILE & 8 LE 8 DAC LE 8 D/A RFB V REF IOUT2 IOUT1 R FB

More information

第 卷 第 期 年 月 半 导 体 学 报! " # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% )

第 卷 第 期 年 月 半 导 体 学 报!  # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% ) 第 卷 第 期 年 月!"# $%&'%' $!&'#%#$1/#1 $'! /18103 2?/03101?/18103 /3 0,?/0301.13 )*+!!! '!,!! -.&' $!'! 4%%&1)/1(7%&)03(%)%&,%*(1&0)%$-0*,%30)17*1*)0(+1(1+&1*+*),)1; &113(%44(10&.0701&0-&00*/)%;()1%-1+%&0)0*1*)%

More information

TONE RINGER

TONE RINGER 1/3 占空比通用 156 段 LCD 显示驱动电路 描述 SC75823E/W 是一个通用 LCD 驱动器, 可以用于微处理器控制的收音机的频率显示及其他显示应用 此外还可以最多直接驱动 156 个 LCD 字符段 主要特点 * 支持 1/3 占空比 1/2 偏置和 1/3 占空比 1/3 偏置的 LCD, 通过串行数据控制可以驱动多达 156 个字符段 * 和系统控制电路之间的串行数据通信支持

More information

数字带通 带阻 高通滤波器的设计 把一个归一化原型模拟低通滤波器变换成另一个所需类型的模拟滤波器, 再将其数字化 直接从模拟滤波器通过一定的频率变换关系完成所需类型数字滤波器的设计 先设计低通型的数字滤波器, 再用数字频率变化方法将其转换成所需类型数字滤波器

数字带通 带阻 高通滤波器的设计 把一个归一化原型模拟低通滤波器变换成另一个所需类型的模拟滤波器, 再将其数字化 直接从模拟滤波器通过一定的频率变换关系完成所需类型数字滤波器的设计 先设计低通型的数字滤波器, 再用数字频率变化方法将其转换成所需类型数字滤波器 数字带通 带阻 高通滤波器的设计 把一个归一化原型模拟低通滤波器变换成另一个所需类型的模拟滤波器, 再将其数字化 直接从模拟滤波器通过一定的频率变换关系完成所需类型数字滤波器的设计 先设计低通型的数字滤波器, 再用数字频率变化方法将其转换成所需类型数字滤波器 模拟原型方法 : 模拟低通 - 模拟带通 H ( j) H ( j) 3 3 3 模拟原型方法 : 模拟低通 - 模拟带通 H ( j) 模拟低通

More information

untitled

untitled 0755 85286856 0755 82484849 路 4.5V ~5.5V 流 @VDD=5.0V,

More information

AN-80 各单通道 ADC 采用先进的 CMOS 工艺制造, 提供 引脚 LFCSP 封装, 额定温度范围为 0ºC 至 +8ºC 工业温度范围 设计和布局考虑因素对于布局设计师, 引脚排列间的唯一差异是数据输出位的分配 所有双通道转换器中, 引脚 和引脚 8 分别为 A 和 B 的 MSB, 该

AN-80 各单通道 ADC 采用先进的 CMOS 工艺制造, 提供 引脚 LFCSP 封装, 额定温度范围为 0ºC 至 +8ºC 工业温度范围 设计和布局考虑因素对于布局设计师, 引脚排列间的唯一差异是数据输出位的分配 所有双通道转换器中, 引脚 和引脚 8 分别为 A 和 B 的 MSB, 该 AN-80 应用笔记 One Technology Way P.O. Box Norwood, MA 00- Tel: 8/-00 Fax: 8/- www.analog.com 利用引脚兼容高速 ADC 简化设计任务 作者 :Robert M. Clarke 简介选择 ADC 可能是系统设计师最棘手的问题 转换器分辨率和采样速率决定系统性能 然而, 设计通常是基于一系列无法验证的假设, 除非原型系统经过测试

More information

Microsoft Word - CSU8RP3119移动电源方案.doc

Microsoft Word - CSU8RP3119移动电源方案.doc 文件编码 :APN-CSU-P-3119-001 单芯片移动电源方案手册 REV 1.0.0 通讯地址 : 深圳市南山区蛇口南海大道 1079 号花园城数码大厦 A 座 9 楼邮政编码 :518067 公司电话 :+(86 755)86169257 传真 :+(86 755)86169057 公司网站 :www.chipsea.com 第 1 页, 共 7 页 版本历史 历史版本. 修改内容 版本日期

More information

2 14 PORTC.1 PORTB.3 PORTA.2/T0 GND PORTB.2 PORTA.0 PORTC.3 PORB.0/OSCO PORTB.1/OSCI PORTC.0 PORTC.2 SH69P21 /SOP PORTA

2 14 PORTC.1 PORTB.3 PORTA.2/T0 GND PORTB.2 PORTA.0 PORTC.3 PORB.0/OSCO PORTB.1/OSCI PORTC.0 PORTC.2 SH69P21 /SOP PORTA 1K 4 SH6610C 4 OTP ROM 1K X 16 RAM 88 X 4-24 - 64 2.4V-5.5V - fosc = 30kHz - 4MHz, = 2.4V - 5.5V - fosc = 4MHz - 8MHz, = 4.5V - 5.5V 11 CMOS I/O 4 ( ) 8 / - 0 PORTA.0 ( / / ) - 0 - PORTB ( ) ( ) - 32.768kHz,

More information

EG8403 芯片数据手册

EG8403 芯片数据手册 版本号日期描述 版本变更记录 V1.0 2013 年 01 月 30 日 EG8305 数据手册初稿 1 / 11 目录 1. 特点... 3 2. 概述... 3 3. 应用领域... 3 4. 引脚... 4 4.1. 引脚定义... 4 4.2. 引脚描述... 4 5. 结构框图... 5 6. 典型应用电路... 6 6.1 EG8305 典型应用电路图... 6 7. 电气特性... 6

More information

ICN2028

ICN2028 ICN2028 (16 路双缓存恒流输出 LED 驱动芯片 ) 概述 ICN2028 是一款专为 LED 显示屏设计的驱动 IC, 采用 16 路恒流灌电流输出 ICN2028 集成了 Noise Free TM 技术, 具有极佳的抗干扰特性, 使恒流及低灰效果不受 PCB 板的影响 并可选用不同的外挂电阻对输出级电流大小进行调节, 精确控制 LED 的发光亮度 ICN2028 在显示过程中 (OE=0)

More information

ADuCM360/ADuCM36 目录特性... 应用... 修订历史...2 概述...3 功能框图...4 技术规格... 6 微控制器电气规格... 6 ADC0 和 ADC 的均方根噪声分辨率... I 2 C 时序规格... 5 SPI 时序规格... 6 绝对最大额定值... 8 热阻.

ADuCM360/ADuCM36 目录特性... 应用... 修订历史...2 概述...3 功能框图...4 技术规格... 6 微控制器电气规格... 6 ADC0 和 ADC 的均方根噪声分辨率... I 2 C 时序规格... 5 SPI 时序规格... 6 绝对最大额定值... 8 热阻. 集成双通道 Σ-Δ 型 ADC 和 ARM Cortex-M3 的低功耗精密模拟微控制器 ADuCM360/ADuCM36 产品特性模拟输入 / 输出双通道 24 位 ADC (ADuCM360) 单通道 24 位 ADC (ADuCM36) 可编程 ADC 输出速率 (3.5 Hz 至 3.906 khz) 50 Hz/60 Hz 同步噪声抑制 50 SPS 连续转换模式 6.67 SPS 单次转换模式所有

More information

AVS7516 声音事件检测芯片硬件数据手册修正记录 版本 发布日期 内容描述 首次发布版本 修订电路参考图等细节 修订部分错误, 更新部分电路参考图 第 2 页共 16 页

AVS7516 声音事件检测芯片硬件数据手册修正记录 版本 发布日期 内容描述 首次发布版本 修订电路参考图等细节 修订部分错误, 更新部分电路参考图 第 2 页共 16 页 AVS7516 声音事件检测芯片 硬件数据手册 深圳声联网科技有限公司 0755-33349168 0755-33349798 www.avsnest.com 声联网官方订阅号 第 1 页共 16 页 AVS7516 声音事件检测芯片硬件数据手册修正记录 版本 发布日期 内容描述 1.0 2017-04-27 首次发布版本 1.1 2017-08-02 修订电路参考图等细节 1.2 2018-07-09

More information

untitled

untitled 2013/08/23 Page1 26 Ver.1.2 2013/08/23 Page2 26 Ver.1.2 2013/08/23 Page3 26 Ver.1.2 -JO8 DIM EN 5 GND GND -FA6 SOT23-6 6 SEN SW VIN 4 DIM 3 2 1 -GG5 VIN SEN GND DIM 5 SW 1 SOT89-5 4 3 2 TO-252 -HE5 VIN

More information

技术文档模板

技术文档模板 V6602 +3.3V/+5.0V 具有 ESD 保护 低摆率 RS-485 收发器 V6602 是一款半双工 低功耗 低摆率, 完全符合 EI/TI-485 标准要求的 RS-485 收发器 V6602 包括一个驱动器和一个接收器, 两者均可独立使能 当两者均禁用时, 系统输出高阻态 ( 三态 ) V6602 的高驱动能力, 允许 256 个发送器并接在同一通信总线上 低摆率 (low slew

More information

北京市基本医疗保险政策解答

北京市基本医疗保险政策解答 首 都 经 济 贸 易 大 学 全 日 制 本 科 学 生 学 籍 管 理 规 定 首 经 贸 政 发 2013 60 号 第 一 章 总 则 第 一 条 根 据 教 育 部 普 通 高 等 学 校 学 生 管 理 规 定 和 首 都 经 济 贸 易 大 学 学 生 管 理 规 定, 结 合 我 校 实 际 情 况, 制 定 本 规 定 第 二 条 本 科 基 本 修 业 年 限 为 四 年, 实

More information

RN5T566A

RN5T566A RN5T566A 产 品 规 格 书 版 本 1.3 2012.09.28 RICOH COMPANY, LTD. Electronic Devices Company 此 规 格 书 如 有 更 改, 不 另 行 通 知 2011-2012 版 本 1.3 第 1 页 目 录 1. 概 述... 3 2. 特 性... 3 3. 管 脚 配 置... 4 4. 结 构 框 图... 5 5. 管

More information

TONE RINGER

TONE RINGER 四通道低压 5V 全桥驱动描述 是一款四通道低压 5V 全桥驱动芯片, 为摄像 机 消费类产品 玩具和其他低压或者电池供电的运动控 制类应用提供了集成的电机驱动解决方案 能提供高达 0.8A 的输出电流 可以工作在 1.8~6V 的电源电压上 具有 PWM(IN1/IN2) 输入接口, 与行业标准器件 兼容, 并具有过温保护功能 具有省电模式 主要特点 四通道 H 桥电机驱动器 -- 驱动直流电机或其他负载

More information

双竞具体产品名称

双竞具体产品名称 脉宽调制控制电路 1. 概述 TL494 是一种固定频率脉宽调制电路, 它包含了开关电源控制所需的全部功能, 广泛应用于单端正激双管式 半桥式 全桥式开关电源 TL494 有 DIP 和 SOP 两种封装形式 2. 特性 集成了全部的脉宽调制电路 片内置线性锯齿波振荡器, 外置振荡元件仅两个 ( 一个电阻和一个电容 ) 内置误差放大器 内置 5V 参考基准电压源 可调整死区时间 内置功率晶体管可提供

More information

Microsoft Word - PL10DC.doc

Microsoft Word - PL10DC.doc 蠕动泵 Peristaltic Pumps for Liquid PL10DC 系列 (Series PL10DC) 特点 Features 无油润滑 Oil-Free 耐腐蚀性 Corrosionresistant 免维护 Maintenance Free 低噪音低振动 Low noise level&vibration 可以任何方向安装 Can be mounted in any plane 结构紧凑

More information

A (QFP-48-12x ) RANGE IF70K AL-OUT AL-SIG VSS CLKFREQ-SEL HR-SET MIN-SET AM/FM-SEL AL-DISP A AL-

A (QFP-48-12x ) RANGE IF70K AL-OUT AL-SIG VSS CLKFREQ-SEL HR-SET MIN-SET AM/FM-SEL AL-DISP A AL- AM/FM SC361 0 AM/FM 12 CMOS QFP-48-12x12-0.8 FM 150M Hz AM 30 MHz LCD 3 13 1/3 4 32.768kHz FM 10.7 MHz 70 khz AM 455kHz 12 1.8V ~ 3.3V QFP44-10 x10-0.8 A B C D QFP-48-12x12-0.8 QFP-44-10x10-0.8 COB (QFP

More information

(Microsoft Word - \277\357\262\325\252\272\246\322\266q.doc)

(Microsoft Word - \277\357\262\325\252\272\246\322\266q.doc) 貳 選 組 的 考 量 選 組 議 題 對 最 近 高 一 同 學 來 說 是 迫 在 眉 睫, 其 實 有 些 同 學 是 老 神 在 在, 早 就 知 道 要 選 自 然 組 或 社 會 組 ; 但 是 對 少 數 同 學 說 是 困 擾 多 多, 因 為 真 的 難 以 取 捨, 不 知 如 何 是 好 此 問 題 須 考 慮 到 興 趣 能 力 大 學 校 系 工 作 價 值 觀 行 業 趨

More information

Microsoft Word - HYM _CN.doc

Microsoft Word - HYM _CN.doc 低功耗, 限摆率 RS-485/RS-422 收发器 HYM481/483/485/487-491/1487 一 概述和特性 HYM481 HYM483 HYM485 HYM487-HYM491 以及 HYM1487 是用于 RS-485/RS-422 通信的低功耗收发器, 每个器件中都具有一个驱动器和一个接收器 HYM483 HYM487 HYM488 以及 HYM489 具有限摆率驱动器, 可以减小

More information

Microsoft Word - GT21L16S2Y简要说明V37.doc

Microsoft Word - GT21L16S2Y简要说明V37.doc GT21L16S2Y 标准汉字字库芯片 简要说明 BRIEF 字型 :11X12 点阵 15X16 点阵 字符集 :G312 兼容 Unicode 内码 排置方式 : 竖置横排 总线接口 :SPI 串行总线 芯片形式 :SO8 封装 VER 3.7 2012-2 集通数码科技 - 1 - 版本修订记录 GT21L16S2Y 标准点阵汉字字库芯片简要说明 版本号 修改内容 日期 备注 V35 1. 15*16

More information

untitled

untitled 计 IC 规 书 2015/09/23 1 13 1.0 内.. 3.. 3 路 图.. 4 说.. 5.. 6.. 7.. 8 LCD.. 9 应 路图..10 标图..11 流 图..12 订单..13 订..13 2015/09/23 2 13 1.0 TCP310 数 计 路 键 选择 /(/ 切换 ) 单 1.5V 关闭 内 压检测 TCP310A1.30 ± 0.05V TCP310B1.25

More information

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg 1 1 a. 0.5V 5V 1Hz 1MHz b. 0.1% 2 : a. 0.5V 5V 1Hz 1MHz b. 0.1% (3) a. 0.5V 5V 100 s b. 1% 4 1 10 5 1MHz 6 1 2 1 0.1Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15

More information

Ps22Pdf

Ps22Pdf ,,, 30,, 1.,,, 1530, 50 ; 10,, ; ; 2.,, 1 ,,,,,, 520, 5979%, 1536 %, 3.,,,, 4.,,,,,,,,,! 2 ,,,,,,,,,,,,,, ;,,,, 3 ,,,,, ;,,,,,,,,,,,,,,,,,,,,,,,,,,, 4 60,,,,,,,,,,,,,,,,,,,,,,, ;,, 5 ,,,,,,, 20ppm,, ;

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

2 PIC PIC 1 / CPU PIC MCU PIC RC

2 PIC PIC 1 / CPU PIC MCU PIC RC 2 PIC PIC 1 /... 2-2 2... 2-3 3... 2-4 4... 2-4 5... 2-4 6 CPU... 2-5 7 PIC MCU... 2-6 8 PIC16... 2-6 9... 2-7 10... 2-7 11 RC... 2-7 12... 2-8 13... 2-8 14 NOP... 2-9 15 PMD... 2-9 16... 2-10 17 WDTWDT...

More information

FM17520非接触读写器芯片

FM17520非接触读写器芯片 FM17522 通用 非接触读写器芯片 2014. 07 FM17522 非接触读写器芯片 版本 1.1 1 本资料是为了让用户根据用途选择合适的上海复旦微电子集团股份有限公司 ( 以下简称复旦微电子 ) 的产品而提供的参考资料, 不转让属于复旦微电子或者第三者所有的知识产权以及其他权利的许可 在使用本资料所记载的信息最终做出有关信息和产品是否适用的判断前, 请您务必将所有信息作为一个整体系统来进行评价

More information

使 小 趙 有 機 可 趁 二 員 工 法 紀 觀 念 薄 弱 小 趙 身 為 主 管, 竟 假 藉 職 務 之 便, 利 用 平 時 得 經 常 申 請 出 差 之 機 會, 虛 立 出 差 名 目, 實 係 法 紀 觀 念 薄 弱 使 然 肆 具 體 改 進 措 施 或 建 議 一 訂 定 或

使 小 趙 有 機 可 趁 二 員 工 法 紀 觀 念 薄 弱 小 趙 身 為 主 管, 竟 假 藉 職 務 之 便, 利 用 平 時 得 經 常 申 請 出 差 之 機 會, 虛 立 出 差 名 目, 實 係 法 紀 觀 念 薄 弱 使 然 肆 具 體 改 進 措 施 或 建 議 一 訂 定 或 案 例 一 未 實 際 出 差, 詐 領 差 旅 費 壹 案 情 摘 要 小 趙 為 某 機 關 主 管, 負 責 該 機 關 業 務 之 進 行 及 督 導 等 職 務, 為 依 法 令 服 務 於 國 家 所 屬 機 關 而 具 有 法 定 職 務 權 限 之 公 務 員 小 趙 自 101 年 9 月 19 日 起, 意 圖 為 自 己 不 法 所 有, 利 用 出 差 督 導 辦 理 業 務

More information

JX-300X DCS 3.1 SP202 SP201S SP211 SP243X SP233 SP244 SP221 SP251 SP251-1 SP251-2 800*600*2100 715*425*1000 RS-232/RS-485 5V24V 110W 24V 110W SP291 SB

JX-300X DCS 3.1 SP202 SP201S SP211 SP243X SP233 SP244 SP221 SP251 SP251-1 SP251-2 800*600*2100 715*425*1000 RS-232/RS-485 5V24V 110W 24V 110W SP291 SB JX-300X DCS I/O / SBUS 3-1 3-1 JX-300X DCS 3-1 JX-300X DCS 3.1 SP202 SP201S SP211 SP243X SP233 SP244 SP221 SP251 SP251-1 SP251-2 800*600*2100 715*425*1000 RS-232/RS-485 5V24V 110W 24V 110W SP291 SBUS 3-2

More information

Microsoft Word - EG0001datasheet_V1.0.docx

Microsoft Word - EG0001datasheet_V1.0.docx 1. 特点 CMOS 数模混合专用集成电路 具有独立的高输入阻抗运算放大器, 可与多种传感器匹配, 进行信号预处理 双向鉴幅器可有效抑制干扰 内设延迟时间和封锁时间定时器, 结构新颖, 稳定可靠, 调节范围宽 内置参考电源 工作电压范围宽 :+3V +5V 封装形式 :DIP16 SOP16 封装 2. 描述 EG0001 是一款具有较高性能的传感信号处理集成电路 它配以热释电红外传感器和少量外接元器件构成被动式的热释电红外开关

More information

Specification of the 13.56MHz RFID card reader/writer

Specification of the 13.56MHz RFID card reader/writer Specification of the 13.56MHz RFID card reader/writer 3.1 RFID RFID card...4 3.2 13.56MHz RFID 13.56MHz RFID card reader/writer...4 4.1...4 4.2...7 4.3...7 4.4...7 4.5...8 4.6...8 4.7...9 4.8...9 4.9...9

More information

产品名称 封 装 打印名称 材料 包装 SA1117H ADJTR SA1117H ADJ 无铅 编带 SA1117H 1.2TR SA1117H 1.2 无铅 编带 SA1117H 1.5TR SA1117H 1.5 无铅 编带 SA1117H 1.8TR SOT 223 3L SA1117H 1

产品名称 封 装 打印名称 材料 包装 SA1117H ADJTR SA1117H ADJ 无铅 编带 SA1117H 1.2TR SA1117H 1.2 无铅 编带 SA1117H 1.5TR SA1117H 1.5 无铅 编带 SA1117H 1.8TR SOT 223 3L SA1117H 1 1A LDO 稳压器电路 概述 SA1117 是一款正电压输出的低压降三端线性稳压电路, 在 1A 输出电流下的压降为 1.2V SA1117 分为两个版本, 固定电压输出版本和可调电压输出版本 固定输出电压 1.5V 1.8V 2.5V 3.3V 5.0V 和可调版本的电压精度为 1%; 固定电压为 1.2V 的产品输出电压精度为 2% SA1117 内部集成过热保护和限流电路, 适用于各类电子产品

More information

XPT2046芯片用户手册V2.0

XPT2046芯片用户手册V2.0 V2.0 2008 年 9 月 销售电话 :0755-89745826 传真 :0755-84164552 http://www.zhenda-sz.com 第 1 页, 共 27 页 目录 1 功能说明... 4 2 主要特性... 4 3 应用领域... 4 4 典型应用电路... 4 5 极限参数... 5 5.1 电气特性... 6 6 芯片引脚描述... 8 6.1 引脚分配图... 8

More information

COPO Microelectronics Co., Ltd ` CP2031 3W,Qi V1.2.1-Compliant Wireless Power Receiver and Power Supply 3W, 符合 Qi V1.2.1 无线功率接收及电源管理

COPO Microelectronics Co., Ltd ` CP2031 3W,Qi V1.2.1-Compliant Wireless Power Receiver and Power Supply 3W, 符合 Qi V1.2.1 无线功率接收及电源管理 3W,Qi V1.2.1-Compliant Wireless Power Receiver and Power Supply 3W, 符合 Qi V1.2.1 无线功率接收及电源管理 ------------------------------------------------------------------------------------------------------------------------------------

More information

SM16126 LED 显示屏驱动 ICIGGS2011V1.2 SM16126 概述 封装信息 SM16126 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据 产品名称 封装形式 塑封体尺寸 (mm) 脚间距 (mm) 转换成并行输出数据格式

SM16126 LED 显示屏驱动 ICIGGS2011V1.2 SM16126 概述 封装信息 SM16126 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据 产品名称 封装形式 塑封体尺寸 (mm) 脚间距 (mm) 转换成并行输出数据格式 SM16126 概述 封装信息 SM16126 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据 产品名称 封装形式 塑封体尺寸 (mm) 脚间距 (mm) 转换成并行输出数据格式 SM16126 工作电压为 3.3V 5V, 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流 ; 且单颗 IC 片内输出通道的电流差异小于 ±3%;

More information

Microsoft Word - TM1812.doc

Microsoft Word - TM1812.doc 一 概述 TM1812 是 12 通道 LED( 发光二极管显示器 ) 驱动控制专用电路, 内部集成有 MCU 数 字接口 数据锁存器 LED 高压驱动等电路 通过外围 MCU 控制实现该芯片的单独辉 度 级联控制实现户外大屏 护栏管 幻彩灯条的彩色点阵发光控制 本产品性能优良, 质量可靠 采用 SOP16 的封装形式 二 特性说明 采用高压功率 CMOS 工艺 输出端口耐压 24V 芯片 VDD

More information

NS4890用户手册

NS4890用户手册 用户手册 1.2 2012 年 10 月 第 1页 共 修改历史 日期 版本 作者 修改说明 第 2页 共 目 录 1 功能说明...5 2 主要特性...5 3 应用领域...5 4 典型应用电路...5 5 极限参数...6 6 电气特性...6 7 芯片管脚描述...8 7.1 7.2 8 管脚分配图... 8 引脚功能描述... 8 B 典型参考特性... 9 8.1 8.2 8.3 8.4

More information

Cerris IROS

Cerris IROS 上海海栎创微电子有限公司 CSM212/216/224 数据手册 具有专用电容检测模块的 8 位单片机 Rev: V1.2 www.hynitron.com CSM212/216/224 是一款具有高性能精简指令集且集成电容触控功能的 EERPOM 型 8051 单片机 此单 片机集成有硬件触控 CDC 模块, 可多次编程的 EEPROM 存储器和常用通讯接口, 为各种触摸按键的应用 提供了一种简单而又有效的实现方法

More information

浙江朗威微系统有限公司

浙江朗威微系统有限公司 浙江朗威微系统有限公司 LW54123 漏电保护专用集成电路说明书 1 订购须知 联系信息 浙江朗威微系统有限公司 芯片名称 描述 封装类型 LW54123 高速对地漏电保护集成电路 P8/OP8 Add:No. 99 Huaxing Road, Hangzhou East oftware Park Building, 4th Floor enture Building 浙江省杭州市华星路 99 号东部软件园创业大厦

More information

杭州言曼科技有限公司 CB01 子卡说明文档 ( 对外发布 ) CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别 CB01 标配版本和低成本区别 CB01 结构信息

杭州言曼科技有限公司 CB01 子卡说明文档 ( 对外发布 )   CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别 CB01 标配版本和低成本区别 CB01 结构信息 CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别... 2 2. CB01 标配版本和低成本区别... 2 3. CB01 结构信息... 3 4. CB01 功耗信息... 3 5. LED 显示... 3 6. 按键... 4 7. 拨码开关... 4 8. USB 串口 - 型号 :CP2102... 4 9. 输入时钟... 4 10. I2C

More information

技术参数 Technical Data 额定电压 / 频率 Voltage/Frequency AC220V/50Hz AC110V/60Hz 功率 Power 70W 70W 空载流量 Free flowing 7.0L/M 7.0L/M 最大压力 Max. pressure 2.4Bar 2.4

技术参数 Technical Data 额定电压 / 频率 Voltage/Frequency AC220V/50Hz AC110V/60Hz 功率 Power 70W 70W 空载流量 Free flowing 7.0L/M 7.0L/M 最大压力 Max. pressure 2.4Bar 2.4 膜片式气泵 Diaphragm Pumps for Gas DA70AC 系列 (Series DA70AC) 特点 Features 无油润滑 Oil-Free 耐腐蚀性 Corrosionresistant 免维护 Maintenance Free 低噪音低振动 Low noise level&vibration 可以任何方向安装 Can be mounted in any plane 应用 Typical

More information

16位A/D转换器

16位A/D转换器 16 位 A/D 转换器 anyh 是双竞公司新推出的 16 位 A/D 转换器, 具有两个全差分输入通道, 可用于测量低频模拟信号 这种器件带有增益可编程放大器, 可通过软件编程来直接测量传感器输出的各种微小信号 具有分辨率高 动态范围广 自校准等特点, 因而非常适合于工业控制 仪表测量等领域 16 位 A/D 转换器 1. 概述 是双竞公司新推出的 16 位 A/D 转换器, 具有两个全差分输入通道,

More information

untitled

untitled 计 IC 规 书 2017/06/19 1 13 1.0 内.. 3.. 3 路 图.. 4 说.. 5.. 6.. 7.. 8 LCD.. 9 应 路图..10 标图..11 流 图..12 订单..13 订..13 2017/06/19 2 13 1.0 TCP316 数 计 路 键 选择 /(/ 切换 ) 单 1.5V 关闭 内 压检测 TCP316A1.30 ± 0.05V TCP316B1.25

More information

使用说明书 DT4221 DT4222 数字万用表 DIGITAL MULTIMETER 2013 年 11 月第一版 DT4221A982-00(A981-00) 13-11H CN ...1...1...2...3...7 1 11 1.1... 11 1.2...12 1.3...16 1.4...17 2 19 2.1...19 2.2 /...20 2.3...22 2.4...25...

More information