FM17520非接触读写器芯片

Size: px
Start display at page:

Download "FM17520非接触读写器芯片"

Transcription

1 FM17522 通用 非接触读写器芯片 FM17522 非接触读写器芯片 版本 1.1 1

2 本资料是为了让用户根据用途选择合适的上海复旦微电子集团股份有限公司 ( 以下简称复旦微电子 ) 的产品而提供的参考资料, 不转让属于复旦微电子或者第三者所有的知识产权以及其他权利的许可 在使用本资料所记载的信息最终做出有关信息和产品是否适用的判断前, 请您务必将所有信息作为一个整体系统来进行评价 采购方对于选择与使用本文描述的复旦微电子的产品和服务全权负责, 复旦微电子不承担采购方选择与使用本文描述的产品和服务的责任 除非以书面形式明确地认可, 复旦微电子的产品不推荐 不授权 不担保用于包括军事 航空 航天 救生及生命维持系统在内的, 由于失效或故障可能导致人身伤亡 严重的财产或环境损失的产品或系统中 未经复旦微电子的许可, 不得翻印或者复制全部或部分本资料的内容 今后日常的产品更新会在适当的时候发布, 恕不另行通知 在购买本资料所记载的产品时, 请预先向复旦微电子在当地的销售办事处确认最新信息, 并请您通过各种方式关注复旦微电子公布的信息, 包括复旦微电子的网站 ( 如果您需要了解有关本资料所记载的信息或产品的详情, 请与上海复旦微电子集团股份有限公司在当地的销售办事处联系 商标上海复旦微电子集团股份有限公司的公司名称 徽标以及 复旦 徽标均为上海复旦微电子集团股份有限公司及其分公司在中国的商标或注册商标 上海复旦微电子集团股份有限公司在中国发布, 版权所有 FM17522 非接触读写器芯片 版本 1.1 2

3 目录 目录 产品综述 产品简介 产品特点 封装引脚 FM17522 封装引脚 电气参数 极限额定参数 主要电气指标 订货信息... 9 版本信息 上海复旦微电子集团股份有限公司销售及服务网点 FM17522 非接触读写器芯片 版本 1.1 3

4 1 产品综述 1.1 产品简介 FM17522 是一款高度集成的工作在 13.56MHz 下的非接触读写器芯片, 支持符合 ISO/IEC TypeA 协议的非接触读写器模式 同时提供了低功耗的外部卡片侦测功能, 方便电池供电 需要低功耗工作 并且需要实时处理任意时刻会进入射频场的外部卡片的读写器设备 FM17522 具有低电压 低功耗 驱动能力强 多接口支持等特点 适用于低功耗 低电压 低成本要求的非接触读写器应用 1.2 产品特点 支持 ISO/IEC TypeA 读写器模式 读写器模式支持 M1 加密 ISO14443 TYPEA 支持通讯速率 106kbps,212kbps,424kbps,848kbps 读写器操作距离可达 50mm( 取决于天线设计 ) 支持多种 host 接口 SPI 接口最高 10Mbps I2C 接口支持最高 400Kbps 的快速模式, 和最高 3.4Mbps 高速模式 串行 UART 接口, 支持 RS232 帧格式, 最高通讯速率 1.2Mbps Host 接口独立电源供电 64Byte 收发缓冲 FIFO 中断输出模式灵活可配 多种低功耗模式 Soft powerdown 模式 Hard powerdown 模式 Deep powerdown 模式 ( 典型值 1uA) 支持低功耗外部卡片侦测功能 可编程定时器 内置振荡电路外接 27.12MHz 晶体 宽电压工作范围 2.2V~3.6V 内置 CRC 协处理器 可编程 I/O 引脚 FM17522 非接触读写器芯片 版本 1.1 4

5 1.3 封装引脚 FM17522 封装引脚 D1 D2 D3 D4 D5 D6 D7 EA FM17522 (QFN32) VMID AVDD TVSS TX2 TVDD TX1 TVSS PVDD2 I2C PVDD DVDD DVSS PVSS NPD TIN TOUT SDA IRQ OSCOUT OSCIN AUX2 AUX1 AVSS RX 引脚定义 : 图 1-1 FM17522 QFN32 封装引脚图 (TOP VIEW) 引脚序号引脚名称类型引脚说明 1 I2C I I2C 总线接口使能 2 PVDD P 引脚供电 3 DVDD P 芯片供电 4 DVSS G 数字地 5 PVSS G 引脚地 6 NPD I 复位 / 休眠 (Power Down) 控制脚 0 电平时内部电路进入 power down 状态 当产生一个上升沿时内部电路复位 7 TIN I 测试信号输入 8 TOUT O 测试信号输出 9 PVDD2 P TIN TOUT 引脚供电 10 TVSS G 发射电路地 11 TX1 O 发射输出脚 1 12 TVDD P 发射电路供电 13 TX2 O 发射输出脚 2 14 TVSS G 发射电路地 15 AVDD P 模拟电路供电 16 VMID P 内部参考电压 17 RX I 射频输入引脚 18 AVSS G 模拟地 19 AUX1 O 测试输出 1 FM17522 非接触读写器芯片 版本 1.1 5

6 引脚序号引脚名称类型引脚说明 20 AUX2 O 测试输出 2 21 OSCIN I 27.12M 晶振输入, 也作外部时钟输入 22 OSCOUT O 27.12M 晶振输出 23 IRQ O 中断输出 SDA IO I2C 总线数据 IO 脚 24 NSS I SPI 接口使能 URX I UART 接口数据输入 25 D1 IO 测试口 ADR5 I I2C 总线地址 bit5 26 D2 IO 测试口 ADR4 I I2C 总线地址 bit4 27 D3 IO 测试口 ADR3 I I2C 总线地址 bit3 28 D4 IO 测试口 ADR2 I I2C 总线地址 bit2 D5 IO 测试口 29 ADR1 I I2C 总线地址 bit1 SCK I SPI 接口时钟输入 DTRQ O UART 请求输出给 mcu D6 IO 测试口 30 ADR0 I I2C 总线地址 bit0 MOSI IO SPI 接口 master 输出 slave 输入 MX O UART 输出到 mcu D7 IO 测试口 31 SCL IO I2C 总线时钟线 MISO IO SPI 接口 master 输入 slave 输出 UTX O UART 接口数据输出 32 EA I I2C 总线地址模式 表 1-1 FM17522 QFN32 封装引脚说明 FM17522 非接触读写器芯片 版本 1.1 6

7 2 电气参数 2.1 极限额定参数 参数最小值最大值单位 存储温度 C AVDD, DVDD, TVDD,PVDD,PVDD V ESD(HMB) 2 KV ESD(CDM) 500 V 表 2-1 FM17522 极限额定参数 * 注 : 如果外加条件超过 极限额定参数 的额定值, 将会对芯片造成永久性的破坏 2.2 主要电气指标 符号参数条件最小值典型值最大值单位 AVDD DVDD [1] TVDD [2] PVDD [3] PVDD2 [4] I DPD I HPD I SPD I LPCD [6] 模拟电源 数字电源 发射器电源 引脚电源 V PVDD V TVDD V AVDD =V DVDD V V PVDD V AVDD =V DVDD V V TVDD V PVDD V TVDD V PVDD V TVDD V AVDD =V DVDD V AVDD =V DVDD V V 测试引脚电源 V AVDD=DVDD=TVDD=PV Deep power-down 电 DD=3V 1 3 ua 流 NPD=0, 进入 DPD 模式 Hard power-down 电流 (register retention) Soft power-down 电流 LPCD 工作平均电流 AVDD=DVDD=TVDD=PV DD=3V NPD=0, 进入 HPD 模式 AVDD=DVDD=TVDD=PV DD=3V 进入 SPD 模式, 外部 RF 检测使能 AVDD=DVDD=TVDD=PV DD=3V 进入 LPCD 模式 2 5 ua ua 10 ua AVDD=3V, ma 接收使能 (RcvOff bit=0) I AVDD 工作电流 AVDD=3V, 6 8 ma 接收关闭 (RcvOff bit=1) [5] 连续发射载波 I TVDD 射频工作电流 ma V TVDD =3.0V T A 工作温度 C 表 2-2 FM17522 推荐工作条件 [1] AVDD 必须等于 DVDD [2] TVDD 电压必须大于或等于 AVDD [3] PVDD 必须小于等于 AVDD [4] PVDD2 电压建议等于 PVDD FM17522 非接触读写器芯片 版本 1.1 7

8 [5] I TVDD 取决于 TVDD 电压 及天线网络参数的设置 根据应用的需求不同, 配置不同的天线网络, 可以控制 I TVDD 小于 100mA, 也可以将 I TVDD 设置得更大以达到更远的射频操作距离 [6] I LPCD 的平均电流值取决于 LPCD 模式的相关设置, 表中数据以每隔 500ms 发射 50us 的情况计算获得 [7] I DPD I HPD I SPD I LPCD 为全芯片在相应模式下消耗的电流 FM17522 非接触读写器芯片 版本 1.1 8

9 3 订货信息 器件代号封装形式包装方式工作环境条件 FM17522-QNA-A-G QFN32 塑封萃盘包装 工业温度 (-40 ~ +85 ) FM17522 非接触读写器芯片 版本 1.1 9

10 版本信息 版本号发布日期页数章节或图表更改说明 首次发布 修订笔误 FM17522 非接触读写器芯片 版本

11 上海复旦微电子集团股份有限公司销售及服务网点 上海复旦微电子集团股份有限公司地址 : 上海市国泰路 127 号 4 号楼邮编 : 电话 :(86-021) 传真 :(86-021) 上海复旦微电子 ( 香港 ) 股份有限公司地址 : 香港九龙尖沙咀东嘉连威老道 98 号东海商业中心 5 楼 506 室电话 :(852) 传真 :(852) 北京办事处地址 : 北京市东城区东直门北小街青龙胡同 1 号歌华大厦 B 座 423 室邮编 : 电话 :(86-10) 传真 :(86-10) 深圳办事处地址 : 深圳市华强北路 4002 号圣廷苑酒店世纪楼 1301 室邮编 : 电话 :( ) 传真 :( ) 台湾办事处地址 : 台北市 114 内湖区内湖路一段 252 号 12 楼 1225 室电话 :(886-2) 传真 :(886-2) 新加坡办事处地址 :237, Alexandra Road, #07-01, The Alexcier, Singapore 电话 :(65) 传真 :(65) 北美办事处地址 :2490 W. Ray Road Suite#2 Chandler, AZ USA 电话 :(480) ext 18 公司网址 : FM17522 非接触读写器芯片 版本

Microsoft Word - FM17522_ps_chs - new.doc

Microsoft Word - FM17522_ps_chs - new.doc FM17522 通用 非接触读写器芯片 2016.10 FM17522 非接触读写器芯片 版本 1.4 1 本资料是为了让用户根据用途选择合适的上海复旦微电子集团股份有限公司 ( 以下简称复旦微电子 ) 的产品而提供的参考资料, 不转让属于复旦微电子或者第三者所有的知识产权以及其他权利的许可 在使用本资料所记载的信息最终做出有关信息和产品是否适用的判断前, 请您务必将所有信息作为一个整体系统来进行评价

More information

FM17550通用非接触通讯芯片

FM17550通用非接触通讯芯片 FM17550 通用 非接触通讯芯片 2014. 07 FM17550 非接触通讯芯片 版本 1.1 1 本资料是为了让用户根据用途选择合适的上海复旦微电子集团股份有限公司 ( 以下简称复旦微电子 ) 的产品而提供的参考资料, 不转让属于复旦微电子或者第三者所有的知识产权以及其他权利的许可 在使用本资料所记载的信息最终做出有关信息和产品是否适用的判断前, 请您务必将所有信息作为一个整体系统来进行评价

More information

Microsoft Word - FM320X简介

Microsoft Word - FM320X简介 FM320X 低压电力线载波通信芯片 2013. 12 FM3203 低压电力线载波通信芯片 版本 1.0 1 本资料是为了让用户根据用途选择合适的上海复旦微电子集团股份有限公司 ( 以下简称复旦微电子 ) 的产品而提供的参考资料, 不转让属于复旦微电子或者第三者所有的知识产权以及其他权利的许可 在使用本资料所记载的信息最终做出有关信息和产品是否适用的判断前, 请您务必将所有信息作为一个整体系统来进行评价

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM11NT0X1D 双界面 NFC Forum Type2 Tag 芯片 2014. 04 FM11NT0X1D 双界面 NFC Type2 Tag 芯片 版本 1.0 1 本资料是为了让用户根据用途选择合适的上海复旦微电子集团股份有限公司 ( 以下简称复旦微电子 ) 的产品而提供的参考资料, 不转让属于复旦微电子或者第三者所有的知识产权以及其他权利的许可 在使用本资料所记载的信息最终做出有关信息和产品是否适用的判断前,

More information

FM3318产品手册

FM3318产品手册 FM3316/3313/3312 低功耗 MCU 芯片 简单 2017. 09 FM3316/3313/3312 低功耗 MCU 芯片 1 本资料是为了让用户根据用途选择合适的上海复旦微电子集团股份有限公司 ( 以下简称复旦微电子 ) 的产品而提供的参考资料, 不转让属于复旦微电子或者第三者所有的知识产权以及其他权利的许可 在使用本资料所记载的信息最终做出有关信息和产品是否适用的判断前, 请您务必将所有信息作为一个整体系统来进行评价

More information

FM226前端评审文件

FM226前端评审文件 FM1216 系列 CPU 卡芯片 2013. 09 FM1216 系列 CPU 卡芯片 版本 1.4 1 本资料是为了让用户根据用途选择合适的上海复旦微电子集团股份有限公司 ( 以下简称复旦微电子 ) 的产品而提供的参考资料, 不转让属于复旦微电子或者第三者所有的知识产权以及其他权利的许可 在使用本资料所记载的信息最终做出有关信息和产品是否适用的判断前, 请您务必将所有信息作为一个整体系统来进行评价

More information

FM3318产品手册

FM3318产品手册 FM33A0xx 低功耗 MCU 芯片 简单 2017. 10 FM33A0xx 低功耗 MCU 芯片 版本 3.1 1 本资料是为了让用户根据用途选择合适的上海复旦微电子集团股份有限公司 ( 以下简称复旦微电子 ) 的产品而提供的参考资料, 不转让属于复旦微电子或者第三者所有的知识产权以及其他权利的许可 在使用本资料所记载的信息最终做出有关信息和产品是否适用的判断前, 请您务必将所有信息作为一个整体系统来进行评价

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

Microsoft Word - FM11RF08_fs_chs.doc

Microsoft Word - FM11RF08_fs_chs.doc FM11RF08 8KBits EEPROM 非接触式 射频卡芯片 2008. 5 FM11RF08 8KBits 非接触式射频卡芯片 版本 2.1 1 本资料是为了让用户根据用途选择合适的上海复旦微电子股份有限公司 ( 以下简称复旦微电子 ) 的产品而提供的参考资料, 不转让属于复旦微电子或者第三者所有的知识产权以及其他权利的许可 在使用本资料所记载的信息最终做出有关信息和产品是否适用的判断前,

More information

untitled

untitled XZL024 http://item.taobao.com/item.htm?id=6321822194 1 1 1.1 1.2 1.3 1.4 2 2.1 2.2 2.3 3 USBee Suite 3.1 3.2 3.3 3.4 4 RS232 RS485 RS422 CAN http://item.taobao.com/item.htm?id=6321822194 2 1 XZL024 PC

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

Agilent N5700 N5741A-49A, N5750A-52A, N5761A-69A, N5770A-72A W 1500 W 600 V 180 A 1 U Vac AC LAN,USB GPIB Agilent N5700 1U 750W 1500W 24

Agilent N5700 N5741A-49A, N5750A-52A, N5761A-69A, N5770A-72A W 1500 W 600 V 180 A 1 U Vac AC LAN,USB GPIB Agilent N5700 1U 750W 1500W 24 Agilent N700 N71A-9A, N70A-2A, N761A-69A, N770A-72A 2 70 W 100 W 600 V 180 A 1 U 8-26 Vac AC LAN,USB GPIB Agilent N700 1U 70W 100W 2 6V 600V 1.A 180A N700 1U 19 100W LED N700 OVP UVL UVL OVP N700 GPIB

More information

SPMC75F2413A_EVM_使用说明_V1.2.doc

SPMC75F2413A_EVM_使用说明_V1.2.doc SPMCFA EVM V. - Jan 0, 00 http://www.sunplusmcu.com ................ SPMCFA........... EEPROM.... I/O............ LED.... LED.... RS-........0............ EVM................ 0.....0..... SPMCFA EVM SPMCFA

More information

×××芯片用户手册

×××芯片用户手册 用户手册 通讯地址 : 深圳市南山区蛇口南海大海 1079 号花园城数码大厦 A 座 9 楼邮政编码 :518057 公司电话 :+(86 755)86169257 传真 :+(86 755)86169057 公司网站 :www.chipsea.com 第 1 页, 共 13 页 历史修改记录 历史修改记录 时间 记录 版本号 2012-12-19 更换新 LOGO, 重新发布 1.0 第 2 页,

More information

CE UL LLHA5 / 7 ( LLGT22 ) ( LLHA9 ) ( LLGT22 ) ( LLHA9 ) 3 ( LLHA5 / 7 ) ( LLGT22 ) ( LLHA5 / 6 )

CE UL LLHA5 / 7 ( LLGT22 ) ( LLHA9 ) ( LLGT22 ) ( LLHA9 ) 3 ( LLHA5 / 7 ) ( LLGT22 ) ( LLHA5 / 6 ) 香港办事处 海外销售 香港九龙官塘敬业街63号利维大厦6楼 电话 +852 376 2888 传真 +852 2341 8144 惠州伟志电子有限公司 博罗县罗阳镇鸿达国际工业制造城 电话 +86 752 6959999 传真 +86 752 6959888 产品和技术查询 请电邮至照明事业部 lighting@waichi.com 版权 217伟志股权公司 版权所有 此处包含的信息如有变更 恕不另行通知

More information

FM33A0xxB简单技术手册

FM33A0xxB简单技术手册 FM33A0xxB 低功耗 MCU 芯片 简单 2018. 06 FM33A0xxB 低功耗 MCU 芯片 版本 1.0 1 本资料是为了让用户根据用途选择合适的上海复旦微电子集团股份有限公司 ( 以下简称复旦微电子 ) 的产品而提供的参考资料, 不转让属于复旦微电子或者第三者所有的知识产权以及其他权利的许可 在使用本资料所记载的信息最终做出有关信息和产品是否适用的判断前, 请您务必将所有信息作为一个整体系统来进行评价

More information

stm32_mini_v2

stm32_mini_v2 US Mirco S SIO US Power:V Power:.V STMF0VET GPIO TFT SPI URT RJ ENJ0SS SPI Flash lock iagram Size ocument Number Rev STM-Lite-V.0 Ver.0 ate: Friday, June 0, 0 Sheet of 0.0uF R M V - + S J MP-0 V_PWR R

More information

KML防爆型高低压漏电检测装置

KML防爆型高低压漏电检测装置 ZBL-H 矿 用 隔 爆 型 高 压 漏 电 保 护 装 置 技 术 使 用 说 明 书 安 全 标 志 证 书 :200703172 防 爆 合 格 证 书 :2 0 6 2 8 1 7 溧 阳 市 自 动 化 有 限 公 司 2007.4.10 ZBL-H 矿 用 型 隔 爆 型 高 压 漏 电 保 护 装 置 ZBL-H 矿 用 隔 爆 型 高 压 漏 电 保 护 装 置, 适 用 于 煤

More information

Tel: , Fax: STR-15 STR bps 2400bps 4800bps 9600bps STR : 500mW 2.ISM 433

Tel: , Fax: STR-15 STR bps 2400bps 4800bps 9600bps STR : 500mW 2.ISM 433 Tel:086-21-50807785, 50273226 Fax:086-21-50807785-807 http://www.sendbow.com TEL +86-021-50273226 50807785 13816690692 FAX:+86-021-50807785-807 167 E-mail:mailto:technology@sendbow.com web:http://www.sendbow.com

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

Microsoft Word - BL5372_UMAN_V1.1.doc

Microsoft Word - BL5372_UMAN_V1.1.doc 低 功 耗 实 时 时 钟 芯 (RTC)BL5372 用 户 手 册 V1.2 ( 2012.11.12) 上 海 贝 岭 股 份 有 限 公 司 Shanghai Belling Co., Ltd. 1. 概 述 低 功 耗 实 时 时 钟 芯 片 (RTC)BL5372 BL5372 是 一 款 低 功 耗 实 时 时 钟 电 路, 通 过 I 2 C 两 线 接 口 电 路 可 以 与 CPU

More information

Data Sheet ARKS16F518N 读卡核心模块 DS V0.90 Date: 2018/1/24 产品数据手册 概述 ARKS16F518N 是广州周立功单片机科技有限公司开发的一款基于 FM17510 和 KS16 的读卡二次开发模块, 支持 8 路天线接口分时复用,

Data Sheet ARKS16F518N 读卡核心模块 DS V0.90 Date: 2018/1/24 产品数据手册 概述 ARKS16F518N 是广州周立功单片机科技有限公司开发的一款基于 FM17510 和 KS16 的读卡二次开发模块, 支持 8 路天线接口分时复用, Data Sheet DS01010101 V0.90 Date: 2018/1/24 概述 是开发的一款基于 FM17510 和 KS16 的读卡二次开发模块, 支持 8 路天线接口分时复用, 是简单 快捷 高效的读卡开发方案 产品特性 宽工作电压 2.5V~3.6V; 支持 ISO/IEC 14443 TypeA 读写器模式 ; 支持低功耗外部卡片侦测功能 ; ISO14443 TYPEA 支持通讯速率

More information

网上对外发布资料适用版本

网上对外发布资料适用版本 HDLC-LCM 嵌 入 式 低 功 耗 通 信 模 块 Rev.2016.0602 用 户 手 册 电 话 :400-025-5057 网 址 :www.yacer.cn 目 录 1 概 述... 1 1.1 简 介... 1 1.2 特 点... 1 1.3 应 用... 1 1.4 订 购 信 息... 1 1.5 技 术 规 格... 2 1.6 机 械 尺 寸 图... 3 2 硬 件 结

More information

第四期:加强服务在内地港人及吸引人才

第四期:加强服务在内地港人及吸引人才 精 彩 香 港 第 四 期 : 加 强 服 务 在 内 地 港 人 及 吸 引 人 才 [ 出 : 精 彩 香 港 总 版 头 -40 秒 ] 从 南 中 国 海 边 的 小 渔 村, 到 领 衔 亚 洲 的 国 际 都 会, 从 历 史 风 云 的 波 折 变 幻, 到 中 西 文 化 的 碰 撞 交 融, 香 港 精 神 深 度 访 谈, 香 港 制 造 全 景 展 现, 用 声 音 还 原 香

More information

I Q = M A C A 100 6 100 120 = 11 100 = 110 5 10 1 1 2 3 4 5 2 1 2 3 4 5 3 1 2 3 4 5 4 1 2 3 4 5 5 1 2 3 4 5 6 1 2 3 4 5 7 1 2 3 4 5 8 1 2 3 4 5 9 1 2 3 4 5 10 1 2 3 4 5 11 1 2 3 4 5 12 1 2 3 4

More information

标题,黑体18号

标题,黑体18号 从 商 业 用 地 供 应 看 各 城 市 商 业 地 产 市 场 泡 沫 VIEW 近 几 年, 房 地 产 商 涉 足 商 业 地 产 领 域 的 现 象 越 来 越 普 遍, 包 括 万 科 龙 湖 招 商 等 典 型 房 企 先 后 专 门 设 立 了 商 业 地 产 管 理 部 门, 并 逐 步 加 大 了 对 商 业 地 产 的 投 入 比 例 放 眼 全 国 重 点 城 市, 短 短

More information

DS_MM32P021_Ver1.9.0

DS_MM32P021_Ver1.9.0 产品手册 Datasheet MM32P021 32 位基于 ARM Cortex M0 核心的微控制器 版本 :1.9.0 保留不通知的情况下, 更改相关资料的权利 目录 1. 总介... 5 1.1 概述... 5 1.2 产品特性... 5 2. 规格说明... 6 2.1 器件对比... 6 2.2 功能简述... 6 3. 引脚定义... 7 3.1 引脚配置... 7 3.2 引脚描述...

More information

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input 06 6 6 HR7PPSC HR7PPSD SOP SOP6 +input 06 6 +input 06 6 6 6 HR7PERB SSOP0 7+input 06 6 6 HR7PESC

More information

01.ai

01.ai 赛尔富电子有限公司 地址 : 中国宁波国家高新区聚贤路 1345 号 电话 :0086-574-28805678 传真 :0086-574-28805656 E-mail:sales@self-electronics.com 赛尔富电子 ( 德国 ) 公司 Add:August-Horch-Str.7,51149,Koeln Tel:0049-2203-18501-0 Fax:0049-2203-18501-199

More information

清 流 月 刊 中 華 民 國 九 十 七 年 一 月 號 論 述 大 陸 透 視 法 令 天 地 工 作 園 地 科 技 新 知 健 康 生 活 生 態 保 育 文 與 藝 友 善 校 園 快 樂 學 習 其 他 這 一 會 兒 野 鴿 子 飛 去 那 兒 了? 莫 不 是 我 推 窗 時 驚 嚇

清 流 月 刊 中 華 民 國 九 十 七 年 一 月 號 論 述 大 陸 透 視 法 令 天 地 工 作 園 地 科 技 新 知 健 康 生 活 生 態 保 育 文 與 藝 友 善 校 園 快 樂 學 習 其 他 這 一 會 兒 野 鴿 子 飛 去 那 兒 了? 莫 不 是 我 推 窗 時 驚 嚇 清 流 月 刊 中 華 民 國 九 十 七 年 一 月 號 論 述 大 陸 透 視 法 令 天 地 工 作 園 地 科 技 新 知 健 康 生 活 生 態 保 育 文 與 藝 友 善 校 園 快 樂 學 習 其 他 雖 然 聲 名 狼 藉, 但 也 並 非 一 無 用 處 鼠 年 談 鼠 賀 新 年 于 愷 駿 歲 月 匆 匆, 轉 眼 間 丁 亥 豬 年 過 去, 戊 子 鼠 年 到 來 趁 著

More information

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小由外接电阻来调整 封装图 SSOP24L-0.635-D1.40 (mm) ( SM16125ES ) 特点 16 通道恒流源输出 电流输出大小不因输出端负载电压变化而变化

More information

(Microsoft Word - \275\327\244\345\265o\252\355\241G\277\275\254L\247g960527.doc)

(Microsoft Word - \275\327\244\345\265o\252\355\241G\277\275\254L\247g960527.doc) 論 文 發 表 七 處 理 國 中 小 懷 孕 學 生 的 性 別 教 育 觀 想 蕭 昭 君 ( 國 立 花 蓮 教 育 大 學 教 育 學 系 副 教 授 ) 中 華 民 國 96 年 5 月 27 日 B-121 壹 前 言 : 如 果 阿 英 晚 生 三 十 年 處 理 國 中 懷 孕 學 生 的 性 別 教 育 觀 想 蕭 昭 君 國 立 花 蓮 教 育 大 學 教 育 系 副 教 授 2007/5/21

More information

2005.book

2005.book ...4... 4... 7...10... 10... 10... 10... 10... 11... 11 PCC... 11 TB170... 12 /... 12...13... 13 BP150 / BP151 / BP152 / BP155... 14...15... 15... 15... 15... 15... 15... 15... 16 PS465 / PS477... 17 PS692

More information

表 决, 审 议 程 序 符 合 有 关 法 律 法 规 和 本 公 司 章 程 的 规 定 3 本 议 案 尚 需 提 交 股 东 大 会 审 议, 与 该 等 交 易 有 利 害 关 系 的 关 联 股 东 将 放 弃 在 股 东 大 会 上 对 相 关 议 案 的 投 票 权 ( 二 ) 公

表 决, 审 议 程 序 符 合 有 关 法 律 法 规 和 本 公 司 章 程 的 规 定 3 本 议 案 尚 需 提 交 股 东 大 会 审 议, 与 该 等 交 易 有 利 害 关 系 的 关 联 股 东 将 放 弃 在 股 东 大 会 上 对 相 关 议 案 的 投 票 权 ( 二 ) 公 证 券 代 码 :600850 证 券 简 称 : 华 东 电 脑 编 号 : 临 2016-014 上 海 华 东 电 脑 股 份 有 限 公 司 关 于 预 计 2016 年 日 常 关 联 交 易 的 公 告 本 公 司 董 事 会 及 全 体 董 事 保 证 本 公 告 内 容 不 存 在 任 何 虚 假 记 载 误 导 性 陈 述 或 者 重 大 遗 漏, 并 对 其 内 容 的 真 实

More information

<4D6963726F736F667420576F7264202D20B9F0D5FEB0ECB7A2A3A832303136A3A93532BAC52E646F63>

<4D6963726F736F667420576F7264202D20B9F0D5FEB0ECB7A2A3A832303136A3A93532BAC52E646F63> 广 西 壮 族 自 治 区 人 民 政 府 办 公 厅 文 件 桂 政 办 发 2016 52 号 广 西 壮 族 自 治 区 人 民 政 府 办 公 厅 关 于 印 发 广 西 医 疗 卫 生 服 务 体 系 规 划 (2016 2020 年 ) 的 通 知 各 市 县 人 民 政 府, 自 治 区 人 民 政 府 各 组 成 部 门 各 直 属 机 构 : 广 西 医 疗 卫 生 服 务 体 系

More information

103_02.xls

103_02.xls 103 學 年 度 大 學 考 試 入 學 分 發 各 系 組 最 低 錄 取 分 數 及 錄 取 人 數 一 覽 表 0001 國 立 臺 灣 大 學 中 國 文 學 系 國 文 x1.50 英 文 x1.25 數 學 乙 x1.00 歷 史 x1.25 地 理 x1.00 32 493.40 **** ----- ----- ----- 0002 國 立 臺 灣 大 學 外 國 語 文 學 系

More information

<313032A655A874B2D5B3CCA743BFFDA8FABCD0B7C7AAED2E786C73>

<313032A655A874B2D5B3CCA743BFFDA8FABCD0B7C7AAED2E786C73> 102 學 年 度 大 學 考 試 入 學 分 發 各 系 組 最 低 錄 取 分 數 及 錄 取 人 數 一 覽 表 校 系 0001 國 立 臺 灣 大 學 中 國 文 學 系 國 文 x1.50 英 文 x1.25 數 學 乙 x1.00 歷 史 x1.25 地 理 x1.00 30 491.85 **** 614.02 ----- ----- 0002 國 立 臺 灣 大 學 外 國 語 文

More information

柳州历史上的今天内文改版式.FIT)

柳州历史上的今天内文改版式.FIT) 1 月 1 日 1 月 1 月 1 日 1929 年 1 月 1 日 广 西 省 第 一 次 建 设 会 议 在 柳 召 开 新 年 伊 始, 新 桂 系 执 政 后 召 开 第 一 次 全 省 建 设 会 议, 开 幕 式 在 柳 州 羊 角 山 广 西 实 业 院 内 举 行, 会 期 10 天 省 政 府 各 部 门 负 责 人 名 流 专 家 学 者 等 93 人 参 加 会 议 国 内 著

More information

生 產 準 備 您 接 近 生 產 之 注 意 事 項 : 備 妥 住 院 用 物, 勿 遠 行 ( 生 產 用 物 包 ) 最 好 有 人 在 家 陪 伴, 或 和 陪 產 者 保 持 連 繫, 有 任 何 狀 況 可 立 即 趕 到 可 做 家 事 散 步 蹲 下 等 運 動, 以 不 太 累

生 產 準 備 您 接 近 生 產 之 注 意 事 項 : 備 妥 住 院 用 物, 勿 遠 行 ( 生 產 用 物 包 ) 最 好 有 人 在 家 陪 伴, 或 和 陪 產 者 保 持 連 繫, 有 任 何 狀 況 可 立 即 趕 到 可 做 家 事 散 步 蹲 下 等 運 動, 以 不 太 累 主題 主題 (1)準媽咪之待產準備及產後保養 (1)準媽咪之待產準備及產後保養 (2)產後如何確保奶水充足 (2)產後如何確保奶水充足 產後病房護理師: 產後病房護理師:黃皖寧 生 產 準 備 您 接 近 生 產 之 注 意 事 項 : 備 妥 住 院 用 物, 勿 遠 行 ( 生 產 用 物 包 ) 最 好 有 人 在 家 陪 伴, 或 和 陪 產 者 保 持 連 繫, 有 任 何 狀 況 可 立

More information

省十二届人大常委会

省十二届人大常委会 省 十 二 届 人 大 常 委 会 第 二 十 六 次 会 议 文 件 (4) 关 于 中 国 ( 广 东 ) 自 由 贸 易 试 验 区 条 例 ( 试 行 草 案 ) 审 议 结 果 的 报 告 2016 年 5 月 24 日 在 广 东 省 第 十 二 届 人 民 代 表 大 会 常 务 委 员 会 第 二 十 六 次 会 议 上 广 东 省 人 大 法 制 委 员 会 副 主 任 委 员 刘

More information

Q8. 公 營 事 業 機 構 之 公 務 員 兼 具 勞 工 身 分 者, 於 97 年 3 月 19 日 以 前, 原 選 擇 參 加 勞 保, 調 任 其 他 公 營 事 業 機 構 時, 應 改 參 加 公 保 所 謂 調 任 其 他 公 營 事 業 機 構 之 判 別 依 據 ( 或 標

Q8. 公 營 事 業 機 構 之 公 務 員 兼 具 勞 工 身 分 者, 於 97 年 3 月 19 日 以 前, 原 選 擇 參 加 勞 保, 調 任 其 他 公 營 事 業 機 構 時, 應 改 參 加 公 保 所 謂 調 任 其 他 公 營 事 業 機 構 之 判 別 依 據 ( 或 標 承 保 業 務 常 見 問 題 加 保 Q1. 公 教 人 員 可 否 依 個 人 意 願 選 擇 參 加 公 保? 否 公 保 係 政 府 為 保 障 公 教 人 員 生 活 而 辦 理 之 社 會 保 險, 屬 強 制 性 保 險, 凡 法 定 機 關 或 公 私 立 學 校 編 制 內 之 有 給 專 任 人 員 應 一 律 參 加 保 險 為 被 保 險 人 Q2. 被 保 險 人 同 時

More information

学生工作部处2010年工作总结

学生工作部处2010年工作总结 夯 实 基 础, 凝 聚 特 色, 打 造 德 学 理 工 学 生 工 作 部 ( 处 ) 武 装 部 2010 年 工 作 总 结 2010 年 是 实 施 十 一 五 规 划 的 收 官 之 年, 是 我 校 建 校 70 周 年 的 庆 祝 之 年, 是 我 校 圆 满 完 成 工 信 部 组 织 的 高 校 党 建 创 优 工 程 评 估 和 北 京 普 通 高 等 学 校 党 建 和 思

More information

天人炁功行入與感應經驗分享

天人炁功行入與感應經驗分享 天 人 炁 功 行 入 與 感 應 經 驗 分 享 天 人 炁 功 行 入 與 感 應 經 驗 分 享 天 人 炁 功 指 導 院 黃 淑 惠 ( 凝 本 ) 劉 建 功 ( 顯 翼 ) 林 瑛 佩 ( 素 擎 ) 黃 淑 惠 : 道 名 凝 本, 隸 屬 天 極 行 宮 劉 建 功 : 道 名 顯 翼, 隸 屬 新 竹 市 初 院 林 瑛 佩 : 道 名 素 擎, 隸 屬 新 竹 市 初 院 497

More information

穨邱秀玲綜合展望報告.PDF

穨邱秀玲綜合展望報告.PDF 91-1 1 86 91 86 91 91 8,214 1 86 91 \ 86 87 88 89 90 91 812 842 901 1,082 1,281 1,576 4,071 4,196 4,465 4,646 5,068 5,276 1,309 1,410 1,533 1,585 1,744 1,796 997 961 1,160 1,339 1,529 1,739 4,613 4,928

More information

決議、附帶決議及注意事項

決議、附帶決議及注意事項 一 通 案 決 議 部 分 : ( 一 ) 104 年 度 中 央 政 府 總 預 算 釋 股 收 入 380 億 元 不 予 保 留 105 非 本 局 職 掌 業 務 年 度 中 央 政 府 總 預 算 釋 股 收 入 288 億 元 如 下 表, 倘 財 政 狀 況 良 好, 原 則 不 予 出 售 ; 釋 股 對 象 以 政 府 四 大 基 金 為 限, 釋 股 費 用 併 同 調 整 預

More information

YYW1.nps

YYW1.nps 第三章 事务文书 事务文书是党政机关 社会团体 企事业单位办理日常事务时广泛使用的一类文书 包括计划 总结 调查报告 工作研究 规章制度 公示等 事务文书的主要特点是行文主体灵活 行文格式无 法定要求 但相对固定 事务文书的种类很多 本章着重介绍计划 总结 调查报告 工作研究和 公示 第一节 计 划 一 例文阅示 例文一 教育部 2008 年工作要点 2008 年教育工作的总体要求是 认真学习贯彻党的十七大精神

More information

untitled

untitled 1 08 00 11 30 2 08 00 11 30 14 30 17 30 3 4 5 6 100 10 7 12 83339749 8 9 20 10 87766668 31310 87667731 7 5 15 6 15 8 00 11 30 2 30 5 30 12 83337716 11 12 13 14 15 16 17 18 2002 1 1 2 3 4 1 2 3 4 19 08

More information

一、概述

一、概述 (HYC26 2 1KV RS232 RS485 MODEM SCADA 2.1 HYC26 2.2 (A/D 2.3 2.4 2.5 2.6 45 55Hz 2.7 2.8 5 2.9 2.1 2.11 MODEM( 3. 1 +24V 3 1V 22V 22V PT 5A 5Hz 3. 2 +24V +12V 7AH 3. 3 ( 5W 7W.5VA/.75VA/ 3. 4 1.2Un 1.2In

More information

(Microsoft Word - \277\357\262\325\252\272\246\322\266q.doc)

(Microsoft Word - \277\357\262\325\252\272\246\322\266q.doc) 貳 選 組 的 考 量 選 組 議 題 對 最 近 高 一 同 學 來 說 是 迫 在 眉 睫, 其 實 有 些 同 學 是 老 神 在 在, 早 就 知 道 要 選 自 然 組 或 社 會 組 ; 但 是 對 少 數 同 學 說 是 困 擾 多 多, 因 為 真 的 難 以 取 捨, 不 知 如 何 是 好 此 問 題 須 考 慮 到 興 趣 能 力 大 學 校 系 工 作 價 值 觀 行 業 趨

More information

!!"#! " # $%%&#! ()*+ %& %,&,, &!!# # # #! "# ## # #! $# # #! %#! &# -,.$# /! 0(1 $%%& %&23%2!!!!!!!!!!!!!! %,% 4&%.&.22!!! &! 2%% 2,% %.32!,%%%,,! 56

!!#!  # $%%&#! ()*+ %& %,&,, &!!# # # #! # ## # #! $# # #! %#! &# -,.$# /! 0(1 $%%& %&23%2!!!!!!!!!!!!!! %,% 4&%.&.22!!! &! 2%% 2,% %.32!,%%%,,! 56 !! !!"#! " # $%%&#! ()*+ %& %,&,, &!!# # # #! "# ## # #! $# # #! %#! &# -,.$# /! 0(1 $%%& %&23%2!!!!!!!!!!!!!! %,% 4&%.&.22!!! &! 2%% 2,% %.32!,%%%,,! 5667 "" 888# 597# 9:;#

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

< Essential LEDtube > | < Philips >

< Essential LEDtube > | < Philips > Lighting Essential LEDtube - Affrdable LED slutin Essential LEDtube Essential LEDtube is an affrdable LED tube that is suitable fr replacing T8 flurescent lamps. The prduct prvides a natural lighting effect

More information

修订历史 版本日期原因 V /03/17 创建文档 i

修订历史 版本日期原因 V /03/17 创建文档 i Data Sheet DS01010101 V1.00 Date: 2016/03/17 概述 是一款低成本 低功耗和小尺寸的蓝牙 4.0 BLE 模块, 该模块采用外置天线的封装 通过半孔工艺将 I/O 引出, 帮助客户绕过繁琐的射频硬件设计 开发与生产, 加快产品上市 完善的软件开发平台可满足快速开发需求, 减少软件投入, 缩短研发周期 该模块方便迅速桥接电子产品和智能移动设备, 可广泛应用于有此需求的各种电子设备,

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC LCD PIC 单片机解决方案 集成 LCD 驱动器模块的 PIC 单片机 www.microchip.com/lcd ,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC,

More information

DataNet_Solution2.ai

DataNet_Solution2.ai ourtec FOURIERTECHNOLOGIES DataNet 24 / 7 创新的 监控解决方案 DataNet ZigBee DataNet ZigBee 2.4 GHz 65,000 4 20 ma, 0 1 V,, 2, NTC, J 2.4 GHz API www.fourtec.com DataNet 解决方案智能的无线数据记录网络 DataNet 6 DataNet / DataNet

More information

FPGAs in Next Generation Wireless Networks WPChinese

FPGAs in Next Generation Wireless Networks WPChinese FPGA 2010 3 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 FPGAs in Next Generation Wireless Networks GSM GSM-EDGE 384kbps CDMA2000

More information

杭州言曼科技有限公司 CB01 子卡说明文档 ( 对外发布 ) CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别 CB01 标配版本和低成本区别 CB01 结构信息

杭州言曼科技有限公司 CB01 子卡说明文档 ( 对外发布 )   CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别 CB01 标配版本和低成本区别 CB01 结构信息 CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别... 2 2. CB01 标配版本和低成本区别... 2 3. CB01 结构信息... 3 4. CB01 功耗信息... 3 5. LED 显示... 3 6. 按键... 4 7. 拨码开关... 4 8. USB 串口 - 型号 :CP2102... 4 9. 输入时钟... 4 10. I2C

More information

基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V VDD 5.

基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V VDD 5. 32 位 MCU ES32F0334 产品简介 产品简介 数据手册 参考手册 上海东软载波微电子有限公司 2018-11-20 V1.0 1/18 基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位

More information

<463A5C CC9E4C6B55CB7A2C9E45CCBB5C3F7CAE95C D E3029CBB5C3F7CAE92E777073>

<463A5C CC9E4C6B55CB7A2C9E45CCBB5C3F7CAE95C D E3029CBB5C3F7CAE92E777073> 1. 概述 HS2303-PT 产品说明书 Ver 1.0 HS2303-PT 是一款低成本 高速度 高抗干扰, 带射频传输的 8 位 CMOS 制造的单片机 工作电压在 2.0~3.6V 之间, 电池供电时, 能保证绝大多数电池在电量完全耗尽前仍能正常使用 ; 正常工作温度范围在 -20 ~70 之间 单片机基于 RISC 架构, 仅有 35 条指令 除程序跳转需要两个周期外, 其余所有的指令都是单周期指令

More information

zxj

zxj 舟 办 通 报 第 8 期 中 共 舟 山 市 委 办 公 室 2016 年 4 月 29 日 按 : 现 将 周 江 勇 同 志 在 2016 年 4 月 28 日 在 全 市 两 学 一 做 专 题 党 课 暨 学 习 教 育 部 署 会 上 的 讲 话 予 以 印 发, 请 认 真 贯 彻 落 实 学 党 章 党 规 学 系 列 讲 话, 做 合 格 党 员 学 习 教 育, 是 党 中 央

More information

<443A5CCED2B5C4D7CAC1CF5CD7C0C3E65CB9D8D3DAC3FCC3FB32303134C4EAB6C8CAA1C7E0C4EACEC4C3F7BAC5A1A2CAA1C7E0C4EACEC4C3F7BAC5B1EAB1F8BACDCAA1C7E0C4EACEC4C3F7BAC5CFC8BDF8B9A4D7F7D5DFB5C4BEF6B6A8C5C55CA3A830372E3038A3A9B9D8D3DAC3FCC3FB32303134C4EAB

<443A5CCED2B5C4D7CAC1CF5CD7C0C3E65CB9D8D3DAC3FCC3FB32303134C4EAB6C8CAA1C7E0C4EACEC4C3F7BAC5A1A2CAA1C7E0C4EACEC4C3F7BAC5B1EAB1F8BACDCAA1C7E0C4EACEC4C3F7BAC5CFC8BDF8B9A4D7F7D5DFB5C4BEF6B6A8C5C55CA3A830372E3038A3A9B9D8D3DAC3FCC3FB32303134C4EAB 皖 青 创 建 2015 3 号 关 于 命 名 2014 年 度 省 青 年 文 明 号 省 青 年 文 明 号 标 兵 和 省 青 年 文 明 号 先 进 工 作 者 的 决 定 2014 年, 全 省 各 级 团 组 织 广 大 青 年 集 体 深 入 贯 彻 落 实 党 的 十 八 届 三 中 四 中 全 会 精 神, 紧 紧 围 绕 中 心 工 作, 广 泛 开 展 青 年 文 明 号 创

More information

BA 2002, 3 IPCS WWW.EASTCATO.COM - - - - - -1-3 -15 - - - - - - WWW.EASTCATO.COM BA 1 2 3 4 20% DC12V A-1 WWW.EASTCATO.COM ok A-2 WWW.EASTCATO.COM RS232 RS485 EC-NET : B-1 WWW.EASTCATO.COM EC-NET 14 I/O

More information

基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V 5.5V P

基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V 5.5V P 32 位 MCU ES32F0654 ES32F0653 产品简介 产品简介 数据手册 参考手册 上海东软载波微电子有限公司 2018-11-20 V1.0 1/22 基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM

More information

入 学 考 试 重 点 考 查 学 生 的 基 础 专 业 知 识 基 本 实 验 操 作 技 能 独 立 思 考 和 动 手 能 力 笔 试 和 面 试 的 试 题 都 有 足 够 的 难 度, 以 利 择 优 录 取 新 录 取 的 研 究 生 第 一 次 见 面, 池 先 生 会 作 一 次

入 学 考 试 重 点 考 查 学 生 的 基 础 专 业 知 识 基 本 实 验 操 作 技 能 独 立 思 考 和 动 手 能 力 笔 试 和 面 试 的 试 题 都 有 足 够 的 难 度, 以 利 择 优 录 取 新 录 取 的 研 究 生 第 一 次 见 面, 池 先 生 会 作 一 次 严 师 慈 母 池 际 尚 院 士 培 养 研 究 生 记 实 叶 德 隆 叶 德 隆, 男,1936 年 12 月 初 生 中 国 地 质 大 学 ( 武 汉 ) 地 球 科 学 学 院 教 授 1960 年 北 京 地 质 学 院 岩 石 矿 物 学 专 业 毕 业 并 留 校 任 教,1962 年 北 京 地 质 学 院 研 究 生 毕 业 主 要 从 事 岩 浆 岩 岩 石 学 晶 体 光

More information

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg 1 1 a. 0.5V 5V 1Hz 1MHz b. 0.1% 2 : a. 0.5V 5V 1Hz 1MHz b. 0.1% (3) a. 0.5V 5V 100 s b. 1% 4 1 10 5 1MHz 6 1 2 1 0.1Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15

More information

MM

MM 4.5 9 4.8 12 14 35 MM36 2 36 1.1 FM-206 FM-206 32 FM-206 POS 3 36 1.2 1:1 1:N 2 2 1:1 1:N 1.3 206 x x x x x Vxx mm A1000 B>1000 U USB2.0 / USB1.1 S SeriesUART) 206 1 2 4 36 DC 3.6~6.0V / 3.3V < < 5 36

More information

上海东软载波微电子有限公司 SMART

上海东软载波微电子有限公司 SMART 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P/ES7P OTPMCU A/D I/O OTP ROM RAM ES7P7x ES7P7PSD SOP +input 0 ES7P7PSF SOP0 7+input 0 ES7P7PRF SSOP0 7+input 0 EEPROM MHz 0 ~.V ~.V MHz, KHz MHz 0 ~.V

More information

PCM-3386用户手册.doc

PCM-3386用户手册.doc PCM-3386 BBPC-4x86 10/100M PC/104 (Lanry technology Co. Ltd. Zhuhai) 38 1012836 (Address: Room 1012,Linhai Building,No. 38,west of Shihua Road,Zhuhai City,Guangdong Province,China) (post code)519015 (phone)0756-3366659

More information

HMI COM1 RS SIEMENSE S7-200 RS485

HMI COM1 RS SIEMENSE S7-200 RS485 目录 第一部分维控人机界面串口引脚定义...2 1 LEVI777T COM1 引脚定义原理图...2 2 LEVI777T COM2 引脚定义原理图...2 3 LEVI908T COM1 引脚定义原理图...2 4 LEVI908T COM2/COM3 引脚定义原理图...3 第二部分通信针头...4 1 通信针头...4 第三部分各 PLC 与 LEVI 通信线接法...5 1 西门子 S7-200

More information

ETA104 数据采集模块数据手册

ETA104 数据采集模块数据手册 Emtronix ETA104 数据采集模块数据手册 1. 概述 1.1 ETA104 介绍 ETA104 模拟数据采集模块 ( 下文简称 :ETA104 模块 ) 是基于英创公司 SBC840 工控应用底板 符合 DM5028 标准应用扩展模块 ETA104 模块上的模数转换功能, 采用 ADS7871 模拟信号转换芯片, 占用 ESMARC 工控主板的 SPI 总线进行通讯, 最高可实现 48K

More information

Microsoft Word - report 4.doc

Microsoft Word - report 4.doc , USA , USA , USA , USA , USA , USA , USA , USA , USA , USA , USA , USA , USA , USA , USA 1.1.1 1.1.2 1.1.3 , USA , USA , USA 1.1.4 , USA , USA , USA , USA , USA , USA , USA , USA , USA , USA , USA , USA

More information

修订历史版本 日期 原因 V /0617 创建文档 V /07/08 修改更新概述 产品特性及产品资料 V /07/10 更新模块参数, 增加 pcb 布局和回流焊 V /07/11 修改典型应用电路, 连接两个地, 完善电路 V1.0

修订历史版本 日期 原因 V /0617 创建文档 V /07/08 修改更新概述 产品特性及产品资料 V /07/10 更新模块参数, 增加 pcb 布局和回流焊 V /07/11 修改典型应用电路, 连接两个地, 完善电路 V1.0 Data Sheet DS01010101 V1.00 Date: 2015/12/23 概述 是一款低成本 低功耗和小尺寸的蓝牙 4.0 BLE 模块, 该模块采用半孔工艺将 I/O 引出, 帮助客户绕过繁琐的射频硬件设计 开发与生产, 加快产品上市 完善的软件开发平台可满足快速开发需求, 减少软件投入, 缩短研发周期 该模块方便迅速桥接电子产品和智能移动设备, 可广泛应用于有此需求的各种电子设备,

More information

目录 产品特性...1 应用...1 功能框图...1 修订历史...2 概述...3 详细功能框图...4 技术规格...5 数字 HDMI 和交流规格...5 数据和 I 2 C 时序特性...6 功耗规格 绝对最大额定值 封装散热性能 ESD 警告... 1

目录 产品特性...1 应用...1 功能框图...1 修订历史...2 概述...3 详细功能框图...4 技术规格...5 数字 HDMI 和交流规格...5 数据和 I 2 C 时序特性...6 功耗规格 绝对最大额定值 封装散热性能 ESD 警告... 1 3 GHz HDMI 2:2 交叉点收发器集成屏幕显示 ADV7626 产品特性 2 路输入 2 路输出交叉点 HDMI 收发器支持 HDMI 支持 3 GHz 视频 ( 高达 4k 2k) 音频回授通道 (ARC) 支持 3D 电视内容类型位兼容 CEC 1.4 扩展色度基于字符和图标的屏幕显示 (OSD) 3D OSD 叠加于所有强制性 3D 格式支持 OSD 叠加于 3 GHz 视频格式高带宽数字内容保护

More information

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 :

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 : SA 系列 / C-Link 产品特点 引用标准 : IEC 61071 结构 : 金属化聚丙烯膜结构 封装 : 聚酯胶带, 树脂填充 电气特性 工作温度 : - 40 至 + 85 C 范围 : 15 至 500μF 额定电压 : 500 至 1100 VC 偏差 : ± 5%, ± 10% 损耗因素 : 2 10-3 @100z 20±5 C 预期寿命 : 100,000 小时 @Un, 70

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

汇集全球21位医生的经验和智慧,总结出最实用的专业建议,这些都是最值得你牢记的健康提醒

汇集全球21位医生的经验和智慧,总结出最实用的专业建议,这些都是最值得你牢记的健康提醒 彙 集 全 球 21 位 醫 生 的 經 驗 和 智 慧, 總 結 出 最 實 用 的 專 業 建 議, 這 些 都 是 最 值 得 你 牢 記 的 健 康 提 醒 top1. 不 是 每 個 人 都 適 合 做 近 視 矯 行 手 術, 除 非 你 在 手 術 前 已 經 持 續 穩 定 地 佩 戴 了 一 年 以 上 的 近 視 眼 鏡 或 者 隱 形 眼 鏡 如 果 你 時 摘 時 戴 眼 鏡,

More information

1 行 业 发 展 不 平 衡 我 国 房 地 产 中 介 服 务 业 起 步 较 晚, 专 业 分 工 程 度 和 国 外 发 达 国 家 相 比 还 有 很 大 差 距 房 地 产 中 介 服 务 行 业 的 发 展 水 平 与 房 地 产 开 发 行 业 的 市 场 化 水 平 密 切 相 关

1 行 业 发 展 不 平 衡 我 国 房 地 产 中 介 服 务 业 起 步 较 晚, 专 业 分 工 程 度 和 国 外 发 达 国 家 相 比 还 有 很 大 差 距 房 地 产 中 介 服 务 行 业 的 发 展 水 平 与 房 地 产 开 发 行 业 的 市 场 化 水 平 密 切 相 关 房 地 产 中 介 服 务 : 仍 处 于 成 长 期, 市 场 空 间 巨 大 作 者 : 庞 增 华 房 地 产 中 介 服 务 业 内 的 企 业 包 括 依 法 设 立 并 具 备 房 地 产 中 介 资 格 的 房 地 产 顾 问 策 划 房 地 产 代 理 销 售 房 地 产 评 估 房 地 产 经 纪 等 中 介 服 务 机 构, 是 房 地 产 开 发 价 值 链 中 不 可 或 缺

More information

水资源管理(十七)

水资源管理(十七) 1 1 2 3 4 ( ) 1 2 3 2 4 5 6 7 8 1 2 3 ( ) 3 4 1 2 3 4 7 8 200 200 4 5 5 6 1987 4 20% 6 7 8 1985 9 () () () 4 7 3 6 10 14 9 13 4 8 3 7 () 4 7 3 5 3 6 3 5 () () () 3 5 2 4 () () () 15% 10 () (1) (2) (3)

More information

工程设计资质标准

工程设计资质标准 工 程 设 计 资 质 标 准 为 适 应 社 会 主 义 市 场 经 济 发 展, 根 据 建 设 工 程 勘 察 设 计 管 理 条 例 和 建 设 工 程 勘 察 设 计 资 质 管 理 规 定, 结 合 各 行 业 工 程 设 计 的 特 点, 制 定 本 标 准 一 总 则 ( 一 ) 本 标 准 包 括 21 个 行 业 的 相 应 工 程 设 计 类 型 主 要 专 业 技 术 人 员

More information

Modern Ideas of Government Reform ...

Modern Ideas of Government Reform ... 现 代 政 府 改 革 理 念 与 澳 门 公 共 行 政 改 革 周 谭 陈 瑞 莲 澳 门 回 归 后, 市 民 对 澳 门 公 共 行 政 改 革 的 要 求 强 烈, 希 望 通 过 改 革 重 建 政 府 公 务 员 与 整 个 行 政 架 构 的 运 作 效 率, 使 社 会 走 向 开 放 与 透 明 政 府 也 希 望 通 过 公 共 行 政 改 革 赢 回 市 民 的 信 心 回

More information

4 办 公 室 工 作 实 务 ( 第 3 版 ) 第 1 单 元 单 位 组 织 的 有 效 运 作 离 不 开 办 公 室 工 作 情 景 案 例 左 景 被 宏 达 商 业 集 团 公 司 录 用 为 秘 书, 试 用 期 间, 只 能 在 办 公 室 打 杂, 有 时 工 作 稍 有 差 错

4 办 公 室 工 作 实 务 ( 第 3 版 ) 第 1 单 元 单 位 组 织 的 有 效 运 作 离 不 开 办 公 室 工 作 情 景 案 例 左 景 被 宏 达 商 业 集 团 公 司 录 用 为 秘 书, 试 用 期 间, 只 能 在 办 公 室 打 杂, 有 时 工 作 稍 有 差 错 模 块 1 办 公 室 工 作 概 述 3 模 块 1 办 公 室 工 作 概 述 学 习 目 标 知 识 目 标 : 了 解 办 公 室 工 作 的 性 质 作 用 和 职 能 理 解 办 公 室 工 作 的 原 则 性 与 灵 活 性 认 识 办 公 室 秘 书 应 具 备 的 职 业 素 养 能 力 目 标 : 培 养 办 公 室 秘 书 的 工 作 悟 性 完 善 办 公 室 秘 书 的 能

More information

(35 )

(35 ) 2004 20 2004 19 2004 22 2004 21 2004 2656 2004 1973 (35 ) 2004 72 2005 29 ()() 2005 983 2005 23 2005 31 2005 28 2004 1927 2005 76 () 2005 1392 2005 907 2004 164 2004 27 2004 20 2004 7 16 1 2 3 4 5 6 7

More information

nbqw.PDF

nbqw.PDF 2002 2002 3 3 4 6 8 11 13 14 26 28 32 60 2002 2003 1 18 2002 2002 5 31 3100001006917 310115739764252 310115739764252 312,377,217.73 360,048,073.09 360,048,073.09 204,681,114.81 204,681,114.81 2,253,777.58

More information

nbqw.PDF

nbqw.PDF 2002 2 2002 3 2002 4 2002 5 2002 2002 497,436,769.87 398,874,507.07 359,317,320.34 1,009,717,057.82 6,259,557.58 331,224,008.07 78,162,839.02 82,594,331.83 5,455,590.95 742,195,671.99-28,879,459.87 6 2002

More information

<4D F736F F D20CBABC1FA DA3A8BAACB6C1D0B44D31A3A9C4A3BFE9D7CAC1CF B0E62E646F63>

<4D F736F F D20CBABC1FA DA3A8BAACB6C1D0B44D31A3A9C4A3BFE9D7CAC1CF B0E62E646F63> SL-1356MOD-SU 射 频 卡 读 写 模 块 使 用 说 明 一. 概 述 双 龙 公 司 针 对 支 付 系 统 公 共 交 通 门 禁 锁 具 设 备 管 理 二 代 身 份 证 等 行 业 推 出 SL-1356MOD-SU 射 频 卡 读 写 模 块 该 模 块 全 面 支 持 ISO14443 A/B -1-2 -3-4 标 准, 适 用 于 读 写 各 种 符 合 ISO14443

More information

AVS7516 声音事件检测芯片硬件数据手册修正记录 版本 发布日期 内容描述 首次发布版本 修订电路参考图等细节 修订部分错误, 更新部分电路参考图 第 2 页共 16 页

AVS7516 声音事件检测芯片硬件数据手册修正记录 版本 发布日期 内容描述 首次发布版本 修订电路参考图等细节 修订部分错误, 更新部分电路参考图 第 2 页共 16 页 AVS7516 声音事件检测芯片 硬件数据手册 深圳声联网科技有限公司 0755-33349168 0755-33349798 www.avsnest.com 声联网官方订阅号 第 1 页共 16 页 AVS7516 声音事件检测芯片硬件数据手册修正记录 版本 发布日期 内容描述 1.0 2017-04-27 首次发布版本 1.1 2017-08-02 修订电路参考图等细节 1.2 2018-07-09

More information

2

2 E V E P P 9 4 8 2 9 1 2 PDCA WEB 3 ( ) ( ) Microsoft Windows WEB MS Windows 2000 MS Access 97 IIS 5.0 Windows 4 VPP ISO 14001 ( ) ( 2.2 ) 5 PDCA Road map ( ISO 14001 ( ) ( ISO 14001 ) 6 0. 4.4 1. 4.5 2.2

More information

mm420£±£±-ÐÂ

mm420£±£±-ÐÂ MICROMASTER 420 MICROMASTER 420 MICROMASTER 420 CD-ROM 1 3 2 4 3 5 4 MICROMASTER 420 6 4.1 4.2 7 4.3 7 4.4 8 4.5 BOP / AOP 9 5 10 5.1 P0010 P0970 10 5.2 11 5.3 BOP (P0700=1) / 11 5.4 (AOP) 11 5.5 11 5.6

More information

ICS 93. 080. 30 R 87 GA GA/T 508 2004 Road traffic counting down display unit 2004-08-09 2004-10-01 ... II 1... 3 2... 3 3... 3 4... 4 5... 5 6... 9 7... 13 8... 14 9... 14 10... 14 A... 15 I A II 1 2

More information

中文手册

中文手册 PCC-3428 PC/104 1. PCC-3428 1.1 PCC-3428 90mm 96mm ST CPU STPC Atlas Atlas CPU 486 DX/DX2 CPU DX2 133MHz Atlas 2D LCD/CRT 100MHz SDRAM 64MBytes PCC-3428 10/100Mbps DOC EIDE USB PC/104 ST STPC Atlas STPC

More information

怒 江 之 战 南 派 三 叔 乾 坤 著 内 容 简 介 六 十 多 年 前, 十 万 中 国 远 征 军 溃 败 怒 江, 穿 越 胡 康 河 谷 原 始 丛 林 的 撤 退 过 程 中, 非 战 斗 减 员 将 近 五 万 将 士 自 此, 这 片 土 著 口 中 的 魔 鬼 居 住 地, 再

怒 江 之 战 南 派 三 叔 乾 坤 著 内 容 简 介 六 十 多 年 前, 十 万 中 国 远 征 军 溃 败 怒 江, 穿 越 胡 康 河 谷 原 始 丛 林 的 撤 退 过 程 中, 非 战 斗 减 员 将 近 五 万 将 士 自 此, 这 片 土 著 口 中 的 魔 鬼 居 住 地, 再 怒 江 之 战 南 派 三 叔 乾 坤 著 内 容 简 介 六 十 多 年 前, 十 万 中 国 远 征 军 溃 败 怒 江, 穿 越 胡 康 河 谷 原 始 丛 林 的 撤 退 过 程 中, 非 战 斗 减 员 将 近 五 万 将 士 自 此, 这 片 土 著 口 中 的 魔 鬼 居 住 地, 再 无 人 敢 接 近 然 而 不 久 后, 令 人 惊 诧 的 事 情 发 生 明 明 是 没 有 生

More information

交 通 部 公 路 總 局 新 竹 區 監 理 所 104 年 第 2 次 契 約 服 務 員 甄 試 試 場 序 號 試 場 序 號 姓 名 A01 A02 A03 A04 A05 A06 A07 A08 A09 A10 A11 A12 A13 A14 A15 A16 張 齡 文 王 美 蕙 吳

交 通 部 公 路 總 局 新 竹 區 監 理 所 104 年 第 2 次 契 約 服 務 員 甄 試 試 場 序 號 試 場 序 號 姓 名 A01 A02 A03 A04 A05 A06 A07 A08 A09 A10 A11 A12 A13 A14 A15 A16 張 齡 文 王 美 蕙 吳 交 通 部 公 路 總 局 新 竹 區 監 理 所 104 年 第 2 次 契 約 服 務 員 甄 試 試 場 規 則 一 考 生 應 於 考 試 當 日 攜 帶 國 民 身 分 證 正 本 或 其 他 足 資 證 明 身 分 之 證 件 於 上 午 8 時 50 分 前 至 本 所 行 政 大 樓 2 樓 道 安 教 室 入 場 考 試, 未 攜 帶 者 一 律 不 得 參 加 考 試 ; 冒 名

More information

12 12 1 30 40 20 30 10 20 6 10 10 2 34.8 56.1 18.0 20.9 3.8 0.4 17.9 18.3 11.7 9.1 9.1 8.3 9.2 6.3 10.8 8.0 3 1949 1952 1957 1965 1975 1980 1985 100 100 100 100 100 100 100 11.0 19.4 26.1 26.2

More information

报 告 简 要 丽 江 古 城 位 于 云 南 省 西 北 部, 始 建 于 宋 末 元 初 古 城 西 北 方 30 公 里 处 是 海 拔 5596 米 的 玉 龙 雪 山 及 第 四 世 冰 川 遗 迹 丽 江 古 城 在 南 宋 时 期 就 初 具 规 模, 已 有 八 九 百 年 的 历

报 告 简 要 丽 江 古 城 位 于 云 南 省 西 北 部, 始 建 于 宋 末 元 初 古 城 西 北 方 30 公 里 处 是 海 拔 5596 米 的 玉 龙 雪 山 及 第 四 世 冰 川 遗 迹 丽 江 古 城 在 南 宋 时 期 就 初 具 规 模, 已 有 八 九 百 年 的 历 丽 江 古 城 托 管 挂 牌 可 行 性 分 析 报 告 上 海 文 化 产 权 交 易 所 申 江 文 化 商 品 运 营 服 务 平 台 二 零 一 六 年 七 月 报 告 简 要 丽 江 古 城 位 于 云 南 省 西 北 部, 始 建 于 宋 末 元 初 古 城 西 北 方 30 公 里 处 是 海 拔 5596 米 的 玉 龙 雪 山 及 第 四 世 冰 川 遗 迹 丽 江 古 城 在

More information

有 不 良 企 图 时, 就 要 立 即 躲 开 他 当 你 实 在 难 以 分 辨 对 方 是 真 心 实 意 还 是 虚 情 假 意 时, 可 向 父 母 老 师 或 周 围 较 成 熟 和 亲 近 的 朋 友 请 教, 请 他 们 帮 你 分 析 情 况, 做 出 判 断 此 时, 拒 绝 帮

有 不 良 企 图 时, 就 要 立 即 躲 开 他 当 你 实 在 难 以 分 辨 对 方 是 真 心 实 意 还 是 虚 情 假 意 时, 可 向 父 母 老 师 或 周 围 较 成 熟 和 亲 近 的 朋 友 请 教, 请 他 们 帮 你 分 析 情 况, 做 出 判 断 此 时, 拒 绝 帮 第 一 章 女 生 安 全 2009 年 11 月 2 日 深 夜,51 岁 的 农 民 李 某 翻 墙 进 入 某 中 学 行 窃, 他 悄 悄 来 到 一 小 屋 前, 并 无 所 获 见 屋 内 3 名 少 女 都 已 熟 睡, 便 生 邪 念, 欲 行 不 轨 3 少 女 慷 醒 后, 遭 李 某 的 殴 打 和 猥 亵, 其 中 一 名 16 岁 女 生 乘 机 溜 出 房 外, 将 房

More information