CS1240/CS1241用户手册

Size: px
Start display at page:

Download "CS1240/CS1241用户手册"

Transcription

1 用户手册 通讯地址 : 深圳市南山区蛇口南海大道 1079 号花园城数码大厦 A 座 9 楼邮政编码 : 公司电话 :+(86 755) 传真 :+(86 755) 公司网站 : 第 1 页, 共 29 页

2 历史修改记录 历史修改记录 时间 记录 版本号 更换新 LOGO, 重新发布 1.0 第 2 页, 共 29 页

3 目录 目 录 历史修改记录... 2 目录 功能说明 主要功能特性 应用场合 功能描述 特性说明 芯片绝对最大极限值 数字逻辑特性 芯片引脚 电气特性 通讯时序 功能模块描述 输入多路信号选择器 (Input Multiplexer) 输入模拟缓冲器 (Buffer) 可编程增益放大器 (PGA) 调制器 (Modulator) 误差校正 (Calibration) 自校正 (Self Calibration) 系统校正 (System Calibration) 外接参考电压 (External Voltage Reference) 时钟单元 (Clock Unit) 数字滤波器 (FIR) 串行总线接口 ( SPI ) 片选信号 ( CS ) 串行时钟 ( SCLK ) 时钟极性控制 ( POL ) 数据输入 ( ) 和数据输出 ( SDO ) 数据准备就绪 ( DRDY ) 数据同步 (SYNC) 上电复位及芯片的复位 寄存器描述 寄存器列表 寄存器详细描述 指令描述 指令列表 指令详细描述 芯片封装 第 3 页, 共 29 页

4 目录 图目录 图 1 原理框图... 6 图 2 引脚图... 8 图 3 时序图...11 图 4 多路输入选择原理框图...13 图 5 外部晶振连接图...15 图 6 芯片 SSOP-24 尺寸信息...29 表目录 表 1 最大极限值... 7 表 2 数字逻辑特性... 7 表 3 引脚描述... 8 表 4 AVDD=5V 时 电气特性... 9 表 5 AVDD=3V 时 电气特性...10 表 6 时序表...12 表 7 调制器采样频率表...14 表 8 外部参考电压和 RAN 的关系表...15 表 9 内部寄存器详细列表...19 表 10 指令描述表...24 第 4 页, 共 29 页

5 功能说明 1 功能说明 是高精度 低功耗模数转换芯片 其分辨率为 24bit, 有效分辨率可达 22 位 可以广泛使用在工艺控制 量重 液体 / 气体化学分析 血液分析 智能发送器 便携测量仪器领域 1.1 主要功能特性 24 位无失码 22 位有效精度模数转换器 集成 50Hz 60Hz 陷波 ( 可达 -90dB) INL 小于 % 可编程增益 (1~128) 单时钟周期准备就绪 可编程模数转换 (ADC) 数据速率输出 外接参考电压范围可以为 0.1V~5V 芯片带有校正 集成兼容 SPI 总线接口 低功耗, 最低 0.6mW 4 个模拟输入通道 1.2 应用场合 工业过程控制 重量计 液体 / 气体化学分析 血液计 智能变换器 便携式设备 第 5 页, 共 29 页

6 功能说明 1.3 功能描述 是 24 位高精度 低功耗 Sigma-Delta 模数转换芯片, 有效分辨率可达 22 位 可以在 2.7V~5.5V 电源电压条件下工作 输出通道, 可以选择输入通道模拟缓冲器 (Buffer) 或者直接将信号输入模数转换器 (ADC), 模拟缓冲器可以有效提高芯片的输入阻抗 提供的 1~128 倍可编程增益放大器, 在 128 倍时, 有效分辨率可达 18bit 调制器是一个二阶 Sigma-Delta 调制器, 芯片的 FIR 滤波器提供 50Hz 和 60Hz 陷波滤波, 有效提高芯片的抗干扰性能 提供 SPI 兼容的串行接口总线 VREFP VREFN MCLK XTAL Clock generater Register AIN0 AIN1 AIN2 AIN3 MUX BUF PGA 2nd-order modulator Digital filter Controller Serial Interface SCLK SDO 图 1 原理框图 第 6 页, 共 29 页

7 特性说明 2 特性说明 2.1 芯片绝对最大极限值 表 1 最大极限值 名称 符号 最小 最大 单位 说明 模拟电源电压 AVDD V AVDD to AGND 数字电源电压 DVDD V DVDD to DGND 地之间压差 DVGND V DGND to AGND 电源瞬间电流 100 ma Input Current momentary 电源恒定电流 10 ma Input Current continuous 数字管脚输入电压 -0.3 DVDD+0.3 V Digital Output 数字输出管脚电压 -0.3 DVDD+0.3 V Voltage to DGND 节温 150 o C Max. Junction Temperature 工作温度 o C Operating Temperature 储存温度 o C Storage Temperature 芯片管脚焊接温度 300 o C Lead Temperature (Soldering, 10s) 2.2 数字逻辑特性 表 2 数字逻辑特性 参数 最小 典型 最大 单位 条件说明 VIH 0.8 DVDD DVDD V VIL DGND 0.2 DVDD V VOH DVDD-0.4 DVDD+0.4 V Ioh=1mA VOL DGND DGND+0.4 V IoL=1mA IIH 10 ua VI=DVDD IIL -10 ua VI=DGND fosc 1 5 MHz tosc ns 说明 : 1 数字接口为 CMOS 逻辑接口 第 7 页, 共 29 页

8 芯片引脚 2.3 芯片引脚 DVDD 1 24 BUF DGND 2 23 DRDY MCLK 3 22 SCLK XTAL 4 21 SDO RST SYNC PD DGND SSOP TOPVIEW CS POL AVDD REFP 9 16 AGND REFN NC AIN AIN3 AIN AIN2 图 2 引脚图 表 3 引脚描述 管脚序号 符号 管脚描述 备注 1 DVDD 数字电源电压,2.7~5.25V 2 DGND 数字地 3 MCLK 主时钟输入,1~10MHz 4 XTAL 晶振驱动管脚 2 5 RST 芯片复位管脚, 低有效 6 SYNC 同步控制信号, 低有效 7 PD 掉电控制信号, 低有效 8 DGND 数字地 9 REFP 模拟 ( 正 ) 参考电压输入端 10 REFN 模拟 ( 负 ) 参考电压输入端 11 AIN0 模拟输入 0 12 AIN1 模拟输入 1 13 AIN2 模拟输入 2 14 AIN3 模拟输入 3 15 NC 无效端 16 AGND 模拟地 17 AVDD 模拟电源电压 2.7V~5.25V 18 POL 串口时钟极性 19 CS 芯片选择信号, 低有效 20 串口输入数据 21 SDO 串口输出数据 22 SCLK 串口工作时钟, 采用 Schmitt 触发器 23 DRDY 数据准备就绪指示信号, 低有效 24 BUF 模拟输入缓冲器使能信号, 高有效 第 8 页, 共 29 页

9 电气特性 2.4 电气特性 模拟输入 系统性能 参考电压输入 电源 表 4 AVDD=5V 时 电气特性 参数 条件 最小值 典型值 最大值 单位 模拟输入范围 Buffer 关闭 AGND-0.1 AVDD+0.1 V Buffer 打开 AGND+0.4 AVDD-1.5 V 满幅输入电压 RAN=0 ±VREF/PGA V (AIN+) - (AIN-) RAN=1 ±VREF/(2 PGA) V 差分输入阻抗 Buffer 关闭 5/PGA MΩ Buffer 打开 5 GΩ f DATA = 3.75Hz 1.65 Hz 带宽 (-3dB) f DATA = 7.50Hz 3.44 Hz f DATA = 15.0Hz 3.7 Hz PGA 可选增益范围 输入电容 9 pf 输入泄漏电流 调制器关闭,T = 25 5 pa 测试电流源 2 2uA 分辨率 无失码 24 Bits 积分线性度 ± % of FS 失调误差 8 ppm of FS 失调误差漂移 0.02 ppm of FS/ 增益误差 % 增益误差漂移 0.5 ppm/ 直流 100 db 共模抑制比 f CM = 60Hz, f DATA = 15Hz 130 db f CM = 50Hz, f DATA = 15Hz 120 db 陷波抑制比 f CM = 60Hz, f DATA = 15Hz 100 db F CM = 50Hz, f DATA = 15Hz 100 db 电源抑制比 直流 db VREF REFP RAN = V -REFN RAN = AVDD V REFP, REFN RAN = 0 0 AVDD V 输入范围 RAN = AVDD V 直流 120 db 共模抑制比 f VREFCM = 60Hz 120 db 偏置电流 1.3 ua 电源电压 AVDD V 模拟部分电流 数字部分电流 (DVDD = 5V) PD = 0 1 na PGA = 1,Buffer 关闭 120 ua PGA = 1,Buffer 打开 160 ua PGA = 128,Buffer 关闭 400 ua PGA = 128,Buffer 打开 760 ua 普通模式 2 ma 连续读数据模式 2.2 ma PD = na 第 9 页, 共 29 页

10 电气特性 模拟输入 系统性能 参考电压输入 电源 表 5 AVDD=3V 时 电气特性 参数 条件 最小值 典型值 最大值 单位 模拟输入范围 Buffer 关闭 AGND-0.1 AVDD+0.1 V Buffer 打开 AGND+0.3 AVDD-1.5 V 满幅输入电压 RAN=0 ±VREF/PGA V (AIN+) - (AIN-) RAN=1 ±VREF/(2 PGA) V 差分输入阻抗 Buffer 关闭 5/PGA MΩ Buffer 打开 5 GΩ f DATA = 3.75Hz 1.65 Hz 带宽 (-3dB) f DATA = 7.50Hz 3.44 Hz f DATA = 15.0Hz 14.6 Hz PGA 可选增益范围 输入电容 9 pf 输入泄漏电流 调制器关闭,T = 25 5 pa 测试电流源 2 2uA 分辨率 无失码 24 Bits 积分线性度 ± % of FS 失调误差 15 ppm of FS 失调误差漂移 0.04 ppm of FS/ 增益误差 0.01 % 增益误差漂移 1.0 ppm/ 直流 100 db 共模抑制比 f CM = 60Hz, f DATA = 15Hz 130 db f CM = 50Hz, f DATA = 15Hz 120 db 陷波抑制比 f CM = 60Hz, f DATA = 15Hz 100 db f SIG = 50Hz, f DATA = 15Hz 100 db 电源抑制比 直流 db VREF REFP RAN = V -REFN RAN = V REFP, REFN RAN = 0 0 AVDD V 输入范围 RAN = AVDD V 直流 120 db 共模抑制比 f VREFCM = 60Hz 120 db 偏置电流 0.65 ua 电源电压 AVDD V 模拟部分电流 数字部分电流 (DVDD = 3V) PD = 0 1 na PGA = 1,Buffer 关闭 107 ua PGA = 1,Buffer 打开 118 ua PGA = 128,Buffer 关闭 360 ua PGA = 128,Buffer 打开 500 ua 普通模式 2 ma 连续读数据模式 2.2 ma PD = na 第 10 页, 共 29 页

11 通讯时序 2.5 通讯时序 t cs1 CS t cs2 t s t spw SCLK (POL=0) SCLK (POL=1) t ds MSB t dh LSB t dio t dso t spw t dsoh t dsot t sdelay SDO Note: (1) Bit order=0; MSB (1) LSB (1) t 2 SCLK Reset Waveform t * t osc < t 1 < 500 * t osc t 2 => 5 * t osc 550 * t osc < t 3 < 750 * t osc 1050 * t osc < t 4 <1250 * t osc SCLK t 1 t 3 t 4 Reset On the falling Edge DRDY t DATA SCLK t 6 t 7 t 8 RST, SYNC, PD t 5 图 3 时序图 第 11 页, 共 29 页

12 通讯时序 表 6 时序表 参数 描述 最小值 最大值 单位 t s SCLK 时钟周期 4 tosc 周期 t spw SCLK 脉冲宽度, 高电平及低电平 200 ns t cs1 片选信号 CS 下降沿与第一个 SCLK 沿的建立时间 0 ns t ds 数据的建立时间 ( 与 SCLK 的延迟 ) 50 ns t dsh 有效 数据的保持时间 50 ns t dio 在发出下列指令时 的最后一个 SCLK 时钟沿与 SDO 的第一个 SCLK 时钟沿 : RDATA, RDATAC, RREG, WREG 50 tosc 周期 t dso SDO 输出数据与 SCLK 的延迟时间 50 ns t dsoh SDO 数据的保持时间 0 t dsot SDO 变为三态与 SCLK 时钟沿的延迟 6 10 tosc 周期 t cs2 片选信号 CS 保持低电平时间与最后一个 SCLK 时钟沿 0 ns RREG, WREG, SYNC, SLEEP, 4 tosc 周期 RDATA, RDATAC, STOPC 当前指令的最后一 GCALSELF, SELFOCA, OCALSYS, 个 SCLK 时钟沿到 8 DRDY 周期 t GCALSYS sdelay 下一个指令的第一 CALSELF 15 DRDY 周期 个 SCLK 时钟沿 : RESET( 也可以是通过 SCLK 或者 RST 引脚发出的 RESET 指令 ) 16 tosc 周期 t 5 脉冲宽度 4 tosc 周期 t 6 允许的模拟输入信号的变化到下一次有效的转换 5000 tosc 周期 t 7 DOR 更新,DOR 无效 4 tosc 周期 t 8 DRDY 信号变低后的首个 SCLK 时钟 RDATAC 模式 10 tosc 周期 其他模式 0 tosc 周期 第 12 页, 共 29 页

13 功能模块描述 3 功能模块描述 3.1 输入多路信号选择器 (Input Multiplexer) 的输入信号通道可以任意组合, 多路输入选择原理图如图 4 所示 AIN0 AIN1 AIN2 Buffer AIN3 BUFEN 图 4 多路输入选择原理框图 可以最多配置 2 对差分输入或 3 个单端输入 例如, 如果选择 AIN1 为差分正 ( 负 ) 信号的一个输入端, 可以选择任意其它输入端为负 ( 正 ) 端输入 可以实现在单时钟周期内完成输入信号的选择切换 内部数字滤波器的稳定输出 为了降低切换误差, 要求在 DRDY 信号变低后立即配置 MUX 寄存器 3.2 输入模拟缓冲器 (Buffer) 在没有使能模拟输入缓冲器 (Buffer) 时, 输入阻抗约 5MΩ/PGA 当系统要求较高的输入阻抗时, 可以使能模拟输入缓冲器, 此时可以将输入阻抗提高约到 5GΩ 缓冲器的使能信号可以由 BUF 管脚或内部寄存器 ACR 控制 当输入管脚 BUF 为高或 ACR 寄存器的 BUF 为高时, 输入缓冲器使能, 有效提高输入阻抗 如果使能缓冲器, 芯片增加额外的功率消耗 消耗功率的大小与 PGA 的增益有关,PGA=1 时, 增加约 50uA 电流, 而 PGA=128 时, 增加的电流则达 150uA 当开启缓冲器后, 对输入信号的范围有所要求, 此时要求输入信号的范围为 AGND+0.3V~ AVDD-1.5V 第 13 页, 共 29 页

14 功能模块描述 3.3 可编程增益放大器 (PGA) 内部的电压增益放大器可以编程配置增益为 1,2,4,8,16,32,64,128 通过使用 PGA 可以提高有效转换精度 例如,PGA=1,5V 满幅模数转换, 有效识别电压为 1uV, 但如果 PGA=128,39mV 满幅模数转换时, 可最小可以识别 75nV 输入电压 3.4 调制器 (Modulator) 的调制器是单环回 2 阶 Σ- 调制器, 调制器的采样频率可以通过 SPEED(ACR 寄存 器的 bit 5) 控制, 具体如下表所示 : 晶振频率 (MHz) 表 7 调制器采样频率表 SPEED ADC 采样频率数据输出速率 (Hz) 陷波频率 (KHz) DR = 00 DR = 01 DR = 10 (Hz) / / / / 误差校正 (Calibration) 芯片校正分为自校正 外部系统校正, 校正包括模数转换器偏移误差校正 (OCAL) 模数转 换器增益校正 (GCAL) 正在校正时,DRDY 维持为高, 表示现在 AD 转换的结果不可用 在芯片重新上电 外部环境温度改变 增益 (PGA) 改变后进行误差校正可保证模数转换的正 确 完成校正后 DRDY 管脚变低, 即 DRDY 输出低电平时表示芯片已经完成校正 校正完成 后的第一个输出数据由于内部电路工作的延时导致不正确, 不能作为正常模数转换数据 第二 个转换输出数据是正常的, 可以使用 自校正 (Self Calibration) 的自校正通过 CALSELF GCALSELF OCALSELF 三条指令来控制完成 执行 CALSELF 指令时, 可以同时完成偏移误差校正 (Offset Calibration) 和增益误差校正 (Gain Calibration) GCALSELF 指令只控制芯片完成增益校正, 而 OCALSELF 则控制芯片完成偏 移校正 增益校正 偏移校正都在 8 个 TDATA 周期 (AD 周期 ) 内完成,TDATA 周期为输出 数据速率的倒数 如果执行 SEFLCAL 指令, 则需要 15 个 TDATA 周期 自校正时, 自动断开外部的输入信号而接内部电压 在执行增益误差校正时, 自动先将 PGA 设为 1, 执行完增益误差校正后 会将 PGA 的值还原成为用户设定的 值 但执行失调误差校正过程中,PGA 的设置没有发生变化 ( 注意在如果进行校正时外部 参考电压高于 AVDD-1.5V 时, 输入模拟缓冲器必须关闭 ) 第 14 页, 共 29 页

15 功能模块描述 系统校正 (System Calibration) 系统校正可以校正芯片内部及系统的偏移误差和增益误差, 校正必须要求输入正确的输入信号 后进行 系统校正指令包括 OCALSYS GCALSYS, 其中 OCALSYS 进行偏移误差校正, GCALSYS 进行增益误差校正, 偏移误差校正 增益误差校正分别在 8 个 TDADA 数据周期内 完成 在进行偏移误差校正 (OCALSYS) 时, 必须要求输入为差分电压为 0, 计算出系统的 偏移误差值并写入 OCC 寄存器中, 正常转换时通过内部计算予以抵消 在进行增益误差校正 (SYSGCAL) 时, 必须输入正满幅度的电压, 计算出系统的增益 误差并写入 GCC 寄存器中, 正常转换时通过内部计算予以抵消 3.6 外接参考电压 (External Voltage Reference) 需要外接参考电压, 具体值通过 ACR 寄存器配置 参考电压接在 REFP 与 REFN 管脚 上, 电压不能超过芯片的电源电压, 具体电压值如下表 : 表 8 外部参考电压和 RAN 的关系表 RAN(ACR.2) 电源电压 (V) 参考差分电压 (V) 备注 0 5 <= <= <= <= 时钟单元 (Clock Unit) 可以外接晶体 振荡器或时钟 如果接外部时钟, 则从 MCLK 管脚输入, 此时 XTAL 悬空 如果外部接晶体, 电路要求如下 :( 要求在 MCLK 及 XTAL 管脚上同时接 10~20pF 的 电容 ) XTAL MCLK C1 C2 图 5 外部晶振连接图 第 15 页, 共 29 页

16 功能模块描述 3.8 数字滤波器 (FIR) 带有一个可编程的 FIR 滤波器 FIR 滤波器可以被配置成不同的输出速率 当使用 M 的时钟时, 的输出数据的速率可以被配置成 15Hz,7.5Hz 或者 3.75Hz 此时,FIR 滤波器可以同时对 50Hz 和 60Hz 的杂波信号进行陷波滤波 如果希望得到其他的输出数据速率, 则须使用其他的时钟频率 此时, 陷波频率也同时跟着改变了 比如, 当使用默认的寄存器配置, 时钟频率为 M 时候 : 输出数据频率为 : ( MHz/2.4576MHz ) 15Hz = 22.5Hz 陷波频率为 : ( MHz/2.4576MHz ) ( 50Hz 和 60Hz ) = ( 75Hz 和 90Hz ) 第 16 页, 共 29 页

17 串行总线接口 ( SPI ) 3.9 串行总线接口 ( SPI ) 通过 SPI 总线与外部的控制器进行通讯 只能用于从模式 总线接口是标准的四线 SPI 总线, 包括 CS,SCLK, 和 SDO 外加一个控制时钟极性的 POL 总线支持双极性的时钟, 时钟的极性由 POL 来控制 片选信号 ( CS ) 在与 进行通讯前, 外部的控制器必须先发出 CS 片选信号 在整个通讯期间,CS 信号必须维持为低 当 CS 信号变高后, 整个 SPI 总线会被复位 CS 信号也可以被接为常低 当 CS 信号被拉为常低时,SPI 总线可以工作在三线模式 串行时钟 ( SCLK ) SCLK 为施密特触发, 用来对 和 SDO 信号进行采样 为了防止错误的采样数据,SCLK 必须十分干净 如果在 3 个 DRDY 周期内都没有 SCLK 时钟出现, 那么在下一个 SCLK 来临时,SPI 总线将被复位, 进而开始下一个通讯周期 SCLK 上的一个特定的波形可以复位整个芯片 具体信息请参考 RESET 章节 时钟极性控制 ( POL ) POL 控制了串行时钟 SCLK 的极性 当 POL 为低时, 数据在 SCLK 的下降沿被采样 如果没有时钟脉冲, 则 SCLK 应该维持为常低 当 POL 为高时, 数据在 SCLK 的上升沿被采样, 如果没有时钟脉冲,SCLK 应该维持为高 数据输入 ( ) 和数据输出 ( SDO ) 和 SDO 引脚分别用来输入和输出数据 在没有使用时,SDO 为高阻态, 这样就允许将 和 SDO 接在一起然后通过一个双向的总线来驱动它 需要注意的是, 这种情况下不适合向 发出 RDATAC 指令 因为 RDATAC 指令需要用 STOPC 指令或者 RESET 指令来终止 而在 RDATAC 模式下, 这条双向总线会被一直占据用来向外部发送数据, 所以此时无法通过总线向 发送 STOPC 指令或者 RESET 指令, 因此就无法终止 RDATAC 状态, 除非此时 SDO 发送的数据中包含 STOPC 或者 RESET 指令 此时 会检测到 STOPC 或者 RESET 指令, 从而终止 RDATAC 状态 数据准备就绪 ( DRDY ) DRDY 信号用来表示内部数据寄存器的状态 当内部数据寄存器 DOR 内新的数据准备就绪时,DRDY 信号会变低 当执行完一个从内部数据寄存器 DOR 读取数据的读操作后,DRDY 信号将变高 在 DOR 寄存器的数据准备更新时 DRDY 信号也会变高, 表示此时 DOR 寄存器内的数据不可用, 防止在 DOR 寄存器进行更新时从 DOR 寄存器内读取数据 第 17 页, 共 29 页

18 数据同步 (SYNC) DRDY 的信号也可以从 ACR 寄存器的 bit 7 来获得 3.10 数据同步 (SYNC) 可以通过 SYNC 引脚或者 SYNC 指令来进行数据同步 当使用 SYNC 引脚进行数据同步时, 数字电路将在 SYNC 的下降沿复位 当 SYNC 变低后, 串行接口处于未激活的状态 当 SYNC 变高后, 数字电路将从 RESET 状态中脱离出来, 在随后的系统时钟的上升沿, 数据将得到同步 当使用 SYNC 指令进行数据同步时, 数字滤波器在 SYNC 指令的最后一个 SCLK 时钟的边沿被复位, 调制器将处于 RESET 状态, 直到下一个 SCLK 的时钟沿被检测到 在 SYNC 后的第一个 SCLK 内的系统时钟的上升沿, 数据将被同步 3.11 上电复位及芯片的复位有三种方法可以对 进行复位 : 将 RST 引脚拉低, 发送 RESET 指令, 在 SCLK 上发送特定的波形 ( SCLK RESET 波形, 参考 的时序图 ) 第 18 页, 共 29 页

19 寄存器列表 4 寄存器描述 通过一系列控制寄存器来配置工作方式, 控制寄存器包括数据格式 多路选择信号输 入 模数转换数据输出速率 校正控制等 4.1 寄存器列表 表 9 内部寄存器详细列表 地址 (H) 寄存器第 7 位第 6 位第 5 位第 4 位第 3 位第 2 位第 1 位第 0 位 00 SETUP ID3 ID2 ID1 ID0 保留 PGA2 PGA1 PGA0 01 MUX PS3 PS2 PS1 PS0 NS3 NS2 NS1 NS0 02 ACR DRDY U/ B SPEED BUF BITOR RAN DR1 DR0 03 ODAC 保留 CHSEL ISET1 ISET0 保留保留保留保留 04 保留 05 保留 06 保留 07 OCC0 OCC07 OCC06 OCC05 OCC04 OCC03 OCC02 OCC01 OCC00 08 OCC1 OCC17 OCC16 OCC15 OCC14 OCC13 OCC12 OCC11 OCC10 09 OCC2 OCC27 OCC26 OCC25 OCC24 OCC23 OCC22 OCC21 OCC20 0A GCC0 GCC07 GCC06 GCC05 GCC04 GCC03 GCC02 GCC01 GCC00 0B GCC1 GCC15 GCC14 GCC13 GCC12 GCC11 GCC10 GCC09 GCC08 0C GCC2 GCC23 GCC22 GCC21 GCC20 GCC19 GCC18 GCC17 GCC16 0D DOR2 DOR23 DOR22 DOR21 DOR20 DOR19 DOR18 DOR17 DOR16 0E DOR1 DOR15 DOR14 DOR13 DOR12 DOR11 DOR10 DOR09 DOR08 0F DOR0 DOR07 DOR06 DOR05 DOR04 DOR03 DOR02 DOR01 DOR00 第 19 页, 共 29 页

20 寄存器详细描述 4.2 寄存器详细描述 SETUP 寄存器 ( 地址 =00H, 复位值 =xxxx0000)pga 控制 (SETUP REGISTER) MSB LSB Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 ID3 ID2 ID1 ID0 保留 PGA2 PGA1 PGA0 SETUP. 7-4 : 芯片的 ID 编号, 供厂家使用 SETUP.3 : 保留 SETU.2-0 : PGA2/PGA1/PGA0, 可编程增益放大器增益选择 (Programmable Gain Amplifier Gain Selection) 000=1( 默认值 ); 001=2 010=4 011=8 100=16 101=32 110=64 111=128 MUX 寄存器 ( 地址 =01H, 复位值 =01H) 输入通道选择 (Multiplexer Control Register) MSB LSB Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 PS3 PS2 PS1 PS0 NS3 NS2 NS1 NS0 MUX. 7-4 : PS3~0, 正输入通道选 (Positive Channel Selection) 0000=ADIN0( 默认值 ) 0001=ADIN1 0010=ADIN2 0011=ADIN3 其余 = 保留 (Reserved) MUX. 3-0 : NS3~0, 负输入通道选 (Negative Channel Selection) 0000=ADIN0 0001=ADIN1( 默认值 ) 0010=ADIN2 0011=ADIN3 其余 = 保留 (Reserved) 第 20 页, 共 29 页

21 寄存器详细描述 ACR 寄存器 ( 地址 =02H, 复位值 =00H) 模拟电路控制 (Analog Control Register) MSB LSB Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 DRDY U/ B SPEED BUF BITOR RAN DR1 DR0 ACR.7 : DRDY, 数据准备就绪 (Data Ready, 只读 ), 与输出管脚 DRDY 值相同 ; ACR.6 : U/ B, 数据格式 (Data Format) 0= 双极性 ( 默认值 ); +FSR 输出 0x7FFFFFH,ZERO=0x00000H,-FSR=0x800000H; 1= 单极性 ; +FSR 输出 0xFFFFFFH,ZERO=0x00000H,-FSR=0x000000H; ACR.5 : SPEED, 模数转换器采样频率控制 (Modulator Clock Speed) 0=fosc/128( 默认值 ); 1=fosc/256; ACR.4 : BUF, 输入缓冲器使能 (Buffer Enable) 0= 禁止 ( 默认值 ); 1= 使能 ; ACR.3 : BITOR, 输出数据 bit 顺序 0 = 高位在前 ( 默认值 ) 1 = 低位在前 ACR.2 : RAN, 转换范围选择 ( Select) 0= 满幅输入 (Full Scale) 为 +/-V REF ( 默认值 ); 1= 满幅输入 (Full Scale) 为 +/- V REF /2; ACR.1-0 : DR1/DR0, 数据输出速率 (Data Rate) 00=15Hz( 默认值 ); 01=7.5Hz; 10=3.75Hz; 11= 保留 (Reserved) ODAC 寄存器 ( 地址 =03H, 复位值 =00H)Offset DAC 设置 MSB LSB Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 无效 CHSEL ISET1 ISET0 无效无效无效无效 ISET1-0: 模拟电路偏置电流选择, 00= 偏置电流为 10uA( 默认值 ) 01 或 10= 偏置电流增加 25%, 11= 偏置电流增加 50%, 当使用较高的时钟频率时, 增加模拟电路偏置电流有助于提高 的性能 CHSEL: 斩波 - 调制方式选择 0= 斩波频率为调制器采样频率 1/2,PGA=1~128 时可用 ( 默认 ) 1= 斩波频率等于调制器采样频率,PGA=2~128 时可用 在一般情况下 CHSEL 设置为 0 即可, 但是在某些情况下 ( 具体情况和外围应用电路有关 ) 一些高频噪声可能会耦合到低频段, 此时可以设置 CHSEL 为 1, 注意此时会导致失调电压和噪声又一些增大 第 21 页, 共 29 页

22 寄存器详细描述 OCC0 寄存器 ( 地址 =07H, 复位值 =00H), 失调误差系数 (Offset Calibration Coefficient) MSB LSB Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 OCC07 OCC06 OCC05 OCC04 OCC03 OCC02 OCC01 OCC00 OCC0 与 OCC1 及 OCC2 组成偏移误差校正系数 OCC23~0( 共 24 位,OCC23 是 MSB, OCC00 是 LSB), 对偏移误差进行校正 OCC1 寄存器 ( 地址 =08H, 复位值 =00H) 失调误差正系数 (Offset Calibration Coefficient) MSB LSB Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 OCC15 OCC14 OCC13 OCC12 OCC11 OCC10 OCC09 OCC08 OCC0 与 OCC1 及 OCC2 组成偏移误差校正系数 OCC23~0( 共 24 位,OCC23 是 MSB, OCC00 是 LSB), 对偏移误差进行校正 OCC2 寄存器 ( 地址 =09H, 复位值 =00H) 失调误差校正系数 (Offset Calibration Coefficient) MSB LSB Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 OCC23 OCC22 OCC21 OCC20 OCC19 OCC18 OCC17 OCC16 OCC0 与 OCC1 及 OCC2 组成偏移误差校正系数 OCC23~0( 共 24 位,OCC23 是 MSB, OCC00 是 LSB), 对偏移误差进行校正 GCC0 寄存器 ( 地址 =0AH, 复位值 =59H) 增益误差校正系数 (Gain Calibration Coefficient) MSB LSB Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 GCC07 GCC06 GCC05 GCC04 GCC03 GCC02 GCC01 GCC00 GCC0 与 GCC1 及 GCC2 组成偏移误差校正系数 GCC23~0( 共 24 位,GCC23 是 MSB, GCC00 是 LSB), 对增益误差进行校正 GCC1 寄存器 ( 地址 =0BH, 复位值 =55H) 增益误差校正系数 (Gain Calibration Coefficient) MSB LSB Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 GCC15 GCC14 GCC13 GCC12 GCC11 GCC10 GCC09 GCC08 GCC0 与 GCC1 及 GCC2 组成偏移误差校正系数 GCC23~0( 共 24 位,GCC23 是 MSB, GCC00 是 LSB), 对增益误差进行校正 GCC2 寄存器 ( 地址 =0CH, 复位值 =55H) 增益误差校正系数 (Gain Calibration Coefficient) MSB LSB Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 GCC23 GCC22 GCC21 GCC20 GCC19 GCC18 GCC17 GCC16 GCC0 与 GCC1 及 GCC2 组成偏移误差校正系数 GCC23~0( 共 24 位,GCC23 是 MSB, GCC00 是 LSB), 对增益误差进行校正 第 22 页, 共 29 页

23 寄存器详细描述 DOR2 寄存器 ( 地址 =0DH, 复位值 =00H) 模数转换数据 (Data Output Register) MSB LSB Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 DOR 23 DOR22 DOR 21 DOR 20 DOR 19 DOR 18 DOR 17 DOR 16 DOR 0 与 DOR 1 及 DOR 2 组成模数转换数据 DOR23~0( 共 24 位,DOR23 是 MSB,DOR00 是 LSB) DOR1 寄存器 ( 地址 =0EH 复位值 =00H) 模数转换数据 (Data Output Register) MSB LSB Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 DOR15 DOR14 DOR13 DOR12 DOR11 DOR10 DOR09 DOR08 DOR 0 与 DOR 1 及 DOR 2 组成模数转换数据 DOR23~0( 共 24 位,DOR23 是 MSB,DOR00 是 LSB) DOR0 寄存器 ( 地址 =0FH, 复位值 =00H) 模数转换数据 (Data Output Register) MSB LSB Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 DOR07 DOR06 DOR05 DOR04 DOR03 DOR02 DOR01 DOR00 DOR 0 与 DOR 1 及 DOR 2 组成模数转换数据 DOR23~0( 共 24 位,DOR23 是 MSB,DOR00 是 LSB) 第 23 页, 共 29 页

24 指令列表 5 指令描述 使用了一系列指令, 指令完成对芯片的工作模式控制 工作速度控制 误差校正等 这些指令中有些是单条的 ( 比如 RESET), 有些则需要另外的操作数 ( 比如 WREG 等 ) 操作数 : n = 数量 (0 到 127 ) r = 寄存器 ( 0 到 15 ) x = 任意值 5.1 指令列表 表 10 指令描述表 指令 描述 操作码 操作数 RDATA 从 DOR 寄存器中读取数据 ( 01 H ) -- RDATAC 从 DOR 寄存器中连续读取数据 ( 03 H ) -- STOPC 停止从 DOR 寄存器中连续读取数据 ( 0F H ) -- RREG 读取寄存器 rrrr 的值 0001 r r r r ( 1X H ) xxxx_nnnn WREG 将数据写入到寄存器 rrrr 中 0101 r r r r ( 5X H ) xxxx_nnnn CALSELF 对芯片的失调误差和增益误差进行纠正 ( F0 H ) OCALSELF 对芯片的失调误差进行纠正 ( F1 H ) GCALSELF 对芯片的增益误差进行纠正 ( F2 H ) OCALSYS 对系统的失调误差进行纠正 ( F3 H ) GCALSYS 对系统的增益误差进行纠正 ( F4 H ) SYNC 对 DRDY 进行同步 ( FC H ) RESET 将芯片复位到上电后的状态 ( FE H ) 注 : 接收数据时总是高位在前 发送数据的格式则由 ACR 寄存器的 BITORDER 位来决定 第 24 页, 共 29 页

25 指令详细描述 5.2 指令详细描述 RDATA- 读取数据描述 : 从 DOR 寄存器中读取最新的一次 AD 转换的值, 这个值为 24bit 操作数 : 无字节 : 1 编码 : 数据传输序列 : xxxx xxxx xxxx xxxx xxxx xxxx SDO MSB Mid-Byte LSB RDATAC- 连续读取数据指令 描述 : RDATAC 允许在每个 DRDY 信号周期内连续的从 DOR 寄存器中读取每次 AD 转换的 结果 这条指令不需要在每次 DRDY 信号变低时发送 RDATA 指令 可以通过发送 STOPC 指 令或者 RESET 指令来终止这条指令的执行 在 DRDY 信号变低后, 至少要等到 10 个 f OSC 周 期才能执行这条指令 操作数 : 无 字节 : 1 编码 : 数据传输序列 : DRDY uuu uuuu uuu uuuu uuu uuuu SDO MSB Mid-Byte LSB DRDY SDO MSB Mid-Byte LSB STOPC- 停止连续读取数据指令 描述 : 停止连续读数据模式 需要在 DRDY 信号变低后发出 操作数 : 无 字节 : 1 编码 : 数据传输序列 : 第 25 页, 共 29 页

26 指令详细描述 DRDY xxx xxx RREG- 读取寄存器的值 描述 : 输出最多 16 个寄存器的值 首个寄存器的地址由指令的首个操作数决定 读取的寄 操作数 :r, n 字节 : 2 存器的数量由指令的第二个操作数的值加 1 决定 如果这个值超过了剩余的寄存器的 数目, 则寄存器的地址转到首个寄存器上 编码 : 0001 rrrr xxxx nnnn 数据传输序列 : 读取两个寄存器的值, 首个寄存器的地址为 01 H ( MUX ) xxxx xxxx xxxx xxxx SDO MUX ACR WREG- 将数据写入寄存器中描述 : 将数据写入多个寄存器中 首个寄存器的地址由指令的首个操作数决定 读取的寄存器的数量由指令的第二个操作数的值加 1 决定 操作数 :r, n 字节 : 2 编码 : 0101 rrrr xxxx nnnn 数据传输序列 : 将数据写入到两个寄存器中, 第一个寄存器的地址为 04 H ( DIO ) xxxx 0001 Data for DIO Data For DIR CALSELF- 失调误差和增益误差的自纠正描述 : 对芯片进行自纠正 进行完这个操作后,OCC 寄存器和 GCC 寄存器的值将被更新 操作数 : 无字节 : 1 编码 : 数据传输序列 : 第 26 页, 共 29 页

27 指令详细描述 OCALSELF- 失调误差的自纠正描述 : 对芯片进行失调误差自纠正 进行完这个操作后,OCC 寄存器的值将被更新 操作数 : 无字节 : 1 编码 : 数据传输序列 : GCALSELF- 增益误差的自纠正描述 : 对芯片进行增益误差自纠正 进行完这个操作后,GCC 寄存器的值将被更新 操作数 : 无字节 : 1 编码 : 数据传输序列 : OCALSYS- 纠正系统的失调误差描述 : 对系统的失调误差进行纠正 这个时候, 系统的输入信号应该为 0, 计算出 OCC 的值对失调误差进行补偿 进行完这个操作后,OCC 寄存器的值将被更新 用户必须在正确的模拟输入端输入 0 信号 OCC 寄存器会被自动更新 操作数 : 无字节 : 1 编码 : 数据传输序列 : 第 27 页, 共 29 页

28 指令详细描述 GCALSYS- 纠正系统的增益误差描述 : 对系统的增益误差进行纠正, 此时, 系统的输入信号应该为满幅电压, 计算出 GCC 的值对增益误差进行补偿 进行完这个操作后,OCC 寄存器的值将被更新 用户必须在正确的模拟输入端输入满幅信号 GCC 寄存器会被自动更新 操作数 : 无字节 : 1 编码 : 数据传输序列 : SYNC- 对 DRDY 信号进行同步描述 : 同步 的数据操作数 : 无字节 : 1 编码 : 数据传输序列 : RESET- 将芯片复位到默认状态描述 : 将所有寄存器的值复位到上电后的状态 这个指令可以终止 RDATAC 指令操作数 : 无字节 : 1 编码 : 数据传输序列 : 第 28 页, 共 29 页

29 芯片封装 6 芯片封装 采用 SSOP-4 封装 图 6 芯片 SSOP-24 尺寸信息 第 29 页, 共 29 页

CS1240/CS1241用户手册

CS1240/CS1241用户手册 用户手册 20-bit Sigma-Delta ADC 通讯地址 : 深圳市南山区蛇口南海大道 1079 号花园城数码大厦 A 座 9 楼邮政编码 :518067 公司电话 :+(86 755)86169257 传真 :+(86 755)86169057 公司网站 :www.chipsea.com 微信号 : 芯海科技微信二维码 : 第 1 页, 共 30 页 版本历史 历史版本 修改内容 版本日期

More information

×××芯片用户手册

×××芯片用户手册 用户手册 通讯地址 : 深圳市南山区蛇口南海大海 1079 号花园城数码大厦 A 座 9 楼邮政编码 :518057 公司电话 :+(86 755)86169257 传真 :+(86 755)86169057 公司网站 :www.chipsea.com 第 1 页, 共 13 页 历史修改记录 历史修改记录 时间 记录 版本号 2012-12-19 更换新 LOGO, 重新发布 1.0 第 2 页,

More information

Microsoft Word - SDI0818用户手册_V1_Agu22_08.doc

Microsoft Word - SDI0818用户手册_V1_Agu22_08.doc 24 位模数转换器 特征 : 24 位分辨率 ( 无失码 ) 19.5 位有效位 (ENBO 128 倍放大 ) 18 位不动码 内部集成 128 倍增益放大器 内部集成震荡器频率 :2.45MHz 偏差 :+/- 5% 具有很高的电源抑制比, 方便在强电源噪声环境下应用 : 10Hz 时对 50Hz 60Hz 噪声抑制 (-100dB) 输出码率 10Hz 80Hz 可选 外部输入参考电压 VREFP

More information

24位模数转换器

24位模数转换器 24 位高精度低电压模数转换器 特征 : 24 位分辨率 ( 无失码 ) 20.7 位有效位 (ENBO 128 倍放大 10Hz 输出 3.0V) 18.2 位不动码 (ENBO 128 倍放大 10Hz 输出 3.0V) 内部集成可编程增益 : 2 4 8 16 32 128 256 1024 2048 倍 输出码率可选 (Hz): 0.625 1.25 2.5 5 10 20( 默认 ) 40

More information

CS1252 用户手册 Rev 1.0 通讯地址 : 深圳市南山区蛇口南海大道 1079 号花园城数码大厦 A 座 9 楼邮政编码 : 公司电话 :+(86 755) 传真 :+(86 755) 公司网站 : Rev 1.0

CS1252 用户手册 Rev 1.0 通讯地址 : 深圳市南山区蛇口南海大道 1079 号花园城数码大厦 A 座 9 楼邮政编码 : 公司电话 :+(86 755) 传真 :+(86 755) 公司网站 :  Rev 1.0 CS1252 用户手册 通讯地址 : 深圳市南山区蛇口南海大道 1079 号花园城数码大厦 A 座 9 楼邮政编码 :518067 公司电话 :+(86 755)86169257 传真 :+(86 755)86169057 公司网站 :www.chipsea.com 第 1 页, 共 22 页 历史修改记录 历史修改记录 时间 记录 版本号 2012-12-19 更换新 LOGO 1.0 第 2 页,

More information

DATASHEET SEARCH SITE ==

DATASHEET SEARCH SITE == 特性描述 是应用于电子秤低频测量的单通道模拟前端 该器件可以接受直接来自传感器的低电平的输入信号, 然后产生串行的数字输出 利用 Σ-Δ 转换技术实现了 24 位无丢失代码性能 通过选定的输入信号被送到一个基于模拟调制器的增益可编程专用前端 片内数字滤波器处理调制器的输出信号, 通过通信口发命令可调节滤波器的截止点和输出更新速率, 从而对数字滤波器的第一个陷波进行编程 只需 2.6~5.5V 单电源供电

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

行业

行业 PCL-1800 PCL-1800 1.1...2 1.1.1 1K FIFO...2 1.1.2...2 1.1.3 16...3 1.1.4...3 1.1.5...3 1.1.6...3 1.2...3 1.3...4 1.4...5 2.1...5 2.2...6 2.2.1...6 2.2.2...6 2.2.3 D/A...7 2.2.4...7 2.2.5 TRIG0 GATE0...8

More information

2 14 PORTC.1 PORTB.3 PORTA.2/T0 GND PORTB.2 PORTA.0 PORTC.3 PORB.0/OSCO PORTB.1/OSCI PORTC.0 PORTC.2 SH69P21 /SOP PORTA

2 14 PORTC.1 PORTB.3 PORTA.2/T0 GND PORTB.2 PORTA.0 PORTC.3 PORB.0/OSCO PORTB.1/OSCI PORTC.0 PORTC.2 SH69P21 /SOP PORTA 1K 4 SH6610C 4 OTP ROM 1K X 16 RAM 88 X 4-24 - 64 2.4V-5.5V - fosc = 30kHz - 4MHz, = 2.4V - 5.5V - fosc = 4MHz - 8MHz, = 4.5V - 5.5V 11 CMOS I/O 4 ( ) 8 / - 0 PORTA.0 ( / / ) - 0 - PORTB ( ) ( ) - 32.768kHz,

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

16位A/D转换器

16位A/D转换器 16 位 A/D 转换器 anyh 是双竞公司新推出的 16 位 A/D 转换器, 具有两个全差分输入通道, 可用于测量低频模拟信号 这种器件带有增益可编程放大器, 可通过软件编程来直接测量传感器输出的各种微小信号 具有分辨率高 动态范围广 自校准等特点, 因而非常适合于工业控制 仪表测量等领域 16 位 A/D 转换器 1. 概述 是双竞公司新推出的 16 位 A/D 转换器, 具有两个全差分输入通道,

More information

第 卷 第 期 年 月 半 导 体 学 报! " # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% )

第 卷 第 期 年 月 半 导 体 学 报!  # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% ) 第 卷 第 期 年 月!"# $%&'%' $!&'#%#$1/#1 $'! /18103 2?/03101?/18103 /3 0,?/0301.13 )*+!!! '!,!! -.&' $!'! 4%%&1)/1(7%&)03(%)%&,%*(1&0)%$-0*,%30)17*1*)0(+1(1+&1*+*),)1; &113(%44(10&.0701&0-&00*/)%;()1%-1+%&0)0*1*)%

More information

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8 Version History 日期 版本 2016/8/4 1.00 2016/9/2 1.01 2016/11/29 1.02 2017/2/16 1.03 2017/6/9 1.04 2018/6/27 1.05 2018/8/8 1.06 新增 MG196 PBGA256S 封装信息 ; 修改 SSPI 模式的配置功能 ; 增加 QN88 封装信息 2019/3/27 1.07 增加电源供电要求

More information

Microsoft Word - Delta Controller ASCII_RTU_SC

Microsoft Word - Delta Controller ASCII_RTU_SC Delta Controller ASCII/RTU ( 适用台达变频器 伺服驱动器 PLC 温度控制器 ) 人机默认值通讯速率 :9600, 7, None, 2 (ASCII); 9600, 8, None, 2 (RTU) 控制器站号 :1 控制区 / 状态区 :None/None 控制器接线的说明 Delta Servo a. RS-232(DOP-A/AE/AS, DOP-B 系列适用 )

More information

adsd

adsd HS8108 电脑开关电源控制器 概述 : HS8108 是 PWM 开关电源控制器, 主要用于台式 PC( 个人电脑 ) 的开关电源部分 HS8108 能够提供开关电源所有的控制和保护功能 : PWM 脉宽调制及推挽输出, 具有过压 欠压 过流 过功耗 远程控制 AC 掉电保护等功能, 两个内嵌的精密 431 调节器用作稳定 5V 辅助电源和 33V 输出电压, 内嵌的精密振荡器可以保证各种延时的精确性

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

untitled

untitled Portable Electrode B91901070 B91901133 量 ECG 路 更 量 路 performance RF 量 路 Portable Electrode 便利 量 portable electrode 路 濾 濾 行 electrode 類 FM modulation scheme ECG 類 數 RF RF demodulate 利 Elvis Labview ECG

More information

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi MICROCHIP EVM Board : APP001 1-1. APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pin 16 I/O Extension Interface 1-2. APP001 Block_A Block_B

More information

The BIST Scheme for Digital-to Analog converters 1

The BIST Scheme for Digital-to Analog converters 1 The BIST Scheme for Digital-to Analog converters . :... 03.DAC :... 05. :... 08 ( ) :... 08 ( ) :... 08. :... ( ) OP AMP... ( ) Charge Pump Circuit... 3 ( ) Analog Summer Circuit... 4 ( ) CMOS Schmitt

More information

Microsoft Word - hx711中文.doc

Microsoft Word - hx711中文.doc 电子秤专用模拟 / 数字 (A/D) 转换器芯片 简介 HX711 采用了海芯科技集成电路专利技术, 是一款专为高精度电子秤而设计的 24 位 A/D 转换器芯片 与同类型其它芯片相比, 该芯片集成了包括稳压电源 片内时钟振荡器等其它同类型芯片所需要的外围电路, 具有集成度高 响应速度快 抗干扰性强等优点 降低了电子秤的整机成本, 提高了整机的性能和可靠性 该芯片与后端 MCU 芯片的接口和编程非常简单,

More information

邏輯分析儀的概念與原理-展示版

邏輯分析儀的概念與原理-展示版 PC Base Standalone LA-100 Q&A - - - - - - - SCOPE - - LA - - ( Embedded ) ( Skew ) - Data In External CLK Internal CLK Display Buffer ASIC CPU Memory Trigger Level - - Clock BUS Timing State - ( Timing

More information

D/A DAC ( 1us) (10~20 ) DAC0832 1

D/A DAC ( 1us) (10~20 ) DAC0832 1 D/A DAC0832 8 ( 1us) (10~20 ) DAC0832 1 1. 20 DI7~DI0 ILE 8 8 DAC 8 D/A LE LE & RFB VREF IOUT2 IOUT1 RFB CS WR1 XFER WR2 & & AGND VCC DGND 2 DI7~DI0 ILE & 8 LE 8 DAC LE 8 D/A RFB V REF IOUT2 IOUT1 R FB

More information

SD3101 带 UART 和 I 2 C 的三差分通道计量 SOC 特点 高精度 ADC,24 位数据输出, 输入增益可选 和 16,3 个差分或 5 个伪差分通道, 可测量信号的真有效值 瞬时值和频率 8 位 RISC 低功耗 MCU,49 条指令,6 级堆栈, 在 2.097MHz

SD3101 带 UART 和 I 2 C 的三差分通道计量 SOC 特点 高精度 ADC,24 位数据输出, 输入增益可选 和 16,3 个差分或 5 个伪差分通道, 可测量信号的真有效值 瞬时值和频率 8 位 RISC 低功耗 MCU,49 条指令,6 级堆栈, 在 2.097MHz 带 UART 和 I C 的三差分通道计量 SOC 特点 高精度 ADC, 位数据输出, 输入增益可选 8 和 6, 个差分或 个伪差分通道, 可测量信号的真有效值 瞬时值和频率 8 位 RISC 低功耗 MCU, 条指令,6 级堆栈, 在.0MHz 工作时钟,MCU 部分在.V 工作电压下电流典型值为 00uA;kHz 时钟待机模式下工作电流为.6uA, 休眠模式电流小于 ua 6k Bytes

More information

行业

行业 PCI-1710 1.1...2 1.1.1...2 1.1.2...2 1.1.3 FIFO( )...2 1.1.4...2 1.1.5...2 1.1.6 16 16...3 1.1.7...3 1.2...3 1.3...3 2.1...3 2.2...4 2.2.1...4 2.2.2...5 2.3...9 2.3.1...10 2.3.2... 11 2.3.3...12 2.3.4...12

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM3316/3315 MCU 2017.06 FM3316/3315 MCU 2.21 (http://www.fmsh.com/) FM3316/3315 MCU 2.22 ... 3 1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.3.1... 6 2.3.2... 6 2.4... 9 2.5... 10 2.5.1 LQFP64... 10 2.5.2

More information

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg 1 1 a. 0.5V 5V 1Hz 1MHz b. 0.1% 2 : a. 0.5V 5V 1Hz 1MHz b. 0.1% (3) a. 0.5V 5V 100 s b. 1% 4 1 10 5 1MHz 6 1 2 1 0.1Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15

More information

深圳明和科技

深圳明和科技 500mA 同步降压 DC/DC 转化器 ME3101 系列 描述 : ME3101 是一款同步整流降压型 DC/DC 内置 0.6ΩPMOS 驱动管和 0.7ΩNMOS 开关管 兼容陶瓷电容, 外部只需一只电感和两只电容, 可高效率的输出 500mA 内置振荡器电路, 振荡频率可达 1.2MHZ ME3101 为 PFM/PWM 型自动开关控制模式, 在满载时也能快速响应, 达到纹波小, 效率高的效果

More information

GW EDA VHDL VHDL VHDL VHDL ADC009 0 FPGA PC GW EDA a GW EDA beda README.TXT c d 0 e J MZH +V GND -V D/A +V GND S JA J D D D D D D D D C K J J VGA VGA B EDA JB B J HC B RS- CON CON HC PS/ CPLD/FPGA J RS-

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小由外接电阻来调整 封装图 SSOP24L-0.635-D1.40 (mm) ( SM16125ES ) 特点 16 通道恒流源输出 电流输出大小不因输出端负载电压变化而变化

More information

XT1861

XT1861 同步升压 DC-DC 变换器 产品概述 XT1861 系列产品是一款低功耗高效率 低纹波 工作频率高的 PFM 控制升压 DC-DC 变换器 XT1861 系列产品仅需要 3 个外部元器, 即可完成低输入的电池电压输入 用途 1~3 个干电池的电子设备 数码相机 电子词典 ED 手电筒 ED 灯 血压计 MP3 遥控玩具 无线耳机 无线鼠标键盘 医疗器械 防丢器 汽车防盗器 充电器 VCR PD 等手持电子设备

More information

R/W

R/W (HD44780 KS0066 ) 3 3 5 6 10 14 HD44780/KS0066 16 2 LCM 8 1 40 4 LCD HD44780/KS0066 HD44100 IC PCB 0.1 CMOS 1. 2. 3. 4. 5. RH60% 6. 1. 2. 3. PCB 3 4. 5. 6. 1. 280 C 2. 3 4s 3. 4. 5. 3 5 1. 2. IC 3. DC-DC

More information

行业

行业 PCL-818HD/HG/L PCL-818HD/HG/L 1.1...2 1.1.1 /...2 1.1.2 ID...2 1.2...3 1.3...3 2.1...3 2.2...3 2.2.1...4 2.2.2...4 2.2.3 DMA...5 2.2.4...5 2.2.5 D/A...5 2.2.6...6 2.2.7 EXE.trigger GATE0...6 2.2.8 FIFO

More information

MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C

MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C MSO MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C 03 Keysight MSO MSO MSO DSO holdoff infinite-persistence / de-skew MSO 1 MSO MSO MSO MSO MCU DSP 1

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

技术文档

技术文档 地址 : 深圳市高新技术产业园南区高新南一道国微大厦 5 楼 ADD: Shenzhen High-tech Industrial Park,South Area GaoxinS.Ave.1 st,guowei Building. 电话 Tel:0755-26991331 传真 Fax:0755-26991336 邮编 :518057 网址 :www.chinaasic.com LED 恒流驱动芯片

More information

Electrical and Optical Clock Data Recovery Solutions - Data Sheet

Electrical and Optical Clock Data Recovery Solutions - Data Sheet 32 GBd N1076A 32 GBd N1077A / 64 GBd N1076B 64 GBd N1078A / 64 GBd NRZ PAM4 O/E < 100 fs RMS JSA PLL ...3...4...4...5 /...6...8...11 N1076A...12 N1076B DCA-M...13 N1077A...15 N1078A DCA-M...17...21...

More information

行业

行业 PCI-1711/1711L 1.1...2 1.1.1...2 1.1.2...2 1.1.3 FIFO...2 1.1.4...2 1.1.5 16 16...3 1.2...3 2.1...3 2.2...3 2.2.1... 2.2.2...8 2.3...10 2.3.1...10 2.3.2... 11 2.3.3...12 2.3.4...13 2.4.5...14 3.1...16

More information

untitled

untitled 0755 85286856 0755 82484849 路 4.5V ~5.5V 流 @VDD=5.0V,

More information

xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结!

xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结! xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结! 以下是串口的时序 : 在设计中, 耽误时间最长的问题就是数据老是出错, 为了找出问题的所在, 用示波器观察了

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x 115 AVR W.V. Awdrey ATMEL AVR PIC AVR PIC AVR RISC AVR PIC AVR AVR AVR AVR AVR ATtiny15 AVR AVR AVR RAM ROM 121 116 122 ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r31 3 16 X Y Z 6-1 118 7 0

More information

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD 1/16 T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma (@T = 25 C, @Vdd = 3V) VDD 1.8 3.0 3.6 V (1) 0.08 0.3 µa Idd 300 450 500 µa 0.25

More information

供充放一体电源及充电电源手册_0_.doc

供充放一体电源及充电电源手册_0_.doc 2010. 05 供充放一体电源及充电电源 适用于铅酸电池 锂电池 镍氢电池 实现不间断供电 采用自动均 / 浮充 恒流 / 恒压 零电流 -ΔV 检测 / 涓充 / 滴充技术 提供各种保护 显示各种工作状态 目录 SCD 供充放一体电源 ( 锂电池系列 )-----------1 SCD 供充放一体电源 ( 铅酸电池系列 )----------3 C 充电电源 ( 镍氢电池系列 )-------------------------5

More information

同步网络中的高性能线卡时钟解决方案

同步网络中的高性能线卡时钟解决方案 Application Report ZHCA493 JAN 2013 同 步 网 络 中 的 高 性 能 线 卡 时 钟 解 决 方 案 Shawn Han; Steven Shi China Telecom Application Team 摘 要 作 为 一 种 高 性 能 低 成 本 的 线 卡 时 钟 解 决 方 案,LMK04800 可 以 实 现 传 统 的 SDH/SONET 系 统

More information

Microsoft Word - P SDV series.DOC

Microsoft Word - P SDV series.DOC 片式压敏电阻器 SDV 系列 Chip SDV Series Operating Temp. : -55 ~ +125 特征 SMD 结构适合高密度安装 优异的限压比, 响应时间短 (

More information

A5katalog_fina CNl.indd

A5katalog_fina CNl.indd System DIO 2000 工 业 测 量 系 统 的 完 整 解 决 方 案 STARMANS DIO 2000 软 件 DIO 2000 一 般 功 能 该 系 统 的 超 声 通 道 设 计 为 独 立 的 超 声 插 入 单 元 ( 模 块 ), 每 个 模 块 都 有 独 立 的 微 处 理 器 控 制 和 信 号 处 理 功 能 插 入 单 元 ( 尺 寸 为 100 x 160 毫

More information

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 :

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 : SA 系列 / C-Link 产品特点 引用标准 : IEC 61071 结构 : 金属化聚丙烯膜结构 封装 : 聚酯胶带, 树脂填充 电气特性 工作温度 : - 40 至 + 85 C 范围 : 15 至 500μF 额定电压 : 500 至 1100 VC 偏差 : ± 5%, ± 10% 损耗因素 : 2 10-3 @100z 20±5 C 预期寿命 : 100,000 小时 @Un, 70

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family small, speedy, safe Eextremely modular Up to 64 modules per bus de Quick reaction time: up to 20 µs A new Member of the Cube Family Murrelektronik s modular I/O system expands the field-tested Cube family

More information

ETA104 数据采集模块数据手册

ETA104 数据采集模块数据手册 Emtronix ETA104 数据采集模块数据手册 1. 概述 1.1 ETA104 介绍 ETA104 模拟数据采集模块 ( 下文简称 :ETA104 模块 ) 是基于英创公司 SBC840 工控应用底板 符合 DM5028 标准应用扩展模块 ETA104 模块上的模数转换功能, 采用 ADS7871 模拟信号转换芯片, 占用 ESMARC 工控主板的 SPI 总线进行通讯, 最高可实现 48K

More information

发展党员材料填写参考(上网).doc

发展党员材料填写参考(上网).doc 华 中 科 技 大 学 党 员 发 展 材 料 填 写 参 考 中 共 华 中 科 技 大 学 委 员 会 组 织 部 2010 年 3 月 31 日 目 录 1 党 员 发 展 材 料 一 览 表... 1 2 入 党 志 愿 书 填 写 参 考... 2 3 申 请 入 党 积 极 分 子 培 养 考 察 登 记 表 填 写 参 考... 13 4 华 中 科 技 大 学 发 展 党 员 综 合

More information

内部结构框图 SDI LSB 16Bit 移位寄存器 (1 16Bit 通道 ) MSB 0 15 LSB MSB 输出开 / 关数据锁存 (1 16Bit 通道 ) 0 15 R-EXT 16 通道恒流输出驱动 OUT0 OUT1 OUT14 OUT15 图 2 管脚信息 SDI OUT0 OUT

内部结构框图 SDI LSB 16Bit 移位寄存器 (1 16Bit 通道 ) MSB 0 15 LSB MSB 输出开 / 关数据锁存 (1 16Bit 通道 ) 0 15 R-EXT 16 通道恒流输出驱动 OUT0 OUT1 OUT14 OUT15 图 2 管脚信息 SDI OUT0 OUT 特性描述 是 D 显示面板设计的驱动 IC, 它内建的 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成平行输出数据格式 具有 16 个电流源, 可以在每个输出端口提供 2~60mA 恒定电流量以驱动 D, 每个 OUT 驱动通道输出可短接后得到更大的电流输出, 且当环境发生变化时, 对其输出电流影响很小 同时可以选用不同阻值 (REXT) 的外接电阻来调整 各输出端口的电流大小, 因此,

More information

SM16126 LED 显示屏驱动 ICIGGS2011V1.2 SM16126 概述 封装信息 SM16126 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据 产品名称 封装形式 塑封体尺寸 (mm) 脚间距 (mm) 转换成并行输出数据格式

SM16126 LED 显示屏驱动 ICIGGS2011V1.2 SM16126 概述 封装信息 SM16126 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据 产品名称 封装形式 塑封体尺寸 (mm) 脚间距 (mm) 转换成并行输出数据格式 SM16126 概述 封装信息 SM16126 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据 产品名称 封装形式 塑封体尺寸 (mm) 脚间距 (mm) 转换成并行输出数据格式 SM16126 工作电压为 3.3V 5V, 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流 ; 且单颗 IC 片内输出通道的电流差异小于 ±3%;

More information

´®ÐжàͨµÀ10λA/Dת»»Æ÷TLC1543¼°ÆäÔÚµçѹÊý¾Ý²É¼¯ÏµÍ³ÖеÄÓ¦ÓÃ

´®ÐжàͨµÀ10λA/Dת»»Æ÷TLC1543¼°ÆäÔÚµçѹÊý¾Ý²É¼¯ÏµÍ³ÖеÄÓ¦Óà 电子世界 杂志 串行 A/D 转换器 TLC1543 及其应用 严天峰 TLC1543 是美国 TI 公司生产的多通道 低价格的模数转换器 采用串行通信接口, 具有输入通道多 性价比高 易于和单片机接口的特点, 可广泛应用于各种数据采集系统 TLC1543 为 20 脚 DIP 封装的 CMOS 10 位开关电容逐次 A/D 逼近模数转换器, 引脚排列如图 1 所示 其中 A0~A10(1~9 11

More information

ARK-14013/14017/14018

ARK-14013/14017/14018 ARK-24017F 8 ARK-24017F : 8 2 ii 1997~2000,,,,,, 1...3 1.1 ARK...3 1.2 ARK-24017F...3 ARK-24017F?...3 ARK-24017F...3 ARK-24017F...3 ARK-24017F...5 ARK-24017F...6...7 2.1...7 2.2...7 ARK...7...8...8...8...9

More information

感 谢 您 选 购 安 富 莱 电 子 的 产 品! 安 富 莱 AX-Pro 逻 辑 分 析 仪 和 示 波 器 是 一 款 基 于 USB2.0 高 速 接 口 的 虚 拟 仪 器, 是 电 子 工 程 师 和 软 件 工 程 师 常 用 的 一 种 电 路 分 析 和 软 件 协 议 分 析

感 谢 您 选 购 安 富 莱 电 子 的 产 品! 安 富 莱 AX-Pro 逻 辑 分 析 仪 和 示 波 器 是 一 款 基 于 USB2.0 高 速 接 口 的 虚 拟 仪 器, 是 电 子 工 程 师 和 软 件 工 程 师 常 用 的 一 种 电 路 分 析 和 软 件 协 议 分 析 安 富 莱 AX-Pro 逻 辑 分 析 仪 和 示 波 器 用 户 手 册 版 本 :V1.5 2012-04-19 购 买 链 接 :http://armfly.taobao.com 第 1 页 共 87 页 感 谢 您 选 购 安 富 莱 电 子 的 产 品! 安 富 莱 AX-Pro 逻 辑 分 析 仪 和 示 波 器 是 一 款 基 于 USB2.0 高 速 接 口 的 虚 拟 仪 器,

More information

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica CP Chip Power ARM Cortex-M3 (STM32F) ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplication and hardware

More information

ADuCM360/ADuCM36 目录特性... 应用... 修订历史...2 概述...3 功能框图...4 技术规格... 6 微控制器电气规格... 6 ADC0 和 ADC 的均方根噪声分辨率... I 2 C 时序规格... 5 SPI 时序规格... 6 绝对最大额定值... 8 热阻.

ADuCM360/ADuCM36 目录特性... 应用... 修订历史...2 概述...3 功能框图...4 技术规格... 6 微控制器电气规格... 6 ADC0 和 ADC 的均方根噪声分辨率... I 2 C 时序规格... 5 SPI 时序规格... 6 绝对最大额定值... 8 热阻. 集成双通道 Σ-Δ 型 ADC 和 ARM Cortex-M3 的低功耗精密模拟微控制器 ADuCM360/ADuCM36 产品特性模拟输入 / 输出双通道 24 位 ADC (ADuCM360) 单通道 24 位 ADC (ADuCM36) 可编程 ADC 输出速率 (3.5 Hz 至 3.906 khz) 50 Hz/60 Hz 同步噪声抑制 50 SPS 连续转换模式 6.67 SPS 单次转换模式所有

More information

PowerPoint 簡報

PowerPoint 簡報 影像讯号输出信息 1. 参考表格内外接屏幕输出栏位中连接的输出接口及所支持的屏幕分辨率和屏幕刷新率 2. 影像讯号输出栏位提供各输出接口数量及版本 3. 参考表格内使用的缩写列表 5. 欲使用 3D 蓝光影片播放, 须符合下列需求 一台读取 2X 或更快速的蓝光光驱 一套支持 3D 蓝光影片播放软件 ( 例如 PowerDVD Ultra 版本或任何 3D 播放软件 ) 3. 欲了解特定输出接口与哪张显示芯片连接

More information

AT9280CH

AT9280CH 芯片描述 是单芯片 单电源 8bit 32MSPS 模数转换器 ; 内部集成了采样保持放大器和电源基准源 使用多级差分流水线架构保证了 32MSPS 数据转换数率下全温度范围内无失码 的输入适合图像视频和通信系统应用 ; 用户可以根据实际需要选择单端输入或者差分输入, 也可根据需要选择输入范围和消除失调 内部集成的采样保持放大器, 既适合复用系统又适合开关全波电压范围的连续信道, 采样单信道输入频率可以超过奈奎斯特频率

More information

Embargoed until May 4, 2004 EXPRESS 40 NI HQ 3000 1000 5000 ~ 500 10% / 500 85% NI LabVIEW 7 Express Express EXPRESS : #1 GPS Navigation PC/WWW/Email CD+RW Mobile Phone PDA DVD+RW Satellite Car Alarm/Radio

More information

Microsoft Word - BL5372_UMAN_V1.1.doc

Microsoft Word - BL5372_UMAN_V1.1.doc 低 功 耗 实 时 时 钟 芯 (RTC)BL5372 用 户 手 册 V1.2 ( 2012.11.12) 上 海 贝 岭 股 份 有 限 公 司 Shanghai Belling Co., Ltd. 1. 概 述 低 功 耗 实 时 时 钟 芯 片 (RTC)BL5372 BL5372 是 一 款 低 功 耗 实 时 时 钟 电 路, 通 过 I 2 C 两 线 接 口 电 路 可 以 与 CPU

More information

USB解决方案.ppt

USB解决方案.ppt USB USB? RS232 USB USB HID U modem ADSL cable modem IrDA Silabs USB CP210x USB UART USB RS-232 USB MCU 15 USB 12 FLASH MCU 3 USB MCU USB MCU C8051F32x 10 ADC 1.5%, Vref CPU 25MIPS 8051 16KB Flash -AMUX

More information

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC MODEL 61509/61508/61507/ 61609/61608/61607 PROGRAMMABLE AC POWER SOURCE MODEL 61509/61508/61507/ 61609/61608/61607 61509/61609: 6kVA 61508/61608: 4.5kVA 61507/61607: 3kVA : 0-175V/0-350V/Auto : DC, 15Hz-2kHz

More information

1 CPU

1 CPU 2000 Tel 82316285 82317634 Mail liuxd@buaa.edu.cn 1 CPU 2 CPU 7 72 A B 85 15 3 1/2 M301 2~17 : 3/4 1/2 323 IBM PC 1. 2. 3. 1. 2. 3. 1.1 Hardware Software 1.2 M3 M2 M1 1.2 M3 M1 M2 M2 M1 M1 M1 1.2 M3 M1

More information

Specification of the 13.56MHz RFID card reader/writer

Specification of the 13.56MHz RFID card reader/writer Specification of the 13.56MHz RFID card reader/writer 3.1 RFID RFID card...4 3.2 13.56MHz RFID 13.56MHz RFID card reader/writer...4 4.1...4 4.2...7 4.3...7 4.4...7 4.5...8 4.6...8 4.7...9 4.8...9 4.9...9

More information

第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1 ) + ; & ).& &+ 1 & / ) 5 93 & 9 *67893: + 99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!"#$%&'!"#$%&' '& ( ')*&+,& '(-./01 &

第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1 ) + ; & ).& &+ 1 & / ) 5 93 & 9 *67893: + 99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!#$%&'!#$%&' '& ( ')*&+,& '(-./01 & 第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1)+ ; &).& &+ 1&/) 593 & 9 *67893:+99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!#$%&'!#$%&''&(')*&+,& '(-./01&+ -2 3456-78&9:;'& &'

More information

Microsoft Word - HTL7G06S009P_V2.3_CH.doc

Microsoft Word - HTL7G06S009P_V2.3_CH.doc Document Number: HTL7G6S9P Product Data Sheet Rev. 2.3, 1/17 LDMOS 射频功率晶体管 HTL7G6S9P 1. 产品描述 HTL7G6S9P 是一款为 VHF/UHF 频段射频功率放大器而设计的 LDMOS 射频功率晶体管 器件内部集成静电保护电路 1-6MHz, 8W, 7.2V WIDE BAND RF POWER LDMOS TRANSISTOR

More information

<4D F736F F F696E74202D AD4955D89BF8FDA8DD790E096BE C835B E707074>

<4D F736F F F696E74202D AD4955D89BF8FDA8DD790E096BE C835B E707074> e-trace 132 1617 1872 p32-12 1/71 2/71 . GPS AIST 17 1-12 p32-39 3/71 GPS NMIJGPSGPS time GPS #N 1 GPS #N 2 GPS GPS #N 3 TA TA GPS _ time TA T T GPS _ time T T AB B A B T T T T ( T TB) B A B B A 4/71 16

More information

<4D6963726F736F667420576F7264202D20ACFCAE65A4FEAFC52DB2C4A447B3A1A5F73130302E31312E3239A44AAE77AAA9B6C2A5D52E646F63>

<4D6963726F736F667420576F7264202D20ACFCAE65A4FEAFC52DB2C4A447B3A1A5F73130302E31312E3239A44AAE77AAA9B6C2A5D52E646F63> 技 術 士 技 能 檢 定 美 容 職 類 丙 級 術 科 測 試 應 檢 參 考 資 料 試 題 編 號 :10000-920301 審 定 日 期 : 92 年 09 月 15 日 修 訂 日 期 : 99 年 10 月 29 日 修 訂 日 期 :100 年 11 月 29 日 技 術 士 技 能 檢 定 美 容 職 類 丙 級 術 科 測 試 應 檢 參 考 資 料 目 錄 ( 第 二 部

More information

Microsoft Word - FM320X简介

Microsoft Word - FM320X简介 FM320X 低压电力线载波通信芯片 2013. 12 FM3203 低压电力线载波通信芯片 版本 1.0 1 本资料是为了让用户根据用途选择合适的上海复旦微电子集团股份有限公司 ( 以下简称复旦微电子 ) 的产品而提供的参考资料, 不转让属于复旦微电子或者第三者所有的知识产权以及其他权利的许可 在使用本资料所记载的信息最终做出有关信息和产品是否适用的判断前, 请您务必将所有信息作为一个整体系统来进行评价

More information

行业

行业 PCI-1716/1716L 1.1...2 1.1.1...2 1.1.2 / /SD*/BU*...2 1.1.3 FIFO( )...2 1.1.4...2 1.1.5 16 16...3 1.1.6...3 1.1.7 ID...3 1.2...3 2.1...3 2.2...4 2.2.1...4 2.2.2...6 2.3... 11 2.3.1... 11 2.3.2...12 2.3.3...13

More information

换向阀 线圈系列 CO1 产品手册

换向阀 线圈系列 CO1 产品手册 系列 CO1 产品手册 2 Rexroth Pneumatics 系列 CO1 线圈宽度 30 mm A 型 3 线圈宽度 22 mm B 型 5 线圈宽度 15 mm 类型 C, 线圈组 7 线圈宽度 15 mm M8, 线圈组 9 补充性产品, 系列 CO1 线圈 online Rexroth Pneumatics 3 线圈宽度 30 mm A 型 标准化电路接口 EN 175301-803,

More information

Microsoft Word - V1_2010513_王翔会计习题课二.docx

Microsoft Word - V1_2010513_王翔会计习题课二.docx 2015 注 册 会 计 师 会 计 习 题 班 二 王 翔 肆 大 会 计 高 级 培 训 师 第 二 章 金 融 资 产 1.A 公 司 于 2013 年 1 月 2 日 从 证 券 市 场 上 购 入 B 公 司 于 2013 年 1 月 1 日 发 行 的 债 券, 该 债 券 3 年 期, 票 面 年 利 率 为 4.5%, 到 期 日 为 2016 年 1 月 1 日, 到 期 日 一

More information

Tel:010-62981668-2930 1

Tel:010-62981668-2930  1 Access 93C46 with SPI function V1.0.0 Jan. 31, 2005 http://www.sunplusmcu.com Tel:010-62981668-2930 http://www.sunplusmcu.com E-mail:mcu@sunplus.com.cn 1 0 0...2 1...3 2...4 2.1...4 2.2...5 3...6 3.1 AT93C46...6

More information

CD4066中文资料:CD4066是四双向模拟开关,主要用作模拟或数字信号的多路传输。引出端排列与CC4016一致,但具有比较低的导通阻抗。另外,导通阻抗在整个输入信号范围内基本上变。CD4066由四个相互独立的双向开关组成,每个开关有一个控制信号,开关中的p和n器件在控制信号作用下同时开关。这种结

CD4066中文资料:CD4066是四双向模拟开关,主要用作模拟或数字信号的多路传输。引出端排列与CC4016一致,但具有比较低的导通阻抗。另外,导通阻抗在整个输入信号范围内基本上变。CD4066由四个相互独立的双向开关组成,每个开关有一个控制信号,开关中的p和n器件在控制信号作用下同时开关。这种结 CD466 中文资料 CD466 的引脚功能下图所示 每个封装内部有 4 个独立的模拟开关 每个模拟开关有输入 输出 控制三个端子 其中输入端和输出端可互换 当控制端加高电平时 开关导通 ; 当控制端加低电平时开关截止 模拟开关导通时 导通电阻为几十欧姆 ; 模拟开关截止时 呈现很高的阻抗 可以看成为开路 模拟开关可传输数字信号和模拟信号 可传输的模拟信号的上限频率为 4 各开关间的串扰很小 典型值为

More information

浙江朗威微系统有限公司

浙江朗威微系统有限公司 浙江朗威微系统有限公司 LW54123 漏电保护专用集成电路说明书 1 订购须知 联系信息 浙江朗威微系统有限公司 芯片名称 描述 封装类型 LW54123 高速对地漏电保护集成电路 P8/OP8 Add:No. 99 Huaxing Road, Hangzhou East oftware Park Building, 4th Floor enture Building 浙江省杭州市华星路 99 号东部软件园创业大厦

More information

audiogram3 Owners Manual

audiogram3 Owners Manual USB AUDIO INTERFACE ZH 2 AUDIOGRAM 3 ( ) * Yamaha USB Yamaha USB ( ) ( ) USB Yamaha (5)-10 1/2 AUDIOGRAM 3 3 MIC / INST (XLR ) (IEC60268 ): 1 2 (+) 3 (-) 2 1 3 Yamaha USB Yamaha Yamaha Steinberg Media

More information

甄試報告1125.PDF

甄試報告1125.PDF LabVIEW LabVIEW Laboratory Virtual Instrument Engineering Workbench G LabVIEW DAQ LabVIEW LabVIEW LabVIEW LabVIEW ph LabVIEW DAQ LabVIEW PZT LabVIEW / =2 10-8 1 LabVIEW DAQ LabVIEW DAQ DAQ LabVIEW DAQ

More information

AD87/AD88 目 录 技 术 规 格... 3 绝 对 最 大 额 定 值... 6 最 大 功 耗... 6 ESD 警 告... 6 典 型 工 作 特 性... 8 工 作 原 理... 7 输 入 级... 7 交 越 选 择... 7 输 出 级... 8 直 流 误 差... 8

AD87/AD88 目 录 技 术 规 格... 3 绝 对 最 大 额 定 值... 6 最 大 功 耗... 6 ESD 警 告... 6 典 型 工 作 特 性... 8 工 作 原 理... 7 输 入 级... 7 交 越 选 择... 7 输 出 级... 8 直 流 误 差... 8 低 失 真 高 速 轨 到 轨 输 入 / 输 出 放 大 器 AD87/AD88 特 性 高 速 3 db 带 宽 :9 MHz (G = ) 压 摆 率 : V/μs 低 失 真 SFDR: dbc @ MHz SFDR:8 dbc @ 5 MHz 可 选 输 入 交 越 阈 值 低 噪 声 4.3 nv/ Hz.6 pa/ Hz 低 失 调 电 压 :9 µv( 最 大 值 ) 低 功 耗 :

More information

行业

行业 PCI-1713 1.1...2 1.1.1...2 1.1.2...2 1.1.3...2 1.1.4...2 1.2...2 1.3...3 1.4...3 2.1...4 2.2...4 2.2.1...4 2.2.2...6 2.3... 11 2.3.1... 11 3.1...13 3.1.1...13 3.1.2...14 3.2...15 4.1 4.2...16 4.2.1 ADSOFT/ADTRIG...16

More information

DS_MM32P021_Ver1.9.0

DS_MM32P021_Ver1.9.0 产品手册 Datasheet MM32P021 32 位基于 ARM Cortex M0 核心的微控制器 版本 :1.9.0 保留不通知的情况下, 更改相关资料的权利 目录 1. 总介... 5 1.1 概述... 5 1.2 产品特性... 5 2. 规格说明... 6 2.1 器件对比... 6 2.2 功能简述... 6 3. 引脚定义... 7 3.1 引脚配置... 7 3.2 引脚描述...

More information

5991-1117CHCN.indd

5991-1117CHCN.indd 开 关 电 源 测 量 应 用 指 南 使 用 Agilent InfiniiVision 3000/4000 X 系 列 示 波 器 并 结 合 开 关 电 源 测 量 选 件 简 介 配 有 开 关 电 源 测 量 选 件 的 Agilent 3000 和 4000 X 系 列 示 波 器 能 够 提 供 一 个 快 速 且 方 便 的 方 法, 帮 助 您 分 析 开 关 电 源 的 可 靠

More information

(02)2809-4742 (02)2809-4742 27 28 (02)2809-4742 85 3 (02)3343-3300 156 12 (02

(02)2809-4742 (02)2809-4742 27 28 (02)2809-4742 85 3 (02)3343-3300  156 12 (02 3475 http://mops.tse.com.tw http://www.ic-fortune.com (02)2809-4742 denis.lee@ic-fortune.com (02)2809-4742 nanhui.lee@ic-fortune.com 27 28 (02)2809-4742 85 3 (02)3343-3300 http://www.fhs.com.tw 156 12

More information

技术参数 Technical Data 额定电压 / 频率 Voltage/Frequency AC220V/50Hz AC110V/60Hz 功率 Power 70W 70W 空载流量 Free flowing 7.0L/M 7.0L/M 最大压力 Max. pressure 2.4Bar 2.4

技术参数 Technical Data 额定电压 / 频率 Voltage/Frequency AC220V/50Hz AC110V/60Hz 功率 Power 70W 70W 空载流量 Free flowing 7.0L/M 7.0L/M 最大压力 Max. pressure 2.4Bar 2.4 膜片式气泵 Diaphragm Pumps for Gas DA70AC 系列 (Series DA70AC) 特点 Features 无油润滑 Oil-Free 耐腐蚀性 Corrosionresistant 免维护 Maintenance Free 低噪音低振动 Low noise level&vibration 可以任何方向安装 Can be mounted in any plane 应用 Typical

More information

ST 240MHz D/A SFDR 50MH 1MH 70dB 140MH 40HM -53dB RS-343A/RS-170 DA 2mA 26mA TTL 1.23 +5/+3.3 48LQFP 3 30mW 3 6mW -40 0 C +85 DA TTL 5 3.3 1 SYNC 2BLANK 5CMOS CMOS 48 LQFP shenzhenshuianjichengdianlu www.szsjcdl.com

More information

MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需

MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需 MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需检测电阻, 能检测交直流电流和外围电路简单 检测电流方案为电流传感器 APCL-C784 和 C79B

More information

上海高通半导体有限公司 GT20L16J1Y 标准点阵汉字库芯片 GT20L16J1Y 标准点阵汉字库芯片 V

上海高通半导体有限公司 GT20L16J1Y 标准点阵汉字库芯片 GT20L16J1Y 标准点阵汉字库芯片 V GT20L16J1Y 标准点阵汉字库芯片 V1.1 2015-5 1 版本修订记录 版本号修改内容日期备注 V2.0I_A Datasheet 格式的修改 2010-07 V2.0I_B Datasheet 格式的修改 2015-05 2 目 录 1 概述... 4 1.1 1.2 1.3 芯片特点... 4 芯片内容... 5 字型样张... 6 2 操作指令... 8 2.1 Instruction

More information

untitled

untitled 2006-4-25 2006-4-26 2 2006-4-26 3 20 50 6 2006-4-26 4 µ 2006-4-26 5 CERN LEP/LHC 2006-4-26 6 L3 Detector 2006-4-26 7 2006-4-26 8 ATLAS Detector (A Toroidal LHC ApparatuS) 2006-4-26 9 CMS Detector 2006-4-26

More information

A (QFP-48-12x ) RANGE IF70K AL-OUT AL-SIG VSS CLKFREQ-SEL HR-SET MIN-SET AM/FM-SEL AL-DISP A AL-

A (QFP-48-12x ) RANGE IF70K AL-OUT AL-SIG VSS CLKFREQ-SEL HR-SET MIN-SET AM/FM-SEL AL-DISP A AL- AM/FM SC361 0 AM/FM 12 CMOS QFP-48-12x12-0.8 FM 150M Hz AM 30 MHz LCD 3 13 1/3 4 32.768kHz FM 10.7 MHz 70 khz AM 455kHz 12 1.8V ~ 3.3V QFP44-10 x10-0.8 A B C D QFP-48-12x12-0.8 QFP-44-10x10-0.8 COB (QFP

More information

PowerPoint Presentation

PowerPoint Presentation 7.2 并行接口 二. 可编程并行接口芯片 8255A 的功能及其应用 与 CPU 一侧相连的引脚 D0 PA0 ~ ~ ~ ~ ~ D7 PA7 RESET PC7 WR RD PC4 PC3 PC0 A0 PB0 A1 PB7 CS PA 口 PC 口上半部 PC 口下半部 PB 口 A 组 B 组 与 I/O 设备相连的引脚 7.2 并行接口二. 可编程并行接口芯片 8255A 的功能及其应用

More information

DS1870 rev1 DS.C

DS1870 rev1 DS.C Rev 1; 5/4 AB LDMOS ( ) (LUT)256 A/D(ADC) LDMOS RF 13 ADCV CC V D I D1 I D2 ADC Hi/Lo 32 I 2 C TM 8 5V 16 TSSOP -4 C +95 C I 2 C Philips Corp. Maxim Integrated Products, Inc. I 2 C Philips I 2 C Philips

More information

IC芯片自主创新设计实验

IC芯片自主创新设计实验 IC 芯片自主创新设计实验 设计报告 设计题目 : 格雷码计数器芯片设计 设计学生 : 吴东生 ( 集成电路 ) 景国新 ( 固体电子 ) 林道明 ( 集成电路 ) 连维重 ( 集成电路 ) 施望 ( 集成电路 ) 刘锦秀 ( 集成电路 ) 刘中伟 ( 集成电路 ) 李梦宁 ( 集成电路 ) 指导教师 : 阮爱武 杜涛 指导单位 : 电子设计自动化技术 课程组 一 格雷码计数器芯片设计概述 功能描述

More information

Absolute Maximum Ratings Voltage Range on Any Pin Relative to Ground V to +6.0V Operating Temperature Range (Noncondensing) C to +85 C St

Absolute Maximum Ratings Voltage Range on Any Pin Relative to Ground V to +6.0V Operating Temperature Range (Noncondensing) C to +85 C St 19-6682; Rev 0; 5/13 备 有 评 估 板 DS1339B 概 述 DS1339B 串 行 实 时 时 钟 (RTC) 是 低 功 耗 时 钟 / 日 期 器 件, 带 有 两 路 可 编 程 日 期 / 时 间 计 时 闹 钟 和 一 路 可 编 程 方 波 输 出 通 过 I 2 C 总 线 串 行 传 输 数 据 和 地 址 时 钟 / 日 期 提 供 秒 分 时 星 期 日

More information

上海高通半导体有限公司 GT21L24S1W 标准点阵汉字库芯片 GT21L24S1W 标准点阵汉字库芯片 V

上海高通半导体有限公司 GT21L24S1W 标准点阵汉字库芯片 GT21L24S1W 标准点阵汉字库芯片 V GT21L24S1W 标准点阵汉字库芯片 V1.1 2015-5 1 版本修订记录 版本号修改内容日期备注 V35 12X24 点国际扩展字符 2010-07 12x24 点国标扩展字符起始地址 2010-07 V36 内容没有调整 2010-08 V37 修改字型样张 2012-01 修改内容表 2012-01 V3.7I_A DATASHEET 格式修改 2012-07 V3.7I_B DATASHEET

More information

上海高通半导体有限公司 GT30L32S4W 标准点阵汉字库芯片 GT30L32S4W 标准点阵汉字库芯片 V

上海高通半导体有限公司 GT30L32S4W 标准点阵汉字库芯片 GT30L32S4W 标准点阵汉字库芯片 V GT30L32S4W 标准点阵汉字库芯片 V1.1 2015-5 1 版本修订记录 版本号修改内容日期备注 V 1.0I_A 规格书制定 2012-04 V 1.0I_B 规格书格式修改 2015-05 2 目 录 1 概述... 4 1.1 1.2 1.3 芯片特点... 4 芯片内容... 5 字型样张... 6 1.3.1 汉字字符... 6 1.3.2 其它点阵字符... 7 2 操作指令...

More information

杭州言曼科技有限公司 CB01 子卡说明文档 ( 对外发布 ) CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别 CB01 标配版本和低成本区别 CB01 结构信息

杭州言曼科技有限公司 CB01 子卡说明文档 ( 对外发布 )   CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别 CB01 标配版本和低成本区别 CB01 结构信息 CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别... 2 2. CB01 标配版本和低成本区别... 2 3. CB01 结构信息... 3 4. CB01 功耗信息... 3 5. LED 显示... 3 6. 按键... 4 7. 拨码开关... 4 8. USB 串口 - 型号 :CP2102... 4 9. 输入时钟... 4 10. I2C

More information

Applications

Applications 概述 FM6126/FM6126Q 是一款专为 LED 模块和显示器设计的驱动 IC, 具有 16 路恒定的电流输出驱动能力 FM6126/FM6126Q 是一款专为 LED 显示屏设计的驱动 IC, 采用 16 路恒流灌电流输出 FM6126/FM6126Q 采用了 输出钳位 专利技术, 可以有效消除第一行偏暗现象, 同时可以防止灯珠损坏 同时 FM6126/FM6126Q 具有极佳的抗干扰特性,

More information