at89S52.doc

Size: px
Start display at page:

Download "at89S52.doc"

Transcription

1 主要性能 与 MCS-51 单片机产品兼容 8K 字节在系统可编程 Flash 存储器 1000 次擦写周期 全静态操作 :0Hz~33Hz 三级加密程序存储器 32 个可编程 I/O 口线 三个 16 位定时器 / 计数器 八个中断源 全双工 UART 串行通道 低功耗空闲和掉电模式 掉电后中断可唤醒 看门狗定时器 双数据指针 掉电标识符 8 位微控制器 8K 字节在系统可编程 Flash R 功能特性描述 AT89S52 是一种低功耗 高性能 CMOS8 位微控制器, 具有 8K 在系统可编程 Flash 存储器 使用 Atmel 公司高密度非易失性存储器技术制造, 与工业 80C51 产品指令和引脚完全兼容 片上 Flash 允许程序存储器在系统可编程, 亦适于常规编程器 在单芯片上, 拥有灵巧的 8 位 CPU 和在系统可编程 Flash, 使得 AT89S52 为众多嵌入式控制应用系统提供高灵活 超有效的解决方案 AT89S52 具有以下标准功能 :8k 字节 Flash,256 字节 RAM, 32 位 I/O 口线, 看门狗定时器,2 个数据指针, 三个 16 位定时器 / 计数器, 一个 6 向量 2 级中断结构, 全双工串行口, 片内晶振及时钟电路 另外,AT89S52 可降至 0Hz 静态逻辑操作, 支持 2 种软件可选择节电模式 空闲模式下,CPU 停止工作, 允许 RAM 定时器 / 计数器 串口 中断继续工作 掉电保护方式下,RAM 内容被保存, 振荡器被冻结, 单片机一切工作停止, 直到下一个中断或硬件复位为止 AT89S52 Rev /01 1

2 引脚结构 2

3 方框图 引脚功能描述 3

4 VCC : 电源 GND: 地 P0 口 :P0 口是一个 8 位漏极开路的双向 I/O 口 作为输出口, 每位能驱动 8 个 TTL 逻辑电平 对 P0 端口写 1 时, 引脚用作高阻抗输入 当访问外部程序和数据存储器时,P0 口也被作为低 8 位地址 / 数据复用 在这种模式下, P0 具有内部上拉电阻 在 flash 编程时,P0 口也用来接收指令字节 ; 在程序校验时, 输出指令字节 程序校验时, 需要外部上拉电阻 P1 口 :P1 口是一个具有内部上拉电阻的 8 位双向 I/O 口,p1 输出缓冲器能驱动 4 个 TTL 逻辑电平 对 P1 端口写 1 时, 内部上拉电阻把端口拉高, 此时可以作为输入口使用 作为输入使用时, 被外部拉低的引脚由于内部电阻的原因, 将输出电流 (I IL ) 此外,P1.0 和 P1.2 分别作定时器 / 计数器 2 的外部计数输入 (P1.0/T2) 和时器 / 计数器 2 的触发输入 (P1.1/T2EX), 具体如下表所示 在 flash 编程和校验时,P1 口接收低 8 位地址字节 引脚号 第二功能 P1.0 T2( 定时器 / 计数器 T2 的外部计数输入 ), 时钟输出 P1.1 T2EX( 定时器 / 计数器 T2 的捕捉 / 重载触发信号和方向控制 ) P1.5 MOSI( 在系统编程用 ) P1.6 MISO( 在系统编程用 ) P1.7 SCK( 在系统编程用 ) P2 口 :P2 口是一个具有内部上拉电阻的 8 位双向 I/O 口,P2 输出缓冲器能驱动 4 个 TTL 逻辑电平 对 P2 端口写 1 时, 内部上拉电阻把端口拉高, 此时可以作为输入口使用 作为输入使用时, 被外部拉低的引脚由于内部电阻的原因, 将输出电流 (I IL ) 在访问外部程序存储器或用 16 位地址读取外部数据存储器 ( 例如执行 时,P2 口送出高八位地址 在这种应用中,P2 口使用很强的内部上拉发送 1 在使用 8 位地址 ( 如 访问外部数据存储器时,P2 口输出 P2 锁存器的内容 在 flash 编程和校验时,P2 口也接收高 8 位地址字节和一些控制信号 P3 口 :P3 口是一个具有内部上拉电阻的 8 位双向 I/O 口,p2 输出缓冲器能驱动 4 个 TTL 逻辑电平 对 P3 端口写 1 时, 内部上拉电阻把端口拉高, 此时可以作为输入口使用 作为输入使用时, 被外部拉低的引脚由于内部电阻的原因, 将输出电流 (I IL ) P3 口亦作为 AT89S52 特殊功能 ( 第二功能 ) 使用, 如下表所示 在 flash 编程和校验时,P3 口也接收一些控制信号 4

5 引脚号 第二功能 P3.0 RXD( 串行输入 ) P3.1 TXD( 串行输出 ) P3.2 INT0( 外部中断 0) P3.3 INT0( 外部中断 0) P3.4 T0( 定时器 0 外部输入 ) P3.5 T1( 定时器 1 外部输入 ) P3.6 WR( 外部数据存储器写选通 ) P3.7 RD( 外部数据存储器写选通 ) RST: 复位输入 晶振工作时,RST 脚持续 2 个机器周期高电平将使单片机复位 看门狗计时完成后,RST 脚输出 96 个晶振周期的高电平 特殊寄存器 AUXR( 地址 8EH) 上的 DISRTO 位可以使此功能无效 DISRTO 默认状态下, 复位高电平有效 ALE/PROG: 地址锁存控制信号 (ALE) 是访问外部程序存储器时, 锁存低 8 位地址的输出脉冲 在 flash 编程时, 此引脚 (PROG) 也用作编程输入脉冲 在一般情况下,ALE 以晶振六分之一的固定频率输出脉冲, 可用来作为外部定时器或时钟使用 然而, 特别强调, 在每次访问外部数据存储器时,ALE 脉冲将会跳过 如果需要, 通过将地址为 8EH 的 SFR 的第 0 位置 1,ALE 操作将无效 这一位置 1, ALE 仅在执行 MOVX 或 MOVC 指令时有效 否则,ALE 将被微弱拉高 这个 ALE 使能标志位 ( 地址为 8EH 的 SFR 的第 0 位 ) 的设置对微控制器处于外部执行模式下无效 PSEN: 外部程序存储器选通信号 (PSEN) 是外部程序存储器选通信号 当 AT89S52 从外部程序存储器执行外部代码时,PSEN 在每个机器周期被激活两次, 而在访问外部数据存储器时,PSEN 将不被激活 EA/VPP: 访问外部程序存储器控制信号 为使能从 0000H 到 FFFFH 的外部程序存储器读取指令,EA 必须接 GND 为了执行内部程序指令,EA 应该接 V CC 在 flash 编程期间,EA 也接收 12 伏 V PP 电压 XTAL1: 振荡器反相放大器和内部时钟发生电路的输入端 XTAL2: 振荡器反相放大器的输出端 5

6 表 1 AT89S52 特殊寄存器映象及复位值 特殊功能寄存器 特殊功能寄存器 (SFR) 的地址空间映象如表 1 所示 并不是所有的地址都被定义了 片上没有定义的地址是不能用的 读这些地址, 一般将得到一个随机数据 ; 写入的数据将会无效 用户不应该给这些未定义的地址写入数据 1 由于这些寄存器在将来可能被赋予新的功能, 复位后, 这些位都为 0 定时器 2 寄存器 : 寄存器 T2CON 和 T2MOD 包含定时器 2 的控制位和状态位 ( 如表 2 和表 3 所示 ), 寄存器对 RCAP2H 和 RCAP2L 是定时器 2 的捕捉 / 自动重载寄存器 中断寄存器 : 各中断允许位在 IE 寄存器中, 六个中断源的两个优先级也可在 IE 中设置 6

7 表 2 T2CON: 定时器 / 计数器 2 控制寄存器 T2CON 地址为 0C8H 位可寻址 复位值 : B TF2 EXF2 RLCLK TCLK EXEN2 TR 符号 TF2 EXF2 RCLK TCLK EXEN2 TR2 功能 定时器 2 溢出标志位 必须软件清 0 RCLK=1 或 TCLK=1 时,TF2 不用置位 定时器 2 外部标志位 EXEN2=1 时,T2EX 上的负跳变而出现捕捉或重载时,EXF2 会被硬件置位 定时器 2 打开,EXF2=1 时, 将引导 CPU 执行定时器 2 中断程序 EXF2 必须如见清 0 在向下/ 向上技术模式 (DCEN=1) 下 EXF2 不能引起中断 串行口接收数据时钟标志位 若 RCLK=1, 串行口将使用定时器 2 溢出脉冲作为串行口工作模式 1 和 3 的串口接收时钟 ;RCLK=0, 将使用定时器 1 计数溢出作为串口接收时钟 串行口发送数据时钟标志位 若 TCLK=1, 串行口将使用定时器 2 溢出脉冲作为串行口工作模式 1 和 3 的串口发送时钟 ;TCLK=0, 将使用定时器 1 计数溢出作为串口发送时钟 定时器 2 外部允许标志位 当 EXEN2=1 时, 如果定时器 2 没有用作串行时钟,T2EX(P1.1) 的负跳变见引起定时器 2 捕捉和重载 若 EXEN2 =0, 定时器 2 将视 T2EX 端的信号无效 开始 / 停止控制定时器 2 TR2=1, 定时器 2 开始工作 定时器 2 定时 / 计数选择标志位 =0, 定时 ; =1, 外部事 件计数 ( 下降沿触发 ) 捕捉 / 重载选择标志位 当 EXEN2=1 时, =1,T2EX 出现负脉冲, 会引起捕捉操作 ; 当定时器 2 溢出或 EXEN2=1 时 T2EX 出现负跳变, 都 会出现自动重载操作 =0 将引起 T2EX 的负脉冲 当 RCKL=1 或 TCKL=1 时, 此标志位无效, 定时器 2 溢出时, 强制做自动重载操作 双数据指针寄存器 : 为了更有利于访问内部和外部数据存储器, 系统提供了两路 16 位数据指针寄存器 : 位于 SFR 中 82H~83H 的 DP0 和位于 84H~85 特殊寄存器 AUXR1 中 DPS=0 选择 DP0;DPS=1 选择 DP1 用户应该在访问数据指针寄存器前先初始化 7

8 DPS 至合理的值 表 3a AUXR: 辅助寄存器 AUXR 地址 :8EH 复位值 :XXX00XX0B 不可位寻址 WDIDLE DISRTO - - DISALE 预留扩展用 DISALE ALE 使能标志位 DISALE 操作方式 0 ALE 以 1/6 晶振频率输出信号 1 ALE 只有在执行 MOVX 或 MOVC 指令时激活 DISRTO WDIDLE 复位输出标志位 DISRTO 0 看门狗 (WDT) 定时结束,Reset 输出高电平 1 Reset 只有输入 空闲模式下 WDT 使能标志位 WDIDLE 0 空闲模式下,WDT 继续计数 1 空闲模式下,WDT 停止计数 掉电标志位 : 掉电标志位 (POF) 位于特殊寄存器 PCON 的第四位 (PCON.4) 上电期间 POF 置 1 POF 可以软件控制使用与否, 但不受复位影响 表 3b AUXR1: 辅助寄存器 1 AUXR1 地址 :A2H 复位值 :XXXXXXX0B 不可位寻址 DPS 预留扩展用 DPS 数据指针选择位 DPS 0 选择 DPTR 寄存器 DP0L 和 DP0H 1 选择 DPTR 寄存器 DP1L 和 DP1H 8

9 存储器结构 MCS-51 器件有单独的程序存储器和数据存储器 外部程序存储器和数据存储器都可以 64K 寻址 程序存储器 : 如果 EA 引脚接地, 程序读取只从外部存储器开始 对于 89S52, 如果 EA 接 V CC, 程序读写先从内部存储器 ( 地址为 0000H~1FFFH) 开始, 接着从外部寻址, 寻址地址为 :2000H~FFFFH 数据存储器 :AT89S52 有 256 字节片内数据存储器 高 128 字节与特殊功能寄存器重叠 也就是说高 128 字节与特殊功能寄存器有相同的地址, 而物理上是分开的 当一条指令访问高于 7FH 的地址时, 寻址方式决定 CPU 访问高 128 字节 RAM 还是特殊功能寄存器空间 直接寻址方式访问特殊功能寄存器 (SFR) 例如, 下面的直接寻址指令访问 0A0H(P2 口 ) 存储单元 MOV 0A0H, #data 使用间接寻址方式访问高 128 字节 RAM 例如, 下面的间接寻址方式中,R0 内容为 0A0H, 访问的是地址 0A0H 的寄存器, 而不是 P2 口 ( 它的地址也是 0A0H) #data 堆栈操作也是简介寻址方式 因此, 高 128 字节数据 RAM 也可用于堆栈空间 看门狗定时器 WDT 是一种需要软件控制的复位方式 WDT 由 13 位计数器和特殊功能寄存器中的看门狗定时器复位存储器 (WDTRST) 构成 WDT 在默认情况下无法工作 ; 为了激活 WDT, 户用必须往 WDTRST 寄存器 ( 地址 :0A6H) 中依次写入 01EH 和 0E1H 当 WDT 激活后, 晶振工作,WDT 在每个机器周期都会增加 WDT 计时周期依赖于外部时钟频率 除了复位 ( 硬件复位或 WDT 溢出复位 ), 没有办法停止 WDT 工作 当 WDT 溢出, 它将驱动 RSR 引脚一个高个电平输出 WDT 的使用为了激活 WDT, 用户必须向 WDTRST 寄存器 ( 地址为 0A6H 的 SFR) 依次写入 0E1H 和 0E1H 当 WDT 激活后, 用户必须向 WDTRST 写入 01EH 和 0E1H 喂狗来避免 WDT 溢出 当计数达到 8191(1FFFH) 时,13 位计数器将会溢出, 这将会复位器件 晶振正常工作 WDT 激活后, 每一个机器周期 WDT 都会增加 为了复位 WDT, 用户必须向 WDTRST 写入 01EH 和 0E1H(WDTRST 是只读寄存器 ) WDT 计数器不能读或写 当 WDT 计数器溢出时, 将给 RST 引脚产生一个复位脉冲输出, 这个复位脉冲持续 96 个晶振周期 (TOSC), 其中 TOSC=1/FOSC 为了很好地使用 WDT, 应该在一定时间内周期性写入那部分代码, 以避免 WDT 复位 掉电和空闲方式下的 WDT 在掉电模式下, 晶振停止工作, 这意味这 WDT 也停止了工作 在这种方式下, 用户不必喂狗 有两种方式可以离开掉电模式 : 硬件复位或通过一个激活的外部中断 通过硬件复位退出掉电模式后, 用户就应该给 WDT 喂狗, 就如同通常 AT89S52 复位一样 通过中断退出掉电模式的情形有很大的不同 中断应持续拉低很长一段时间, 使得晶振 9

10 稳定 当中断拉高后, 执行中断服务程序 为了防止 WDT 在中断保持低电平的时候复位器件,WDT 直到中断拉低后才开始工作 这就意味着 WDT 应该在中断服务程序中复位 为了确保在离开掉电模式最初的几个状态 WDT 不被溢出, 最好在进入掉电模式前就复位 WDT 在进入待机模式前, 特殊寄存器 AUXR 的 WDIDLE 位用来决定 WDT 是否继续计数 默认状态下, 在待机模式下,WDIDLE=0,WDT 继续计数 为了防止 WDT 在待机模式下复位 AT89S52, 用户应该建立一个定时器, 定时离开待机模式, 喂狗, 再重新进入待机模式 UART 在 AT89S52 中,UART 的操作与 AT89C51 和 AT89C52 一样 为了获得更深入的关于 UART 的信息, 可参考 ATMEL 网站 ( 从这个主页, 选择 Products, 然后选择 8051-Architech Flash Microcontroller, 再选择 Product Overview 即可 定时器 0 和定时器 1 在 AT89S52 中, 定时器 0 和定时器 1 的操作与 AT89C51 和 AT89C52 一样 为了获得更深入的关于 UART 的信息, 可参考 ATMEL 网站 ( 从这个主页, 选择 Products, 然后选择 8051-Architech Flash Microcontroller, 再选择 Product Overview 即可 定时器 2 定时器 2 是一个 16 位定时 / 计数器, 它既可以做定时器, 又可以做事件计数器 其工作方式由特殊寄存器 T2CON 中的 C/T2 位选择 ( 如表 2 所示 ) 定时器 2 有三种工作模式 : 捕捉方式 自动重载 ( 向下或向上计数 ) 和波特率发生器 如表 3 所示, 工作模式由 T2CON 中的相关位选择 定时器 2 有 2 个 8 位寄存器 :TH2 和 TL2 在定时工作方式中, 每个机器周期,TL2 寄存器都会加 1 由于一个机器周期由 12 个晶振周期构成, 因此, 计数频率就是晶振频率的 1/12 表 3 定时器 2 工作模式 RCLK+TCLK CP/RL2 TR2 MODE 位自动重载 位捕捉 1 1 波特率发生器 0 ( 不用 ) 在计数工作方式下, 寄存器在相关外部输入角 T2 发生 1 至 0 的下降沿时增加 1 在这 10

11 种方式下, 每个机器周期的 S5P2 期间采样外部输入 一个机器周期采样到高电平, 而下一个周期采样到低电平, 计数器将加 1 在检测到跳变的这个周期的 S3P1 期间, 新的计数值出现在寄存器中 因为识别 1-0 的跳变需要 2 个机器周期 (24 个晶振周期 ), 所以, 最大的计数频率不高于晶振频率的 1/24 为了确保给定的电平在改变前采样到一次, 电平应该至少在一个完整的机器周期内保持不变 捕捉方式在捕捉模式下, 通过 T2CON 中的 EXEN2 来选择两种方式 如果 EXEN2=0, 定时器 2 时一个 16 位定时 / 计数器, 溢出时, 对 T2CON 的 TF2 标志置位,TF2 引起中断 如果 EXEN2=1, 定时器 2 做相同的操作 除上述功能外, 外部输入 T2EX 引脚 (P1.1)1 至 0 的下跳变也会使得 TH2 和 TL2 中的值分别捕捉到 RCAP2H 和 RCAP2L 中 除此之外, T2EX 的跳变会引起 T2CON 中的 EXF2 置位 像 TF2 一样,T2EX 也会引起中断 捕捉模式如图 5 所示 图 5 定时器的捕捉模式 自动重载当定时器 2 工作于 16 位自动重载模式, 可对其编程实现向上计数或向下计数 这一功能可以通过特殊寄存器 T2MOD( 见表 4) 中的 DCEN( 向下计数允许位 ) 来实现 通过复位,DCEN 被置为 0, 因此, 定时器 2 默认为向上计数 DCEN 设置后, 定时器 2 就可以取决于 T2EX 向上 向下计数 如图 6 所示,DCEN=0 时, 定时器 2 自动计数 通过 T2CON 中的 EXEN2 位可以选择两种方式 如果 EXEN2=0, 定时器 2 计数, 计到 0FFFFH 后置位 TF2 溢出标志 计数溢出也使得定时器寄存器重新从 RCAP2H 和 RCAP2L 中加载 16 位值 定时器工作于捕捉模式,RCAP2H 和 RCAP2L 的值可以由软件预设 如果 EXEN2=1, 计数溢出或在外部 T2EX(P1.1) 引脚上的 1 到 0 的下跳变都会触发 16 位重载 这个跳变也置位 EXF2 中断标志位 如图 6 所示, 置位 DCEN, 允许定时器 2 向上或向下计数 在这种模式下,T2EX 引脚控制着计数的方向 T2EX 上的一个逻辑 1 使得定时器 2 向上计数 定时器计到 0FFFFH 11

12 溢出, 并置位 TF2 定时器的溢出也使得 RCAP2H 和 RCAP2L 中的 16 位值分别加载到定时器存储器 TH2 和 TL2 中 T2EX 上的一个逻辑 0 使得定时器 2 向下计数 当 TH2 和 TL2 分别等于 RCAP2H 和 RCAP2L 中的值的时候, 计数器下溢 计数器下溢, 置位 TF2, 并将 0FFFFH 加载到定时器存储器中 定时器 2 上溢或下溢, 外部中断标志位 EXF2 被锁死 在这种工作模式下,EXF2 不能触发中断 图 6 定时器 2 重载模式 (DCEN=0) 表 4 T2MOD- 定时器 2 控制寄存器 T2MOD 地址 :0C9H 复位值 :XXXXXX00B 不可位寻址 T2OE DCEN 符号 功能 - 无定义, 预留扩展 T2OE DCEN 定时器 2 输出允许位 置 1 后, 定时器 2 可配置成向上 / 向下计数 12

13 图 7 定时器 2 自动重载 (DCEN=1) 图 8 定时器 2 波特率发生器模式 13

14 波特率发生器通过设置 T2CON( 见表 2) 中的 TCLK 或 RCLK 可选择定时器 2 作为波特率发生器 如果定时器 2 作为发送或接收波特率发生器, 定时器 1 可用作它用, 发送和接收的波特率可以不同 如图 8 所示, 设置 RCLK 和 ( 或 )TCLK 可以使定时器 2 工作于波特率产生模式 波特率产生工作模式与自动重载模式相似, 因此,TH2 的翻转使得定时器 2 寄存器重载被软件预置 16 位值的 RCAP2H 和 RCAP2L 中的值 模式 1 和模式 3 的波特率由定时器 2 溢出速率决定, 具体如下公式 : 定时器 2 溢出率模式 1 和模式 3 波特率 = 16 定时器可设置成定时器, 也可为计数器 在多数应用情况下, 一般配置成定时方式 (CP/T2=0) 定时器 2 用于定时器操作与波特率发生器有所不同, 它在每一机器周期 (1/12 晶振周期 ) 都会增加 ; 然而, 作为波特率发生器, 它在每一机器状态 (1/2 晶振周期 ) 都会增加 波特率计算公式如下 : 晶振频率模式 1 和模式 3 的波特率 = * 原文少半个括号 ( 32 [65536 ( RCAP2H, RCAP2L)] 其中,(RCAP2H,RCAP2L) 是 RCAP2H 和 RCAP2L 组成的 16 位无符号整数 定时器 2 作为波特率发生器, 如图 8 所示 图中仅仅在 T2CON 中 RCLK 或 TCLK=1 才有效 特别强调,TH2 的翻转并不置位 TF2, 也不产生中断 ; EXEN2 置位后,T2EX 引脚上 1~0 的下跳变不会使 (RCAP2H,RCAP2L) 重载到 (TH2,TL2) 中 因此, 定时器 2 作为波特率发生器,T2EX 也还可以作为一个额外的外部中断 定时器 2 处于波特率产生模式,TR2=1, 定时器 2 正常工作 TH2 或 TL2 不应该读写 在这种模式下, 定时器在每一状态都会增加, 读或写就不会准确 寄存器 RCAP2 可以读, 但不能写, 因为写可能和重载交迭, 造成写和重载错误 在读写定时器 2 或 RCAP2 寄存器时, 应该关闭定时器 (TR2 清 0) 可编程时钟输出如图 9 所示, 可以通过编程在 P1.0 引脚输出一个占空比为 50% 的时钟信号 这个引脚除了常规的 I/O 角外, 还有两种可选择功能 它可以通过编程作为定时器 / 计数器 2 的外部时钟输入或占空比为 50% 的时钟输出 当工作频率为 16MHZ 时, 时钟输出频率范围为 61HZ 到 4HZ 为了把定时器 2 配置成时钟发生器, 位 C/T2(T2CON.1) 必须清 0, 位 T2OE(T2MOD.1) 必须置 1 位 TR2(T2CON.2) 启动 停止定时器 时钟输出频率取决于晶振频率和定时器 2 捕捉寄存器 (RCAP2H,RCAP2L) 的重载值, 如公式所示 : 晶振频率时钟输出频率 = 4 [65536-( RCAP2H, RCAP2L) ] 在时钟输出模式下, 定时器 2 不会产生中断, 这和定时器 2 用作波特率发生器一样 定 14

15 时器 2 也可以同时用作波特率发生器和时钟产生 不过, 波特率和输出时钟频率相互并不独立, 它们都依赖于 RCAP2H 和 RCAP2L 图 9 定时器 2 时钟输出模式 中断 AT89S52 有 6 个中断源 : 两个外部中断 (INT0 和 INT1), 三个定时中断 ( 定时器 0 1 2) 和一个串行中断 这些中断如图 10 所示每个中断源都可以通过置位或清除特殊寄存器 IE 中的相关中断允许控制位分别使得中断源有效或无效 IE 还包括一个中断允许总控制位 EA, 它能一次禁止所有中断 如表 5 所示,IE.6 位是不可用的 对于 AT89S52,IE.5 位也是不能用的 用户软件不应给这些位写 1 它们为 AT89 系列新产品预留 定时器 2 可以被寄存器 T2CON 中的 TF2 和 EXF2 的或逻辑触发 程序进入中断服务后, 这些标志位都可以由硬件清 0 实际上, 中断服务程序必须判定是否是 TF2 或 EXF2 激活中断, 标志位也必须由软件清 0 定时器 0 和定时器 1 标志位 TF0 和 TF1 在计数溢出的那个周期的 S5P2 被置位 它们的值一直到下一个周期被电路捕捉下来 然而, 定时器 2 的标志位 TF2 在计数溢出的那个周期的 S2P2 被置位, 在同一个周期被电路捕捉下来 15

16 表 4 中断允许控制寄存器 (IE) (MSB) (LSB) EA - ET2 ES ET1 EX1 ET0 EX0 中断允许控制位 =1, 允许中断 中断允许控制位 =0, 禁止中断 符号位地址功能 EA IE.7 - IE.6 预留 中断总允许控制位 EA=0, 中断总禁止 ;EA=1, 各中断由各自的控制位设定 ET2 IE.5 定时器 2 中断允许控制位 ES IE.4 串行口中断允许控制位 ET1 IE.3 定时器 1 中断允许控制位 EX1 IE.2 外部中断 1 允许控制位 ET0 IE.1 定时器 0 中断允许控制位 EX0 IE.0 外部中断 1 允许控制位 图 10 中断源 16

17 晶振特性 AT89S52 如图 10 所示,AT89S52 单片机有一个用于构成内部振荡器的反相放大器,XTAL1 和 XTAL2 分别是放大器的输入 输出端 石英晶体和陶瓷谐振器都可以用来一起构成自激振荡器 从外部时钟源驱动器件的话,XTAL2 可以不接, 而从 XTAL1 接入, 如图 12 所示 由于外部时钟信号经过二分频触发后作为外部时钟电路输入的, 所以对外部时钟信号的占空比没有其它要求, 最长低电平持续时间和最少高电平持续时间等还是要符合要求的 图 11 内部振荡电路连接图图 12 外部振荡电路连接图 石英晶振陶瓷谐振器 C1,C2=30PF±10PF C1,C2=40PF±10PF 空闲模式 在空闲工作模式下,CPU 处于睡眠状态, 而所有片上外部设备保持激活状态 这种状态可以通过软件产生 在这种状态下, 片上 RAM 和特殊功能寄存器的内容保持不变 空闲模式可以被任一个中断或硬件复位终止 由硬件复位终止空闲模式只需两个机器周期有效复位信号, 在这种情况下, 片上硬件禁止访问内部 RAM, 而可以访问端口引脚 空闲模式被硬件复位终止后, 为了防止预想不到的写端口, 激活空闲模式的那一条指令的下一条指令不应该是写端口或外部存储器 掉电模式 在掉电模式下, 晶振停止工作, 激活掉电模式的指令是最后一条执行指令 片上 RAM 17

18 和特殊功能寄存器保持原值, 直到掉电模式终止 掉电模式可以通过硬件复位和外部中断退出 复位重新定义了 SFR 的值, 但不改变片上 RAM 的值 在 V CC 未恢复到正常工作电压时, 硬件复位不能无效, 并且应保持足够长的时间以使晶振重新工作和初始化 表 6 空闲模式和掉电模式下的外部引脚状态 模式程序存储器 ALE PSEN PORT0 PORT1 PORT2 PORT3 空闲内部 1 1 数据数据数据数据 空闲外部 1 1 浮空数据地址数据 掉电内部 0 0 数据数据数据数据 掉电外部 0 0 浮空数据数据数据 程序存储器的加密位 AT89S52 有三个加密位不可编程 (U) 和可编程获得下表所示的功能 表 7 加密位保护模式 加密位 1(LB1) 编程后,EA 引脚的逻辑值被采样, 并在复位期间锁存 如果器件复位, 而没有复位, 将锁存一个随机值, 直到复位为止 为了器件功能正常, 锁存到的 EA 值必须和这个引脚的当前逻辑电平一致 Flash 编程 并行模式 AT89S52 带有用作编程的片上 Flash 存储器阵列 编程接口需要一个高电压 (12V) 编程使能信号, 并且兼容常规的第三方 *( 原文 :third-party, 不知道对不对 )Flash 或 EPROM 编程器 AT89S52 程序存储阵列采用字节式编程 编程方法对 AT89S52 编程之前, 需根据 Flash 编程模式表和图 13 图 14 对地址 数据和控制信号设置 可采用下列步骤对 AT89S52 编程 : 18

19 1. 在地址线上输入编程单元地址信号 2. 在数据线上输入正确的数据 3. 激活相应的控制信号 4. 把 EA/V pp 升至 12V 5. 每给 Flash 写入一个字节或程序加密位时, 都要给 ALE/PROG 一次脉冲 字节写周期时自身定制的, 典型值仅仅 50us 改变地址 数据重复第 1 步到第 5 步, 知道全部文件结束 Data Polling AT89S52 用 Data Polling 作为一个字节写周期结束的标志特征 译者注 : 后面全是编程的时序问题, 实在译不下去了 感兴趣的接着翻啊 参考资料 : 1.ATMEL 公司 AT89S52 的技术手册 2. 深圳市中源单片机发展有限公司 AT89C52 Datasheets 3. 复旦大学出版社单片微型机原理 应用和实验张友德等 19

另外,AT89S52 可降至 0Hz 静态逻辑操作, 支持 2 种软件可选择节电模式 空闲模式下,CPU 停止工作, 允许 RAM 定时器/ 计数器 串口 中断继续工作 掉电保护方式下,RAM 内容被保存, 振荡器被冻结, 单片机一切工作停止, 直到下一个中断或硬件复位为止 8 位微控制器 8K 字

另外,AT89S52 可降至 0Hz 静态逻辑操作, 支持 2 种软件可选择节电模式 空闲模式下,CPU 停止工作, 允许 RAM 定时器/ 计数器 串口 中断继续工作 掉电保护方式下,RAM 内容被保存, 振荡器被冻结, 单片机一切工作停止, 直到下一个中断或硬件复位为止 8 位微控制器 8K 字 AT89C52 中文资料 AT89C52 的中文资料 AT89C52 是美国 Atmel 公司生产的低电压 高性能 CMOS 8 位单片机, 片内含 8KB 的可反复檫写的程序存储器和 12B 的随机存取数据存储器 (RAM), 器件采用 Atmel 公司的高密度 非易失性存储技术生产, 兼容标准 MCS- 51 指令系统, 片内配置通用 8 位中央处理器 (CPU) 和 Flash 存储单元, 功能强大的

More information

W77E58中文手册

W77E58中文手册 W77E58 77E58 8051 8051 8051 77E58 8051 1.5 3 COMS 32K EEPROM 1K SRAM 1 8 2 40M 4 3 8051 4 8051 5 4 8 I/O 6 4 I/O 44 PLCC QFP 7 16 / 8 12 9 10 11 1K 12 13 16 DPTR 14 15 DIP40 W77E58 25/40 PLCC44 W77E58P

More information

Microsoft Word - 第1章 MCS-51单片机基础.docx

Microsoft Word - 第1章 MCS-51单片机基础.docx 51 单片机应用开发实战手册 作者 : 华清远见 第 1 章 MCS-51 单片机基础 本章目标 单片机作为嵌入式微控制器在工业测控系统 智能仪器和家用电器中得到广泛 应用 虽然单片机的品种很多, 但 MCS-51 系列单片机仍不失为单片机中的主流机 型 本章主要介绍 MCS-51 单片机的基础知识和学习要点, 以目前应用最为广泛的 AT89SXX 系列单片机为例, 介绍单片机的历史发展 应用领域

More information

AT89S51

AT89S51 AT89S51 中文资料 AT89S51 是美国 ATMEL 公司生产的低功耗, 高性能 CMOS8 位单片机, 片内含 4kbytes 的可系统编程的 Flash 只读程序存储器, 器件采用 ATMEL 公司的高密度 非易失性存储技术生产, 兼容标准 8051 指令系统及引脚 它集 Flash 程序存储器既可在线编程 ( ISP) 也可用传统方法进行编程及通用 8 位微处理器于单片芯片中,ATMEL

More information

DPJJX1.DOC

DPJJX1.DOC 8051 111 2K 1 2 3 ' ' 1 CPU RAM ROM / A/D D/A PC CPU 40 68 10 20 8 51 PIII 8051 2 MCS51 8051 8031 89C51 8051 8031 89C51? MCS51 INTEL INTEL 8031 8051 8751 8032 8052 8752 8051 8051 8051 MCS51 8031 8031

More information

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File 51 C 51 51 C C C C C C * 2003-3-30 pnzwzw@163.com C C C C KEIL uvision2 MCS51 PLM C VC++ 51 KEIL51 KEIL51 KEIL51 KEIL 2K DEMO C KEIL KEIL51 P 1 1 1 1-1 - 1 Project New Project 1 2 Windows 1 3 N C test

More information

128K Flash EPROM 的程序?\(Bank=64K\) 切?

128K Flash EPROM 的程序?\(Bank=64K\) 切? 应用说明 华邦 8 位单片机 (8051 内核 ) 应用说明 华邦 8 位单片机 (8051 内核 ) ANSC-UC08-0007 目录 - 1. 应用说明 :...4 1.1...4 1.2 相关寄存器介绍...4 1.2.1 串行口控制寄存器 (SCON)... 4 1.2.2 串行数据缓冲寄存器 (SBUF)... 5 1.2.3 串行口控制 1 (SCON1)... 5 1.2.4 串行数据接收缓冲

More information

部分编号派生器件编号 (P89CXX) 操作频率, 最大 (V) 温度范围 (B) 封装 (AA,BB,PN) P89C51 FLASH U=33MHz B=0 70 AA=PLCC P89C52 FLASH F= BB=PQFP P89C54 FLASH PN=PDIP P89C58

部分编号派生器件编号 (P89CXX) 操作频率, 最大 (V) 温度范围 (B) 封装 (AA,BB,PN) P89C51 FLASH U=33MHz B=0 70 AA=PLCC P89C52 FLASH F= BB=PQFP P89C54 FLASH PN=PDIP P89C58 PHILIPS P89C5x 单片机数据手册 1 概述 P89C51/89C52/89C54/89C58 含有非易失 FLASH 并行可编程的程序存储器所有器件都是通过引导装载器串行编程 ISP 见 P89C51RC+/89C51RD+ 数据手册种系列单片机采用先进 CMOS 工艺的单片位微控制器是 80C51 微控制器系列的派生和 80C51 指令相同特点 FLASH 器件选择表 ROM/EPRO

More information

51 C 51 isp 10 C PCB C C C C KEIL

51 C 51 isp 10   C   PCB C C C C KEIL http://wwwispdowncom 51 C " + + " 51 AT89S51 In-System-Programming ISP 10 io 244 CPLD ATMEL PIC CPLD/FPGA ARM9 ISP http://wwwispdowncom/showoneproductasp?productid=15 51 C C C C C ispdown http://wwwispdowncom

More information

电子驿站技术文档

电子驿站技术文档 AT89C2051 中文资料 电子驿站 http:// www.ourmpu.com E-mail: support@ourmpu.com AT89C2051 中文资料 AT89C2051 是美国 ATMEL 公司生产的低电压, 高性能 CMOS 8 位单片机, 片内含 2k bytes 的可反复擦写的只读程序存储器 (PEROM) 和 128 bytes 的随机存取数据存储器 (RAM), 器件采用

More information

Microsoft Word - 專題封面.doc

Microsoft Word - 專題封面.doc 逢 甲 大 學 資 訊 工 程 學 系 專 題 研 究 報 告 8051 小 遊 戲 -21 點 指 導 教 授 : 陳 德 生 學 生 : 許 博 益 中 華 民 國 九 十 六 年 六 月 I 目 錄 第 一 章 緒 論 1 1-1 研 究 背 景 1 1-2 研 究 動 機 2 1-3 研 究 目 的 3 1-3-1 21 點 源 起 3 1-3-2 21 點 規 則 3 第 二 章 微 電

More information

目 录

目 录 1 Quick51...1 1.1 SmartSOPC Quick51...1 1.2 Quick51...1 1.3 Quick51...2 2 Keil C51 Quick51...4 2.1 Keil C51...4 2.2 Keil C51...4 2.3 1 Keil C51...4 2.4 Flash Magic...9 2.5 ISP...9 2.6...10 2.7 Keil C51...12

More information

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe   Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L 1 SH88F516 8051 64K FLASH 1024 EEPROM SH88F516 JET51 S-Lab Keil µ vision ISP51 Keil µ vision ISP51 ISP51 PC RS232 MCU SH88F516 SH88F516 1.1 SH88F516 1.1 SH88F516 SH88Fxx: QFP44, DIP40 RAM Flash E2 ADC

More information

SM2965

SM2965 产品清单 SM2965C40, 主频 40MHz, 内带 64KB 闪存的 MCU 总体描述 SM2965 系列产品是一种内嵌 64KB 闪存和 1K 字节 RAM 的 8 位单片微控制器它是 80C52 微控制器家族的派生产品具有在系统可编程 (ISP) 功能其 PDIP 封装具有 32 个 I/O 口而 PLCC/QFP 封装则具有多达 36 个 I/O 口 64K 字节的闪存既可以当作程序空间又可以当作数据空间或者数据和程序混合空间其硬件特征和强大的指令系统使它成为一种性能价格比高的控制器片上闪存的编程可以使用商用编程器进行并行编程也可以根据其

More information

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0 SH79F6431 1. SH79F6431 1T 8051 FLASH SH79F JET51 Keil µ vision JTAG 1.1. SH79F6431 LQFP64 1.2. (Target Board) SH79F6431 1 V 1.0 1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F6431 1 2 1 B. 1(VCC/GND)

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

MPC89LE51_52_53_54_58_515

MPC89LE51_52_53_54_58_515 5 8 bit micro controller 1 特性...2 2 引脚...3 2.1 引脚图...3 2.1 引脚定义...4 3 方框图...6 4 特殊功能寄存器...7 辅助寄存器 AUXR 和 AUXR1...8 5 存储器...9 5.1 RAM...9 MPC89x54/58/515 RAM 空间 (00 7F 可直接或间接寻址 )...9 MPC89x51/5 2/53 RAM

More information

1

1 W7E65 1. W7E65 ISP Flash EPROM ISP Flash EPROM 52 W7E65 64K Flash EPROM 4K Flash EPROM 4K Flash EPROM loader 64K ROM 1K RAM 4 I/O 4 I/O P4 3 16 / 2 W7E65 Flash EPROM W7E65 2 2 2. CMOS 12 64K ISP Flash

More information

<4D6963726F736F667420576F7264202D20B9F9B0EABBCDBBAFAB48DEB3B4C1A5BDB3F8A7692E646F63>

<4D6963726F736F667420576F7264202D20B9F9B0EABBCDBBAFAB48DEB3B4C1A5BDB3F8A7692E646F63> 臺 北 市 立 松 山 高 級 工 農 職 業 學 校 資 訊 科 專 題 製 作 報 告 題 目 : 反 彈 空 間 指 導 老 師 : 余 耀 銘 學 生 : 廖 國 銓 趙 信 瑋 中 華 民 國 102 年 5 月 摘 要 在 這 高 速 科 技 的 起 飛 下, 科 技 都 建 立 起 於 基 礎, 有 些 人 把 這 基 礎 轉 為 理 論, 教 給 大 眾 學 習 ; 有 些 人 利

More information

Microsoft Word - P87CL52X2_54X2.doc

Microsoft Word - P87CL52X2_54X2.doc P87CL52X2/54X2 器件手册 概述 PHILIPS P87CL5xX2 是一款高性能的静态 80C51 器件 由高密度 CMOS 工艺制造而成 工作电压范 围为 1.8V 3.3V 无 ROM 的 P87CL5xX2 包含 256 8 RAM 32 个 I/O 口 3 个 16 位计数器 / 定时器 一个 6 中断源 -4 优先级 - 嵌套中断结构 一个可用于多机通信 I/O 扩展或全双工

More information

MPC82G516A 8 位微控制器 目 录 图表清单... 5 表格清单 综述 功能 方框图 引脚 引脚结构 引脚定义 引脚功能重映射..

MPC82G516A 8 位微控制器 目 录 图表清单... 5 表格清单 综述 功能 方框图 引脚 引脚结构 引脚定义 引脚功能重映射.. Megawin MPC82G516A 8 位微处理器用户手册 ( 第 Ⅱ 版 ) 原著 :By Vincent Y. C. Yu 策划 / 整理 : 许意义 翻译 :www.ourdev.cn 网友 lukeunderwood easygoing yixin czzhouyun lcptw 校对 :www.ourdev.cn 网友 This document contains information

More information

MPC89LE51_52_53_54_58_515

MPC89LE51_52_53_54_58_515 8051 内核 - 单片机 MPC89LE51_52_53_54_58_515 規格书 北京菱电科技有限公司 TEL:010-82674978 版本 : A1.0 This document contains information on a new product under development by Megawin. Megawin reserves the right to change

More information

SH79F161B I/O 1. SH79F161B SH79F161B IO 30 I/O I/O 4 I/O SH79F161B 30 I/O Px I/O PxCRy I/O PxPCRy x = 0-3 y = IO PxCRy IO x=0-3 y=0-7

SH79F161B I/O 1. SH79F161B SH79F161B IO 30 I/O I/O 4 I/O SH79F161B 30 I/O Px I/O PxCRy I/O PxPCRy x = 0-3 y = IO PxCRy IO x=0-3 y=0-7 SH79F161B - 10 ADC 8051 V 1.0 SH79F161B I/O 1. SH79F161B 8051 8051 SH79F161B IO 30 I/O I/O 4 I/O SH79F161B 30 I/O Px I/O PxCRy I/O PxPCRy x = 0-3 y = 0-7 2. IO PxCRy IO x=0-3 y=0-7 PxPCRy IO x=0-3 y=0-7

More information

SST SPAC SST SoftICE SST89C5x/SST89x554RC /564RD /SST89x516/5xRD / SoftICE SoftICE MCU SoftICE SS

SST SPAC SST SoftICE SST89C5x/SST89x554RC /564RD /SST89x516/5xRD / SoftICE SoftICE MCU SoftICE SS SST SoftICE SST89C5x/SST89x554RC /564RD /SST89x516/5xRD2 1...2 1.1...2 1.2...2 1.3 /...2 2 SoftICE...2 3 SoftICE MCU...2 4 SoftICE...3 4.1 SST BootLoader SOFTICE...3 4.2 SoftICE SST MCU...6 5 SoftICE...7

More information

Microsoft Word - SC16C550应用实例.doc

Microsoft Word - SC16C550应用实例.doc SCC0 应用实例. 概述 SCC0 是 PHILIPS 推出的一款高性能的 UART 芯片, 其具有 字节 FIFO 和 IrDA 编 / 解码模块 本文将结合电路和程序演示如何使用 SCC0 接收和回送 UART 数据 读者可以根据图 制作 SCC0 的 DEMO 版 ; 在 KEIL C 开发环境下, 可利用 PVRD 的 SOFTICE 功能, 方便调试 SCC0 的各种功能. 电路分析 图

More information

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File 51 C 51 51 C C C C C C * 2003-3-30 pnzwzw@163.com C C C C KEIL uvision2 MCS51 PLM C VC++ 51 KEIL51 KEIL51 KEIL51 KEIL 2K DEMO C KEIL KEIL51 P 1 1 1 1-1 - 1 Project New Project 1 2 Windows 1 3 N C test

More information

Microsoft PowerPoint - 第一章(07版).PPT

Microsoft PowerPoint - 第一章(07版).PPT 单片机原理及综合设计 嵌入式微控制器的开发入门 微机及单片机原理原理实验室综合楼 419 室 大连理工大学电信学院陈育斌 1 ( 理论课 ) 上课时间表 (10~17 周 ) 周次 星期 一 二 三 四 五 六 日 1 至 6 时间地点 大五节综 309 (18:00) 大连理工大学电信学院陈育斌 2 课程总体安排 总学时 : 理论课 + 实验课 =36 学时 其中 : 理论课 :24: 学时 ;

More information

51 单片机 C 语言 基础程序设计 zicreate.com Jason 编著 - 51 系列单片机 C 语言编程 - 单片机常用外围器件应用总结 目录第一部分 :51 系列 AT89S52 单片机 C 语言程序设计 第 1 章 AT89S52 单片机硬件介绍... - 1-1.1 AT89S52 单片机引脚分布图... - 1-1.2 功能特性描述... - 2-1.3 AT89S52 单片机的主要性能参数...

More information

79F161 V0.2

79F161 V0.2 带 10 位 ADC 的增强型 8051 微控制器 1. 特性 基于 8051 兼容流水指令的 8 位单片机 Flash ROM:16K 字节 RAM: 内部 256 字节, 外部 512 字节 工作电压 : - f OSC = 400kHz - 12MHz,V DD = 4.0V - 5.5V 振荡器 ( 代码选项 ): - 陶瓷谐振器 :400k - 12MHz - 内部 RC 振荡器 :12MHz

More information

µPSD3000 系列

µPSD3000 系列 8032 FLASH MCU µpsd3251f-40t6 µpsd3251 8032 FlashPSD Flash SRAM I/O I 2 C, ADC and PWM 8032, 16 / FlashPSD µpsd32 JTAG ISP 2K SRAM Flash - 64K Flash - 16K Flash - Flash 8032 12 I2C ADC 6 I/O 37 I/O 16

More information

MPC82G516

MPC82G516 8051 内核 - 单片机 MPC82G516 規格书 北京菱电科技有限公司 TEL:010-82674978 版本 : A1.0 This document contains information on a new product under development by Megawin. Megawin reserves the right to change or discontinue this

More information

<4D F736F F D203034CAB5D1E9CBC D20B5C4494F20BDD3BFDACAB5D1E92E646F63>

<4D F736F F D203034CAB5D1E9CBC D20B5C4494F20BDD3BFDACAB5D1E92E646F63> 实验四 ARM 的 I/O 接口实验 一 实验目的 1. 了解 S3C44B0X 的通用 I/O 接口 2. 掌握 I/0 功能的复用并熟练的配置, 进行编程实验二 实验设备 1. EL-ARM-830 教学实验箱,PentiumII 以上的 PC 机, 仿真器电缆 2. PC 操作系统 WIN98 或 WIN2000 或 WINXP,ARM SDT2.5 或 ADS1.2 集成开发环境, 仿真器驱动程序

More information

P89LV51RD2器件手册

P89LV51RD2器件手册 P89LV51RD2 器件手册 1. 概述 P89LV51RD2 是一款 80C51 微控制器, 包含 64kB Flash 和 1024 字节的数据 RAM P89LV51RD2 的典型特性是它的 X2 方式选项 设计者可通过该特性来选择应用程序以传统的 80C51 时钟频率 ( 每个机器周期包含 12 个时钟 ) 或 X2 方式 ( 每个机器周期包含 6 个时钟 ) 的时钟频率运行, 其中, 选择

More information

STC15W4K60S4系列增强型PWM波形发生器应用

STC15W4K60S4系列增强型PWM波形发生器应用 STC15W4K32S4 系列新增 6 通道增强型带死区控制高精度 PWM 波形发生器应用 STC15W4K32S4 系列的单片机集成了一组 ( 各自独立 6 路 ) 增强型的 PWM 波形发生器 PWM 波形发生器内部有一个 15 位的 PWM 计数器供 6 路 PWM 使用, 用户可以设置每路 PWM 的初始电平 另外,PWM 波形发生器为每路 PWM 又设计了两个用于控制波形翻转的计数器 T1/T2,

More information

XNS104 产品说明书 AD 型 2.4G 高速无线收发单片机概述 2.4GHz 高速无线收发单片机 XNS104 是一个带 12 位 ADC, 以 OTP 为程序存储基础, 带 2.4G 高速无线收发芯片的单片机 无线收发单元工作在 GHz 世界通用 ISM 频段, 它集

XNS104 产品说明书 AD 型 2.4G 高速无线收发单片机概述 2.4GHz 高速无线收发单片机 XNS104 是一个带 12 位 ADC, 以 OTP 为程序存储基础, 带 2.4G 高速无线收发芯片的单片机 无线收发单元工作在 GHz 世界通用 ISM 频段, 它集 XNS104 产品说明书 AD 型 2.4G 高速无线收发单片机概述 2.4GHz 高速无线收发单片机 XNS104 是一个带 12 位 ADC, 以 OTP 为程序存储基础, 带 2.4G 高速无线收发芯片的单片机 无线收发单元工作在 2.400--2.483GHz 世界通用 ISM 频段, 它集成射频收发通路 频率发生器 晶体振荡器 调制解调器等功能模块, 并且支持一对多组网和带 ACK 的通信模式

More information

專題最終版.doc

專題最終版.doc The Principle and Application of the Electric Combination Lock The Principle and Application of the Electric Combination Lock Abstract Recently, there are more and more burglaries in the society. It's

More information

MSP430X1XX 系列微控制器具有以下特征 结构框图 超低功耗结构体系 A 额定工作电流在 1MHz V 工作电压 C11X P11X 和 E11X 为 V 从备用模式唤醒为 6 S 丰富的中断能力减少了查询的需要灵活强大的处理能力源操作数有七种寻址模

MSP430X1XX 系列微控制器具有以下特征 结构框图 超低功耗结构体系 A 额定工作电流在 1MHz V 工作电压 C11X P11X 和 E11X 为 V 从备用模式唤醒为 6 S 丰富的中断能力减少了查询的需要灵活强大的处理能力源操作数有七种寻址模 新一代超低功耗 16 位单片机 TI MSP430 系列 美国 TI 公司的 MSP430 系列单片机可以分为以下几个系列 X1XX X3XX X4XX 等等 而且 在不断发展 从存储器角度 又可分为 ROM C 型 OTP P 型 EPROM E 型 Flash Memory F 型 系列的全部成员均为软件兼容 可以方便地在系列各型号间移植 MSP430 系列单片机 的 MCU 设计成适合各种应用的

More information

MA816_DS_CN_V005

MA816_DS_CN_V005 MAKE YOUWIN 数据手册 版本 0.05 QP-7300-03D 1/79 目录 1. 概述...5 2. 功能...6 3. 方框图...7 4. 特殊功能寄存器 SFR...8 4.1. SFR 映射表...8 4.2. SFR 位分配...9 4.3. SFR 内存分页...10 5. 引脚...11 5.1. 封装...11 5.2. 引脚定义...12 6. 系统时钟...13 6.1.

More information

第一章

第一章 单片机原理 STC15F2K60S2 微机原理与单片机接口技术复习要点 第一章微型计算机概述 ( 了解概念 ) 1.1.2 微型计算机基本构成 ( 基本结构由哪些部分构成 ) 1.1.3 单片微型计算机简介 ( 什么是单片机, 单片机的结构及各个部分的功能, 常见的单片机有哪些 ) 1>. 微型计算机基本构成 : 微处理器, 存储器 (ROM RAM) 与输入输出接口 (I/O 接口 ) 及外部设备及连接他们的系统总线组成

More information

单片机原理及应用实验指导书.doc

单片机原理及应用实验指导书.doc 1 2 3 4...2...4...9...9 AEDK598 MCS51...9 MCS51...10...10...10...10...10...10...11 P1...12...12...12....12...12...13 P3...14...14...14...14...14...14 I/O...15...15...15...15...15...16...17...17...17...17...17...18...19...19

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

图 内部结构图 8251 的外部引脚如图 所示, 共 28 个引脚, 每个引脚信号的输入输出方式如图中的箭 头方向所示

图 内部结构图 8251 的外部引脚如图 所示, 共 28 个引脚, 每个引脚信号的输入输出方式如图中的箭 头方向所示 实验题目 : 串行接口芯片 8251 实验目的 : 1. 掌握 8251 的工作方式及应用 2. 了解有关串口通讯的知识 串行接口芯片 8251 3. 掌握使用 8251 实现双机通讯的软件编程和电路连接 实验设备 : IA-32 架构的微机系统及应用教学平台两套 实验原理 : 1. 8251 的基本性能 性能 : 8251 是可编程的串行通信接口, 可以管理信号变化范围很大的串行数据通信 有下列基本

More information

HT46R47 f SYS =4MHz 3.3~5.5V f SYS =8MHz 4.5~5.5V 13 位双向输入 / 输出口 1 个与输入 / 输出共用引脚的外部中断输入 8 位带溢出中断的可编程定时 / 计数器 具有 7 级预分频器 石英晶体或 RC 振荡器 位的程序存储器 P

HT46R47 f SYS =4MHz 3.3~5.5V f SYS =8MHz 4.5~5.5V 13 位双向输入 / 输出口 1 个与输入 / 输出共用引脚的外部中断输入 8 位带溢出中断的可编程定时 / 计数器 具有 7 级预分频器 石英晶体或 RC 振荡器 位的程序存储器 P HT46R/47R/48R/49R 系列 选型指南 HT46R22 f SYS =4MHz 3.3~5.5V f SYS =8MHz 4.5~5.5V 19 位双向输入 / 输出口 1 个与输入 / 输出口线共用的外部输入 16 位具有 7 级预分频带溢出中断的可编程定时 / 计数器 内置石英晶体或 RC 振荡器 2048 14 位的程序存储器 PROM 64 8 位的数据存储器 RAM 支持发声的

More information

<4D F736F F D20B5DA31D5C B5A5C6ACBBFAB8C5CAF62E646F6378>

<4D F736F F D20B5DA31D5C B5A5C6ACBBFAB8C5CAF62E646F6378> AVR 单片机 C 语言开发入门与典型实例 ( 修订版 ) 作者 : 华清远见 第 1 章 AVR 单片机概述 本章目标 本章主要介绍 AVR 单片机的发展历史及其主要应用, 并重点介绍了 ATmega128(L) 单片机, 分析其结构 主要特点 性能封装和引脚定义 本章主 要内容包括以下两个方面 AVR 单片机及其发展 ATmega128(L) 单片机简介 1.1 AVR 单片机及其发展 1983

More information

PowerPoint Presentation

PowerPoint Presentation 7.2 并行接口 二. 可编程并行接口芯片 8255A 的功能及其应用 与 CPU 一侧相连的引脚 D0 PA0 ~ ~ ~ ~ ~ D7 PA7 RESET PC7 WR RD PC4 PC3 PC0 A0 PB0 A1 PB7 CS PA 口 PC 口上半部 PC 口下半部 PB 口 A 组 B 组 与 I/O 设备相连的引脚 7.2 并行接口二. 可编程并行接口芯片 8255A 的功能及其应用

More information

79F161 V0.2

79F161 V0.2 带增强型 8051 的电力线载波通信控制器 1. 特性 基于 8051 指令流水线结构的 8 位单片机 Flash ROM:16K 字节 类 EEPROM:2K 字节 RAM: 内部 256 字节, 外部 512 字节 工作电压 : V DD = 3.0V - 5.5V,AV DD = 3.0V - 3.6V 振荡器 : - 晶体谐振器 :8MHz - 16MHz 16 个 CMOS 双向 I/O

More information

<4D F736F F F696E74202D20B5DA35D5C2CEA2B4A6C0EDC6F7B9A4D7F7D4ADC0ED2E707074>

<4D F736F F F696E74202D20B5DA35D5C2CEA2B4A6C0EDC6F7B9A4D7F7D4ADC0ED2E707074> 第 5 章 微处理器工作原理 1 5.1 8086 处理器 2 1. 管脚定义 3 8086/88 管脚描述 8086:16 位微处理器, 16 位外部数据总线 8088:16 位微处理器, 8 位外部数据总线 GND AD14 AD13 AD12 AD11 AD10 AD9 AD8 AD7 AD6 AD5 AD4 AD3 AD2 AD1 AD0 NMI INTR CLK GND 1 2 3 4 5

More information

CM ZT1

CM ZT1 Spansion 模拟和微控制器产品 本文档包含有关 Spansion 模拟和微控制器产品的信息 尽管本文档内有原来开发该产品规格的公司名称 富士通 或 Fujitsu, 该产品将由 Spansion 提供给现有客户和新客户 规格的延续本文档内容并不因产品供应商的改变而有任何修改 文档内容的其他更新, 均为改善文档而进行, 并已记录在文档更改摘要 日后如有需要更改文档, 其更改内容也将记录在文档更改摘要

More information

79F161 V0.2

79F161 V0.2 带 10 位 ADC 的增强型 8051 微控制器 1. 特性 基于 8051 指令流水线结构的 8 位单片机 Flash ROM:16K 字节 RAM: 内部 256 字节, 外部 512 字节 工作电压 : - f OSC = 400kHz - 16MHz,V DD = 3.6V - 5.5V 振荡器 ( 代码选项 ): - 晶体谐振器 :400kHz - 16MHz - 陶瓷谐振器 :400kHz

More information

» n ¹ú KS0108 x ÍÍÙ q n KS0108 KS KS0107 KS0108 KS *32 128*64 192*64 KS0108 KS0108 KS0107 KS =4096 RAM RAM LCD 2 KS

» n ¹ú KS0108 x ÍÍÙ q n KS0108 KS KS0107 KS0108 KS *32 128*64 192*64 KS0108 KS0108 KS0107 KS =4096 RAM RAM LCD 2 KS KS0108 KS0108 8 KS0107 KS0108 KS0108 128*32128*64 192*64 KS0108 KS0108 KS0107 KS0108 1 6464=4096 RAMRAM LCD 2KS0108 64 3KS0108 68 68 4KS0108 1/48--1/64 KS0108 CS1,CS2,CS3 CS1 CS2 CS3 E E KS0108 E R/W R/W=1

More information

Tel: , Fax: STR-15 STR bps 2400bps 4800bps 9600bps STR : 500mW 2.ISM 433

Tel: , Fax: STR-15 STR bps 2400bps 4800bps 9600bps STR : 500mW 2.ISM 433 Tel:086-21-50807785, 50273226 Fax:086-21-50807785-807 http://www.sendbow.com TEL +86-021-50273226 50807785 13816690692 FAX:+86-021-50807785-807 167 E-mail:mailto:technology@sendbow.com web:http://www.sendbow.com

More information

目录 1 总体描述 主要功能... 1 目录 管脚定义 管脚配置 管脚定义 内部方框图 FLASH ROM 和 SRAM 结构 flash rom Customer

目录 1 总体描述 主要功能... 1 目录 管脚定义 管脚配置 管脚定义 内部方框图 FLASH ROM 和 SRAM 结构 flash rom Customer 高速 1T 8051 内核 Flash MCU,1 Kbytes SRAM,16 Kbytes Flash,128 bytes 独立 EEPROM, 4 档位水位检测模块,12 位 ADC, 六路 10 位 PWM,3 个定时器, 乘除法器,UART,SSI, Check Sum 校验模块 1 总体描述 ( 以下简称 SC92WL46X) 是一颗增强型的高速 1T 8051 内核工业级集成水位检测功能的

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 STC 单片机 CCP/PCA/PWM 模块原理及实现 主讲 : 何宾 Email:hebin@mail.buct.edu.cn 2016.03 CCP/PCA/PWM 结构 STC15 系列部分单片机内部集成了三路 CCP/PCA/PWM 模块, 如图 24.1 所示 注 : STC15W1K16S STC15W404S STC15W201S STC15F100W 系列单片机无 CCP/PCA/PWM

More information

展 望 与 述 评 2 广 电 设 备 与 技 术 2013.2

展 望 与 述 评 2 广 电 设 备 与 技 术 2013.2 展 望 与 述 评 2013.2 广 电 设 备 与 技 术 1 展 望 与 述 评 2 广 电 设 备 与 技 术 2013.2 展 望 与 述 评 2013.2 广 电 设 备 与 技 术 3 展 望 与 述 评 4 广 电 设 备 与 技 术 2013.2 展 望 与 述 评 2013.2 广 电 设 备 与 技 术 5 展 望 与 述 评 骆 萧 萧 卜 筱 皛 本 文 讨 论 了 在 非

More information

管脚配置 底板插口配置 芯片大小 (mil) 2-2 -

管脚配置 底板插口配置 芯片大小 (mil) 2-2 - HOLTEK HT1621 LCD 驱动器 特性 * 工作电压 2.4 5.2V * 内嵌 256KHz RC 振荡器 * 可外接 32KHz 晶片或 256KHz 频率源输入 * 可选 1/2 或 1/3 偏压和 1/2 1/3 或 1/4 的占空比 * 片内时基频率源 * 蜂鸣器可选择两种频率 * 节电命令可用于减少功耗 * 内嵌时基发生器和看门狗定时器 WDT * 时基或看门狗定时器溢出输出

More information

92 系列产品命名规则 名称 SC 92 F X P 32 R 序号 序号 Sinone Chip 缩写 产品系列名称 产品类型 (F:Flash MCU) 系列号 :7:GP 系列,8:TK 系列 含义 ROM

92 系列产品命名规则 名称 SC 92 F X P 32 R 序号 序号 Sinone Chip 缩写 产品系列名称 产品类型 (F:Flash MCU) 系列号 :7:GP 系列,8:TK 系列 含义 ROM 超高速 1T 8051 内核 Flash MCU,2 Kbytes SRAM,32 Kbytes Flash,128 bytes 独立 EEPROM,22 路高灵敏度触控电路,12 位 ADC,1 个模拟比较器,4 路 12 位 PWM,3 个定时器, 乘除法器,UART,SSI,Check Sum 校验模块 1 总体描述 ( 以下简称 SC92F854X) 系列是一颗增强型的超高速 1T 8051

More information

System Design and Setup of a Robot to Pass over Steps Abstract In the research, one special type of robots that can pass over steps is designed and se

System Design and Setup of a Robot to Pass over Steps Abstract In the research, one special type of robots that can pass over steps is designed and se 8051 8051 System Design and Setup of a Robot to Pass over Steps Abstract In the research, one special type of robots that can pass over steps is designed and setup. This type of robot uses two kinds of

More information

SC92F742X

SC92F742X ,512 bytes SRAM,16 Kbytes Flash,128 bytes 独立 EEPROM,12 位 ADC,6 路独立 8 位 PWM,3 个定时器,2 个 SSI 接口,Check Sum 校验模块,STOP 电流

More information

6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C C C51 C51 ANSI C MCS-51 C51 ANSI C C C51 bit Byte bit sbit

6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C C C51 C51 ANSI C MCS-51 C51 ANSI C C C51 bit Byte bit sbit 6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C51 6.1 C51 6.1.1 C51 C51 ANSI C MCS-51 C51 ANSI C C51 6.1 6.1 C51 bit Byte bit sbit 1 0 1 unsigned char 8 1 0 255 Signed char 8 11 128

More information

CH559指令周期.doc

CH559指令周期.doc CH55X 汇编指令周期表 CH55X 汇编指令概述 : 型号包含 : a. 指令单周期型号 :CH557 CH558 CH559; b. 指令 4 周期型号 :CH551 CH552 CH553 CH554; c. 非跳转指令的指令周期数与指令字节数相同 ; d. 跳转指令含 MOVC/RET/CALL 通常比字节数多若干个周期 ; e.movc 指令多 4 或 5 个周期 ( 下条指令地址为奇数时多

More information

Applications

Applications 概述 FM1905 是 24 点 内存映象和多功能的 LCD 驱动器,FM1905 的软件配置特性使它适用于多种 LCD 应用场合, 包括 LCD 模块和显示子系统 用于连接主控制器和 FM1905 的管脚只有 3 条,FM1905 还有一个节电命令用于降 低系统功耗 特点 工作电压 3.0 ~5.0V 内嵌 256KHz RC 振荡器 可外接 32KHz 晶片或 256KHz 频率源输入 可选 1/2

More information

79F161 V0.2

79F161 V0.2 SH88F2051 / 带 10 位 ADC 的 2051/4051 微控制器 1. 特性 基于 8051 兼容流水指令的 8 位单片机 Flash ROM:4K/8K 字节 RAM: 内部 256 字节, 外部 256 字节 片上 512 字节类 EEPROM 存储空间 工作电压 : fosc = 30kHz - 16.6MHz,VDD = 2.8V - 5.5V 振荡器 ( 代码选项 ): -

More information

(10) 在满足串行口接收中断标志位 RI SCON.0 =0 的条件下, 置允许接收位 REN(SCO N.4)=1 就会启动接收一帧数据进入输入移位寄存器, 并装载到接收 SBUF 中, 同时使 RI =l 当发读 SBUF 命令时 ( 执行 MOV A,SBUF 指令 ), 即是由接收缓冲器

(10) 在满足串行口接收中断标志位 RI SCON.0 =0 的条件下, 置允许接收位 REN(SCO N.4)=1 就会启动接收一帧数据进入输入移位寄存器, 并装载到接收 SBUF 中, 同时使 RI =l 当发读 SBUF 命令时 ( 执行 MOV A,SBUF 指令 ), 即是由接收缓冲器 微机原理与接口技术 -- 历年考研真题复习资料 微型计算机的基本组成由微处理器 存储器 I/O 接口电路和系统总线构成, 输入 / 输出 (I /O) 接口和系统总线组成的, 即中央处理器 CPU( 通常包括运算器和控制器 )+ 存储器 + 输入 / 输出 (I/O) 接口, 单片微处理机就是把 (CPU 存储器和 I/O 接口电路 ) 等部件都集成在电路芯片上, 华中科技大学微机原理与接口技术一

More information

33023A.book(31026A_cn.fm)

33023A.book(31026A_cn.fm) 26 第 26 章看门狗定时器与休眠模式 目录 看门狗定时器与休眠模式 本章包括下面一些主要内容 : 26.1 简介... 26-2 26.2 控制寄存器... 26-3 26.3 看门狗定时器 (WDT) 的操作... 26-4 26.4 休眠省电模式... 26-7 26.5 初始化... 26-9 26.6 设计技巧... 26-10 26.7 相关应用笔记... 26-11 26.8 版本历史...

More information

AT89C2051中文资料.doc

AT89C2051中文资料.doc 图形点阵液晶显示模块使用手册 TG12864C(L) 广州捷胜吉电子科技 地址 : 广州市天河区天河路 561# 新赛格电子城 B2226 电话 :(020)33550997 13829772038 网址 :WWW.GZJSJDZ.COM E-mail:CJKD@21CN.COM 目 录 ( 一 ) 概述 (1) ( 二 ) 外形尺寸图 (1) ( 三 ) 模块主要硬件构成说明 (2) ( 四 )

More information

Microsoft Word - TM1621.doc

Microsoft Word - TM1621.doc 概述 TM1621 是 128 点 内存映象和多功能的 LCD 驱动器,TM1621 的软件配置特性使它适用于多种 LCD 应用场合, 包括 :LCD 模块和显示子系统 用于连接主控制器和 TM1621 的管脚只有 4 或 5 条,TM1621 还有一个节电命令用于降低系统功耗 特性 工作电压 2.4~ 5.2V 内嵌 256KHz RC 振荡器 可外接 32KHz 晶片或 256KHz 频率源输入

More information

92 系列产品命名规则 名称 SC 92 F X P 48 R 序号 序号 Sinone Chip 缩写 产品系列名称 产品类型 (F:Flash MCU) 系列号 :7:GP 系列,8:TK 系列 含义 ROM

92 系列产品命名规则 名称 SC 92 F X P 48 R 序号 序号 Sinone Chip 缩写 产品系列名称 产品类型 (F:Flash MCU) 系列号 :7:GP 系列,8:TK 系列 含义 ROM ,2 Kbytes SRAM,16 Kbytes Flash,128 bytes 独立 EEPROM, 12 位 ADC,1 个模拟比较器,LCD/LED Driver,12 位 PWM,3 个定时器, 乘除法器,UART,SSI,Check Sum 校验模块 1 总体描述 ( 以下简称 SC92F744X) 系列是一一颗增强型的超高速 1T 8051 内核工业级 Flash 微控制器, 指令系统完全兼容传统

More information

MSP430单片机简介

MSP430单片机简介 . September 14, 2012 . 1 简介 MSP430 单片机特点超低功耗 2 MSP430 时钟系统 3 MSP430 的端口 4 定时器看门狗定时器定时器 A 5 示例 MSP430 单片机特点. 简介 MSP430 单片机是美国德州仪器 (TI) 公司 1996 年开始推向市场的一种 16 位超低功耗 具有精简指令集的混合信号处理器 它将多个不同功能的模拟电路 数字电路模块和微处理器集成在了一个芯片上

More information

<4D F736F F D20B3E6B4B9A4F930365F32A443AC71C5E3A5DCBEB9B1B1A8EE2E646F63>

<4D F736F F D20B3E6B4B9A4F930365F32A443AC71C5E3A5DCBEB9B1B1A8EE2E646F63> 七段顯示器控制電路四位數 _ 使用解碼器驅動 +5 V 10 uf 8.2 k 12 MHz 20 pf 1 2 3 4 5 6 7 8 9 P1.0 P1.1 P1.2 P1.3 P1.4 P1.5 P1.6 P1.7 RESET 10 P3.0 11 12 13 14 15 16 17 18 19 20 P3.1 P3.2 P3.3 P3.4 P3.5 P3.6 P3.7 XTAL2 XTAL1

More information

CH432DS1.DOC

CH432DS1.DOC CH432 中文手册 ( 一 ) 1 1 概述 双串口芯片 CH432 中文手册版本 :1A http://wch.cn CH432 是双 UART 芯片, 包含两个兼容 16C550 的异步串口, 支持半双工收发自动切换和 IrDA 红外编解码, 支持最高 4Mbps 的通讯波特率, 可以用于单片机 / 嵌入式系统的 RS232 串口扩展 带自动硬件速率控制的高速串口 RS485 通讯 IrDA

More information

从MCS51向AVR的快速转换.PDF

从MCS51向AVR的快速转换.PDF MCS51 AVR MCS51 AVR ATMEL AVR RISC MCS51 AVR 1 MHZ 1MIPS 8MHZ AVR 224MHZ MCS51 FLASH EEPROM ISP WatchDog AVR MCS51 AT90S1200/2313 AT89C1051/2051 AT90S4414/8515 AT89C51/52 PCB / AVR C ICCAVR60 AVR C IAR

More information

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x 115 AVR W.V. Awdrey ATMEL AVR PIC AVR PIC AVR RISC AVR PIC AVR AVR AVR AVR AVR ATtiny15 AVR AVR AVR RAM ROM 121 116 122 ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r31 3 16 X Y Z 6-1 118 7 0

More information

AT89LP213 AT89LP214

AT89LP213 AT89LP214 BDTIC www.bdtic.com/atmel 特性 与 MCS 51 系列相兼容的 8 位微控制器 增强型 8051 体系结构 单时钟周期字节取指能力 20 MHz 时钟频率下吞吐量达 20 MIPS 全静态工作 : 0 Hz 到 20 MHz 片上 2 周期硬件乘法器 128 x 8 内部 RAM 4 个中断优先级 非易失性程序存储器 2KB 系统内可编程 (ISP) Flash 存储器 寿命

More information

Cerris IROS

Cerris IROS 上海海栎创微电子有限公司 CSM212/216/224 数据手册 具有专用电容检测模块的 8 位单片机 Rev: V1.2 www.hynitron.com CSM212/216/224 是一款具有高性能精简指令集且集成电容触控功能的 EERPOM 型 8051 单片机 此单 片机集成有硬件触控 CDC 模块, 可多次编程的 EEPROM 存储器和常用通讯接口, 为各种触摸按键的应用 提供了一种简单而又有效的实现方法

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

AT89LP213

AT89LP213 特性 与 MCS 51 系列相兼容的 8 位微控制器 增强型 8051 体系结构 单时钟周期字节取指能力 20 MHz 时钟频率下吞吐量达 20 MIPS 全静态工作 : 0 Hz 到 20 MHz 片上 2 周期硬件乘法器 128 x 8 内部 RAM 4 个中断优先级 非易失性程序存储器 2KB 系统内可编程 (ISP) Flash 存储器 寿命 : 可重复擦写最少 10,000 次 数据保持能力

More information

微處理機期末專題

微處理機期末專題 微 處 理 機 期 末 專 題 自 動 鋼 琴 組 員 :b92611004 羅 鈞 瑋 b92611008 吳 妍 儂 b92611038 吳 韋 靜 b92611042 林 佳 穎 一 簡 介 本 組 的 主 題 是 自 動 鋼 琴 在 播 放 音 樂 的 同 時, 鋼 琴 會 自 動 按 下 琴 鍵, 被 按 下 的 琴 鍵 所 對 應 到 的 音 階, 就 是 正 在 撥 放 的 樂 曲 的

More information

Microsoft Word - cat1163.doc

Microsoft Word - cat1163.doc 带 I 2 C 串行 CMOS EEPROM, 精密复位控制器和看门狗定时器的监 控电路 -CAT1163(16k) 特性 看门狗定时器输入 (WDI) 兼容 400KHz 的 I 2 C 总线 操作电压范围为 2.7V~6.0V 低功耗 CMOS 技术 16 字节的页写缓冲区 内置误写保护电路 Vcc 锁定 写保护管脚 WP 复位高电平或低电平有效 精确的电源电压监控 支持 5V,3.3V 和 3V

More information

2014年融360中国小微企业“普惠”指数

2014年融360中国小微企业“普惠”指数 2014 年 中 国 小 微 企 业 普 惠 指 数 报 告 出 品 : 融 360 金 融 搜 索 平 台 一 导 语 近 年 来, 小 微 企 业 融 资 难 融 资 成 本 高 问 题 引 起 了 国 务 院 银 监 会 地 方 政 府 等 各 个 层 面 政 府 机 构 的 高 度 关 注 今 年 以 来, 国 务 院 召 开 的 常 务 会 议 提 及 小 微 企 业 的 有 9 次 乊

More information

MCS FCC

MCS FCC F&B 100% MCS FCC6000...1...2...2...3...4...5...7...8...18 HIGH LOW OUT MAN COM1 COM2 PRINT ERROR MONTH.DATA HOUR.MINUTE MAN LOW HIGH OUT HIGH LOW OUT MAN 0 0 1-0 1 1 2 5 4. 5 0 0 1-0 2 2 5 4 6. 9 0 0 1-0

More information

Microsoft Word - SoftICE用户指南.doc

Microsoft Word - SoftICE用户指南.doc SST89E/V5xRD2 SST89E/V554RC SST89E/V564RD SST89E516RD2 单片机 SoftICE 用户指南 1 介绍 SoftICE 的英文是 Software In Circuit Emulator, 翻译成中文是 在电路上的软件模拟, 它是 SST 公司为方便 SST 用户使用 SST89E/V5xRD2 SST89E516RD2 SST89V516RD2 SST89E/V554RC

More information

Low-Cost, µP Supervisory Circuits

Low-Cost, µP Supervisory Circuits 概述 高精度 低功耗 MAX1937 I 2 C 接口实时时钟 / 日历 是一款低功耗 CMOS 实时时钟 / 日历芯片, 它提供一个可编程的时钟输出, 一个中断输出和一个掉电检测器, 所有的地址和数据都通过 I2C 总线接口串行传递 最大总线速度为 400Kbits/s, 每次读写数据后, 内嵌的字地址寄存器会自动递增 特性 可计时基于 32.768kHz 晶体的秒, 分, 小时, 星期, 天,

More information

NiOS II SOPC 嵌入式系统基础教程

NiOS II SOPC   嵌入式系统基础教程 第 4 章 Nios II 外围设备 主要内容 本章介绍了 Nios II 处理器常用外围设备 (Peripherals) 内核的特点 配置以及软件编程, 以便使用这些外设定制 Nios II 系统时查阅 这些外设都是以 IP 核的形式提供给用户的, 用户可以根据实际需要把这些 IP 核集成到 Nios II 系统中去 主要介绍 : 硬件结构 ; 内核的特性核接口 ; SOPC Builder 中各内核的配置选项

More information

2-2 第二章系統分析 XTAL1/ (19/18): 時脈接腳 1. 使用內部振盪器 C1 XTAL1 C2 VSS 2. 使用外部時脈 圖 2-2 使用內部振盪器接線圖 時脈輸入 空接.. 時脈輸入 XTAL1 XTAL1 時脈輸入 XTAL1 VSS VSS VSS (a) (b) (c) 圖

2-2 第二章系統分析 XTAL1/ (19/18): 時脈接腳 1. 使用內部振盪器 C1 XTAL1 C2 VSS 2. 使用外部時脈 圖 2-2 使用內部振盪器接線圖 時脈輸入 空接.. 時脈輸入 XTAL1 XTAL1 時脈輸入 XTAL1 VSS VSS VSS (a) (b) (c) 圖 8051 單晶片 C 語言設計實務 2-1 2-1 接腳說明 P1.0 P1.1 P1.2 P1.3 P1.4 P1.5 P1.6 P1.7 RST (RXD) P3.0 (TXD) P3.1 (INT0) P3.2 (INT1) P3.3 (T0) P3.4 (T1) P3.5 (WR) P3.6 (RD) P3.7 XTA L2 XTA L1 VSS 1 2 3 4 5 6 7 8 9 10 11

More information

16位A/D转换器

16位A/D转换器 16 位 A/D 转换器 anyh 是双竞公司新推出的 16 位 A/D 转换器, 具有两个全差分输入通道, 可用于测量低频模拟信号 这种器件带有增益可编程放大器, 可通过软件编程来直接测量传感器输出的各种微小信号 具有分辨率高 动态范围广 自校准等特点, 因而非常适合于工业控制 仪表测量等领域 16 位 A/D 转换器 1. 概述 是双竞公司新推出的 16 位 A/D 转换器, 具有两个全差分输入通道,

More information

33023A.book(31006A_cn.fm)

33023A.book(31006A_cn.fm) 6 第 6 章存储器构成 存储器构成 目录 本章包括下面一些主要内容 : 6.1 简介...6-2 6.2 程序存储器构成... 6-2 6.3 数据存储器构成... 6-8 6.4 初始化... 6-14 6.5 设计技巧... 6-16 6.6 相关应用笔记... 6-17 6.7 版本历史... 6-18 2004 Microchip Technology Inc. DS31006A_CN 第

More information

1 TPIS TPIS 2 2

1 TPIS TPIS 2 2 1 1 TPIS TPIS 2 2 1. 2. 3. 4. 3 3 4 5 4 TPIS TPIS 6 5 350 Mark Coil F3/F6 350 M 150 M 25 M 7.12M 8 M F3 F6 F4 F7 F8 8M AA 7 350 28V 5V IC HCPL2731 0.5mA 6 8 (TPIS) TPIS 9 7 IC AT89C2051 AT89C2051 CMOS8

More information

MG103_DS_CN_V101

MG103_DS_CN_V101 数据手册 版本 1.01 QP-7300-03D 1/60 目录 01. 概述... 74 12. 功能... 75 23. 引脚... 86 3.1. 封装...86 43.2. 引脚定义...87 54. 方框图...8 65. 特殊功能寄存器 SFR... 89 75.1. SFR 映射表...89 85.2. SFR 位分配...810 96. 8051 CPU 功能描述... 811 16.1.

More information

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC LCD PIC 单片机解决方案 集成 LCD 驱动器模块的 PIC 单片机 www.microchip.com/lcd ,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC,

More information

SPMC75F2413A_EVM_使用说明_V1.2.doc

SPMC75F2413A_EVM_使用说明_V1.2.doc SPMCFA EVM V. - Jan 0, 00 http://www.sunplusmcu.com ................ SPMCFA........... EEPROM.... I/O............ LED.... LED.... RS-........0............ EVM................ 0.....0..... SPMCFA EVM SPMCFA

More information

MPW 项目简介

MPW 项目简介 概述 TM1621 是内存映象和多功能的 LCD 驱动器,TM1621 的软件配置特性使它适用于多种 LCD 应用场合, 包括 LCD 模块和显示子系统 用于连接主控制器和 TM1621 的管脚只有 4 或 5 条,TM1621 还有一个节电命令用于降低系统功耗 功能特性 工作电压 2.4~ 5.2V 内嵌 256KHz RC 振荡器 可外接 32KHz 晶片或 256KHz 频率源输入 可选 1/2

More information

(%) 31,008,215 30,458, ,242,068 15,346, (%) -335, (%) 895,01

(%) 31,008,215 30,458, ,242,068 15,346, (%) -335, (%) 895,01 00338 2010 13.09(1) 1 1.1 1.2 2010 4 28 2010 1.3 1.4 2 2.1 2010 2009 3 31 12 31 (%) 31,008,215 30,458,322 1.805 16,242,068 15,346,073 5.839 2.256 2.131 5.839 (%) -335,612-118.679-0.047-118.679 (%) 895,015

More information

fgfdg

fgfdg CYGNAL 应用笔记 AN006 Cygnal C8051 IDT71V124 128Kx8 SRAM A[16:8] 地址总线 A[16:8] 74VHC573 8 位锁存器 ALE LE AD[7:0] 地址 / 数据总线 D Q A[7:0] I/O[7:0] CS CS /WR WE /RD OE 引言 图 1. 外部 SRAM 接口框图 本应用笔记的目的是介绍如何将一个通用 SRAM 芯片或一个按存储器寻址的外设部件与

More information

双竞具体产品名称

双竞具体产品名称 705 系列复位电路 1. 概述 GC705/706/707/708/813L 是一组 CMOS 微处理器监控电路, 可用来监控微处理器系统供电异常 电池故障和工作状态 和采用分立元件及多片 IC 组合成电路相比, 明显减小了系统电路的复杂性和元器件的数量, 并提高了系统的可靠性和精度 GC705/706/813L 具备以下四项基本功能 : 1) 电源开机, 关机及电源供电不足时给出复位输出 2)

More information

Low-Cost, µP Supervisory Circuits

Low-Cost, µP Supervisory Circuits I 2 C 实时时钟 H YM1302 / 日历芯片钟 特点 可计时基于 32.768kHz 晶体的秒, 分, 小时, 星期, 天, 月和年 带有世纪标志 宽工作电压范围 :2.0~5.5V 低休眠电流 : 典型值为 0.25μA(V DD =3.0V, T A =25 ) I 2 C 总线从地址 : 读,0A3H; 写,0A2H 应用 便携仪器 移动电话 门禁 可编程时钟输出频率为 :32.768kHz,1024Hz,

More information

N79A8211系列单片机内部NVM

N79A8211系列单片机内部NVM 南京立超电子科技有限公司 N79A8211 之 NVM FLASH E 2 PROM 应用笔记 2009 年 03 月 15 中国南京市和燕路 251 号金港大厦 A 幢 2406 室 Room 2406,Tower A,Jingang mansion,251 Heyan Road,Nanjing 210028,P.R.China Tel: 0086-25-83306839/83310926 Fax:

More information