NiOS II SOPC 嵌入式系统基础教程

Size: px
Start display at page:

Download "NiOS II SOPC 嵌入式系统基础教程"

Transcription

1 第 4 章 Nios II 外围设备

2 主要内容 本章介绍了 Nios II 处理器常用外围设备 (Peripherals) 内核的特点 配置以及软件编程, 以便使用这些外设定制 Nios II 系统时查阅 这些外设都是以 IP 核的形式提供给用户的, 用户可以根据实际需要把这些 IP 核集成到 Nios II 系统中去 主要介绍 : 硬件结构 ; 内核的特性核接口 ; SOPC Builder 中各内核的配置选项 ; 软件编程

3 第 4 章目录 4.1 并行输入 / 输出 (PIO) 内核 4.2 SDRAM 控制器内核 4.3 CFI( 通用 Flash) 控制器内核 4.4 EPCS 控制器内核 4.5 定时器内核 4.6 UART 内核 4.7 JTAG_UART 内核 4.8 SPI 内核 4.9 DMA 内核 4.10 带 Avalon 接口的互斥内核 4.11 带 Avalon 接口的邮箱内核 4.12 System ID 内核

4 第 4 章目录 4.1 并行输入 / 输出 (PIO) 内核 4.2 SDRAM 控制器内核 4.3 CFI( 通用 Flash) 控制器内核 4.4 EPCS 控制器内核 4.5 定时器内核 4.6 UART 内核 4.7 JTAG_UART 内核 4.8 SPI 内核 4.9 DMA 内核 4.10 带 Avalon 接口的互斥内核 4.11 带 Avalon 接口的邮箱内核 4.12 System ID 内核

5 4.1 并行输入 / 输出内核 1 并行输入 / 输出内核 (PIO 内核 ) 提供 Avalon 从控制器端口到 2 通用 I/O 口间的存储器映射接口 PIO 内核提供简单的 I/O 访问 用户逻辑或外部设备, 例如 : 控制 LED 读取开关量 控制显示设备 配置并且与片外设备通信 说明 : 1. SOPC Builder 中提供了 PIO 内核, 可以很容易将 PIO 内核集成到 SOPC Builder 生成的系统中 2. 通用 I/O 端口既连接到片内逻辑又连接到外部设备的 FPGA I/O 管脚

6 4.1 并行输入 / 输出内核 PIO 内核简介 每个 Avalon 接口的 PIO 内 核可提供 32 个 I/O 端口且端口数 Nios II 系统 PIO 内核 寄存器 CPU 通过寄存 器控制 I/O 端口 行为 可设置, 用户可以添加一个或多 个 PIO 内核 CPU 通过 I/O 寄存 CPU 器控制 I/O 端口的行为 I/O 口可以配置为输入 输出和三态, 还 内核 PIO 内核 Pio[7] Pio[6] Pio[5] Pio[4] Pio[3] Pio[2] Pio[1] Pio[0] Pio[31] Pio[30] Pio[29] 端口数可设置 可以用来检测电平事件和边沿事 PIO 内核 最多 32 个 I/O 端口 Pio[3] Pio[2] 件 PIO 内核结构框图 Pio[1] Pio[0]

7 4.1 并行输入 / 输出内核 PIO 内核寄存器描述 偏移量寄存器名称 R/W (n-1) 数据寄存器 1 1 方向寄存器 2 中断屏蔽寄存器 读访问 R 读入输入引脚上的逻辑电平值 写访问 W 向 PIO 输出口写入新值 1 R/W R/W 控制每个 I/O 口的输入输出方向 0: 输入 ;1: 输出 使能或禁止每个输入端口的中断请求 (IRQ) 1: 中断使能 ;0: 禁止中断 3 边沿捕获寄存器 12 R/W 当边沿事件发生时对应位置 1 注 : 1 该寄存器是否存在取决于硬件的配置 如果该寄存器不存在, 那么读寄存器将返回未定义的值, 写寄存器无效 2 写任意值到边沿捕获寄存器将清除所有位为 0

8 - PIO 内核配置选项 双击 4.1 并行输入 / 输出内核

9 - PIO 内核配置选项 4.1 并行输入 / 输出内核 I/O 口宽度 : 可设置为 1~32 的任何整数值 Direction Bidirectional(tri-state) ports Input ports only Output ports only Both input and output ports 中文描述双向 ( 三态 ) 端口仅为输入端口仅为输出端口输入和输出端口 Basic Settings 选项卡

10 - PIO 内核配置选项 4.1 并行输入 / 输出内核 Direction Bidirectional(tri-state) ports Input ports only Output ports only Both input and output ports 中文描述双向 ( 三态 ) 端口仅为输入端口仅为输出端口输入和输出端口 Basic Settings 选项卡

11 4.1 并行输入 / 输出内核 PIO 内核寄存器描述 偏移量寄存器名称 R/W (n-1) 数据寄存器 1 方向寄存器 R/W 2 中断屏蔽寄存器 R/W 读访问 R 读入输入引脚上的逻辑电平值 写访问 W 向 PIO 输出口写入新值 控制每个 I/O 口的输入输出方向 0: 输入 ;1: 输出 使能或禁止每个输入端口的中断请求 (IRQ) 1: 中断使能 ;0: 禁止中断 3 边沿捕获寄存器 R/W 当边沿事件发生时对应位置 1

12 - PIO 内核配置选项 4.1 并行输入 / 输出内核 Rising Edge: 上升沿 Falling Edge: 下降沿 Either Edge: 上升或下降沿说明 : 当指定类型的边沿在输入端口出现时, 边沿捕获寄存器边沿捕获寄存器对应位置 1 Level: 输入为高电平且中断使能, 则 PIO 内核产生一个 IRQ 中断寄存器 Edge: 边沿捕获寄存器相应位为 1 且中断使能, 则 PIO 内核产生一个 IRQ 说明 : 中断只有高电平中断, 如果希望低电 平时中断, 则需在该 I/O 输入引脚前加一个 非 门 Input Options 选项卡

13 4.1 并行输入 / 输出内核 PIO 内核寄存器描述 偏移量寄存器名称 R/W (n-1) 数据寄存器 1 方向寄存器 R/W 2 中断屏蔽寄存器 R/W 读访问 R 读入输入引脚上的逻辑电平值 写访问 W 向 PIO 输出口写入新值 控制每个 I/O 口的输入输出方向 0: 输入 ;1: 输出 使能或禁止每个输入端口的中断请求 (IRQ) 1: 中断使能 ;0: 禁止中断 3 边沿捕获寄存器 R/W 当边沿事件发生时对应位置 1

14 - PIO 内核配置选项 4.1 并行输入 / 输出内核 当需要对外设进行仿真时, 要设置 simulation 选项卡 Simulation 选项卡

15 4.1 并行输入 / 输出内核 软件编程 PIO 内核提供了对硬件进行寄存器级访问的文件 altera_avalon_pio_regs.h 该文件定义了内核的寄存器映射并提供硬件设备访问宏定义 可通过阅读上述文件以熟悉 PIO 设备的软件访问方法, 但不应该 修改文件

16 第 4 章目录 4.1 并行输入 / 输出 (PIO) 内核 4.2 SDRAM 控制器内核 4.3 CFI( 通用 Flash) 控制器内核 4.4 EPCS 控制器内核 4.5 定时器内核 4.6 UART 内核 4.7 JTAG_UART 内核 4.8 SPI 内核 4.9 DMA 内核 4.10 带 Avalon 接口的互斥内核 4.11 带 Avalon 接口的邮箱内核 4.12 System ID 内核

17 4.5 定时器内核 定时器是一种非常重要的外围设备 它可以作为系统的周期性时钟源 ; 也可以作为一个计时器, 测定事件发生的时间 ; 还可以对外输出周期性脉冲或作为一条监管系统正常运行的 看门狗

18 4.5 定时器内核 定时器内核综述 定时器是挂载在 Avanlon 总线上的 32 位定时器, 特性如下 : 两种计数模式 : 单次减 1 和连续减 1 计数模式 定时器到达 0 时产生中断请求 (IRQ); 可选择设定为看门狗定时器, 计数到达 0 时复位系统 ; 可选择输出周期性脉冲, 在定时器计数到达 0 时输出脉冲 ; 可由软件启动 停止或复位定时器 ; 可由软件使能或屏蔽定时器中断

19 4.5 定时器内核 定时器内核综述 寄存器文件 数据总线 Status Control Periodh Avanlon 总线从机接口到内核逻辑 地址总线 Periodl Snaph Snapl 计数器 IRQ Reset 控制逻辑 Timeout pulse ( 看门狗 ) 定时器内核结构框图 定时器的计数值由 Periodh 和 Periodl 寄存器装入 ; 每个时刻的计数值可由 Snaph 和 Snapl 寄存器读出 定时器可以输出中断请求信号 (IRQ) 和看门狗复位信号 (Reset) 到片内逻辑, 还可以输出周期脉冲 (Timeout Pulse) 到片外 状态 (Status) 寄存器和控制 (Control) 寄存器分别提供状态信息和控制信息

20 4.5 定时器内核 定时器内核综述 定时器可进行的基本操作如下所述 : Nios II 处理器通过对控制寄存器执行不同的写操作来控制 : 启动和停止定时器 使能 / 禁能中断请求信号 (IRQ) 指定单次减 1 计数或连续减 1 计数模式 处理器读状态寄存器获取当前定时器的运行信息 处理器可通过写数据到 periodl 和 periodh 寄存器来设定定时器周期

21 4.5 定时器内核 定时器内核综述 定时器可进行的基本操作如下所述 : 内部计数器计数减到 0, 立即从周期寄存器开始重新装载 处理器可以通过读 snapl 或 snaph 获取计数器的当前值 当计数器计数到达 0 时 : 如果 IRQ 被使能, 则产生一个 IRQ ( 可选的 ) 脉冲发生器输出有效持续一个时钟周期 ( 可选的 ) 看门狗输出复位系统

22 4.5 定时器内核 定时器寄存器描述 定时器内有 6 个用户可以访问的 16 位寄存器 下表给出了寄存器映射和相关信息 偏移量名称 R/W 位描述 status RW * RUN TO 1 control RW * STOP START CONT ITO 2 periodl RW 超时周期 -1( 位 15..0) 3 periodh RW 超时周期 -1( 位 ) 4 snapl RW 计数器快照 ( 位 15..0) 5 snaph RW 计数器快照 ( 位 ) 注 :* 表示该位保留, 读取值未定义 定时器寄存器

23 定时器寄存器描述 1. 状态寄存器 ( 有 2 个定义位 ) 4.5 定时器内核 位描述偏移量名称 R/W status R/W * RUN TO 位名称读 / 写 / 清除描述 0 TO R/C 当内部计数器减到 0 时,TO(Timeout) 位被置为 1 一旦发生 timeout 事件, TO 位保持置位状态一直到被主控制器清除 向 TO 位写 0 既可清除置位状态 1 RUN R 当内部计数器运行时,RUN 位为 1; 否则该位为 0 对 RUN 位的写操作无效

24 定时器寄存器描述 2. 控制寄存器 ( 有 4 个定义位 ) 偏移量名称 R/W 4.5 定时器内核 位描述 control RW * STOP START CONT ITO 位名称读 / 写 / 清除描述 0 ITO R/W 如果 ITO 位为 1, 则使能定时器中断 ; 如果 ITO 位为 0, 则屏蔽定时器中断 1 CONT R/W CONT( 连续方式 ) 位决定内部计数器减到 0 时的操作 如果 CONT 位为 1, 则计数器连续运行, 直到用 stop 信号将其停止 如果 CONT 位为 0, 则计数器在减到 0 后停止 当计数器减到 0 时, 不管 CONT 位的值如何, 都会自动装载 periodl 和 periodh 寄存器中的 32 位计数值 2 START W 写 1 到 START 位启动内部计数器运行 ( 减 1 计数 ), 写 0 到 START 位无效 3 STOP W 写 1 到 STOP 位停止内部计数器, 写 0 到 STOP 位无效 如果定时器硬件配置 为 关闭 START/STOP control bits, 则写 STOP 位无效 注 : 同时向 START 位和 STOP 位写 1 将产生不可预知的结果

25 定时器寄存器描述 3. periodl 和 periodh 寄存器 偏移量名称 R/W 4.5 定时器内核 位描述 periodl RW 超时周期 -1( 位 15..0) 3 periodh RW 超时周期 -1( 位 ) periodl 和 periodh 寄存器一起存储超时周期的计数值 periodl 保存低 16 位,periodh 保存高 16 位 当以下任意一种情况发生时, 保存在 periodl 和 periodh 中的 32 位值会装载到内部计数器中 : 对 periodl 或 periodh 寄存器进行写操作 ; 内部计数器减到 0 定时器的实际周期是 periodl 和 periodh 寄存器的值加 1, 因为内部计数器减到 0 时, 也需要一个时钟周期 写 periodl 或 periodh 停止内部计数器, 当硬件配置为 关闭 START/STOP control bits 时除外 如果 START/STOP control bits 选项关闭, 那么写任一寄存器都不会停止计数器 当硬件配置为 禁止 Writeable period 时, 写 periodl 或 periodh 寄存器会使计数器复位为系统生成时指定的 Timeout Period

26 定时器寄存器描述 4. snapl 和 snaph 寄存器 偏移量名称 R/W 4.5 定时器内核 位描述 snapl RW 计数器快照 ( 位 15..0) 5 snaph RW 计数器快照 ( 位 ) 可通过对 snapl 和 snaph 寄存器的读操作来获得 32 位内部计数器的当前值 当对 snapl 和 snaph 执行读操作时, 计数器的当前值会被复制到 snapl 和 snaph 中, 不管计数器是否正在运行, 这个过程都会执行, 并且不改变内部计数器的运行状态 snapl 保存被复制值的低 16 位, snaph 保存高 16 位

27 定时器寄存器描述 5. 中断操作 4.5 定时器内核 只要内部计数器减到 0 且控制寄存器的 ITO 位设为 1, 定时器内核就会产生 IRQ 用户要用以下的任意一种方式答应 IRQ: 清除状态寄存器的 TO 位, 等待下一个超时事件的发生 ; 通过将控制寄存器的 ITO 位清零来禁止中断

28 - 定时器内核配置选项 4.5 定时器内核 Timeout Period, 用于设置 periodl 和 periodh 寄存器的初始值, 这个初始值根据系统输入时钟频率和 Initial Period 中的设定值计算获得 当使能 Writeable period 设置时, 处理器可通过写 periodl 和 periodh 改变周期的值 当 Writeable period 设置关闭时, 周期固定且不能在运行时修改 Initial Period, 用于预设硬件生成后的定时器周期, 如果不用软件更改的话, 那么定时器将按照这个周期产生 timeout 事件 定时器配置选项页

29 - 定时器内核配置选项 4.5 定时器内核 Preset Configurations: 可选择的预定义的硬件配置 简单的周期中断 (Simple periodic interrupt), 用于仅要求周期性 IRQ 发生器的系统 固定周期且不能停止定时器, 但可以禁止 IRQ 完整特性 (Full-featured), 用于产生一个具有可变周期的完整特性的定时器, 可以在处理器控制下启动和停止定时器 看门狗 (Watchdog), 用于需要看门狗定时器的系统, 以便在系统已经停止响应的情况下复位系统 定时器配置选项页

30 - 定时器内核配置选项 4.5 定时器内核 Writeable perod: 使能 : 主控制器可通过写 periodl 和 periodh 而改变向下计数周期 屏蔽 : 在指定的 Timeout Period 固定向下计数周期, 且 periodl 和 periodh 寄存器不在硬件中存在 Readable snapshot: 使能 : 主控制器可读当前向下计数器的值 屏蔽 : 计数器的状态仅通过状态寄存器或 IRQ 信号来检测 snapl 和 snaph 寄存器不在硬件中存在, 且读这些寄存器产生未定义的值 Start/Stop control bits: 定时器配置选项页 使能 : 主控制可通过写 START 和 STOP 位来启动和停止定时器 屏蔽 : 定时器连续运行

31 - 定时器内核配置选项 4.5 定时器内核 定时器配置选项页 Timeout pulse: 使能 : 定时器到 0 时, 定时器内核输出信号 timeout_pulse 只要定时器计数到达 0, 该信号脉冲高电平就维持一个时钟周期 屏蔽 :timeout_pulse 信号不存在 System reset on timeout: 使能 : 定时器到 0 时, 就会输出一个时钟周期的高电平信号使系统复位 屏蔽 : 上述的复位信号不存在 看门狗定时器唤醒停止, 处理器接着通过写 1 到控制寄存器的 START 位来启动定时器 如果内部定时器计数到 0, 看门狗定时器输出脉冲复位系统 为了防止系统复位, 处理器必须周期性地通过写 periodl 或 periodh 寄存器来复位定时器的向下计数值 例如, 如果处理器由于软件停止执行而不能访问定时器, 那么看门狗定时器将使系统复位

32 第 4 章目录 4.1 并行输入 / 输出 (PIO) 内核 4.2 SDRAM 控制器内核 4.3 CFI( 通用 Flash) 控制器内核 4.4 EPCS 控制器内核 4.5 定时器内核 4.6 UART 内核 4.7 JTAG_UART 内核 4.8 SPI 内核 4.9 DMA 内核 4.10 带 Avalon 接口的互斥内核 4.11 带 Avalon 接口的邮箱内核 4.12 System ID 内核

33 4.7 JTAG_UART 内核 JTAG_UART 内核综述 带 Avalon 接口的 JTAG_UART 设备实现 PC 和 Nios II 系统间的串行通信 在许多设计中,JTAG_UART 常取代 RS-232 通信设备, 用于字符的输入和输出 与 UART 设备不同的是 JTAG_UART 是通过 JTAG 接口来传输数据的

34 4.7 JTAG_UART 内核 JTAG_UART 内核综述 Altera FPGA JTAG 接口 JTAG UART 内核 Avalon 从设备接口 IRQ 寄存器组数据寄存器控制寄存器 读 FIFO 写 FIFO JTAG 集线器接口 JTAG 控制器 JTAG 集线器 使用 JTAG 接口的其它节点 Altera FPGA 内置特性 由 QuartusII 自动生成 JTAG_UART 系统框图 写 FIFO 缓冲区使数据流从 Avalon 接口到 PC 机

35 4.7 JTAG_UART 内核 JTAG_UART 的寄存器描述 设备驱动程序通过 2 个 32 位存储器映射的寄存器与内核通信 位描述偏移量寄存器名称 R/W 数据 R/W RAVAIL RVALID 保留 DATA 1 控制 R/W WSPACE 保留 AC WI RI 保留 WE RE JTAG_UART 内核寄存器映射

36 4.7 JTAG_UART 内核 JTAG_UART 的寄存器描述 1. 数据寄存器软件通过数据寄存器访问读 / 写 FIFO 位描述偏移量寄存器名称 R/W 数据 R/W RAVAIL RVALID 保留 DATA 位名称读 / 写 / 清除描述 0-7 DATA R/W 传输到或来自 JTAG 内核的值 写操作时,DATA 字段是被写入 写 FIFO 的字符 读操作时,DATA 字段是从 读 FIFO 中读 入的字符 15 RVALID R 指示 DATA 字段是否有效, 如果 RVALID=1, 那么 DATA 字段有效, 否则 DATA 未定义 RAVAIL R 在 读 FIFO 中剩余的字符数 ( 读以后 ) 写数据寄存器 存储 写 FIFO 中 DATA 字段的值 如果 写 FIFO 已满, 那么字符丢失

37 4.7 JTAG_UART 内核 JTAG_UART 的寄存器描述 2. 控制寄存器 控制寄存器由控制 JTAG_UART 内核操作的控制位组成 读控制寄存器 返回 读 / 写 FIFO 的状态, 写控制寄存器 使能 / 禁止中断, 或清零 AC 位 位描述偏移量寄存器名称 R/W 控制 R/W WSPACE 保留 AC WI RI 保留 WE RE RE 和 WE 位分别使能 读 / 写 FIFO 的中断 WI 和 RI 位表示中断源的状态, 受中断使能位 (WE 和 RE) 的限制 软件可通过检查 RI 和 WI 来确定在什么条件下会产生 IRQ 软件可检查 AC 位来确定与 PC 的连接是否存在 如果连接不存在, 软件可选择忽略 JTAG 数据流 当 PC 没有数据传输时, 可选择每秒查询 JTAG_UART 内核一次 WSPACE 位表示 写 FIFO 中可用的空间数

38 4.7 JTAG_UART 内核 JTAG_UART 的寄存器描述 3. 中断操作 当任一中断条件发生并且该中断使能时,JTAG_UART 内核产生一个中断使能 JTAG_UART 内核有 2 种类型的中断 : 写中断和读中断 写中断和读中断可以通过控制寄存器中的 WE 和 RE 位使能或禁止 只要 写 FIFO 几乎为空, 内核就可以声明写中断 nearly empty 的临界值 write_threshold 在系统生成时指定且不能由软件改变 只要 写 FIFO 中有少于或等于 write_threshold 个字符, 写中断条件就被设置 如果没有剩余的字符要发送, 应禁止写中断 只要 读 FIFO 几乎为满, 内核就可声明读中断 nearly full 的临界值 read_threshold 在系统生成时指定且不能由软件改变 只要 读 FIFO 中有少于或等于 read_threshold 个字符的剩余空间, 就设置读中断条件 通过从读 FIFO 中读字符来清除读中断 为了得到最佳性能, 中断临界值应匹配嵌入式软件的中断响应时间 例如, 通过一个 10 MHz JTAG 时钟,PC 每 1us 将提供 ( 或消耗 ) 一个新的字符 若使用的临界值为 8, 则中断响应时间必须少于 8us 如果中断响应时间太长, 那么性能将受损害 如果时间太短, 那么中断将过于频繁地出现 对于 Nios II 处理器系统, 读和写临界值为 8 是一个合适的默认值

39 JTAG_UART 内核配置选项 JATG_UART 配置选项卡 4.7 JTAG_UART 内核 写 FIFO 设置 读 FIFO 设置 Depth, 写 / 读 FIFO 深度可设置为 字节 只允许 2 的乘方值 较大的值消耗更多的片内存储器资源 深度为 64 通常得到最佳性能, 很少需要更大的值 IRQ Threshold, 写 ( 或读 )IRQ 阈值控制内核如何提交其 IRQ 来响应 FIFO 清空 ( 或填满 ) 在 JTAG 清空 写 FIFO 中的数据时 ( 或填满 读 FIFO ) 时, 若 FIFO 中剩余的字符数 ( 或空间数量 ) 到达该阈值, 则内核提交其 IRQ 为了得到最有效的带宽, 处理器应防止写 ( 或读 ) FIFO 完全清空 ( 或填满 ) 才响应中断 值为 8 通常是一个最佳的 IRQ 阈值 Construct using registers instead of memory blocks, 使能该选项将从片内逻辑资源中构造 FIFO 当存储器资源被限制时使用该选项 每字节大约消耗 11 个逻辑单元 (LE), 因此 FIFO 深度为 8( 字节 ) 大约消耗 88 个 LE

untitled

untitled niosii H:\DB2005\project\niosDK\Example\NiosSmall QuartusII4.2 File -> New Project Wizard Diectory,Name,Top-Level Entity Add Files EDA Tools Setting Finish, OK H:\DB2005\project\niosDK\Example\NiosSmall

More information

第十四章 STC单片机比较器原理及实现

第十四章 STC单片机比较器原理及实现 第 14 章 STC 单片机比较器 原理及实现 何宾 2015.02 1 本章主要内容 STC 单片机比较器结构 STC 单片机比较器寄存器组 STC 单片机比较器应用 2 STC 单片机比较器结构 STC15W 系列单片机内置了模拟比较器 对于 STC15W201S STC15W404S, 以及 STC15W1K16S 系 列单片机的比较器内部结构 3 STC 单片机比较器结构 S T C 15W

More information

PowerPoint Presentation

PowerPoint Presentation 预定义的 Nios II I/O 驱动和 HAL API 除了通用的 I/O 内核,Altera 也提供了一些用于访问底层硬件的预定义设备驱动程序和软件库 这些驱动程序和软件库都被整合到硬件抽象层 (HAL) 框架下 软件工程师可以方便地使用这些系统库来访问底层硬件, 而无需关心底层硬件的实现细节 因此对于通用的 I/O 功能, 应用程序便可使用 API 函数或者 C 库函数与 I/O 设备交互 前续课程为

More information

KT-SOPCx开发套件简明教程

KT-SOPCx开发套件简明教程 V2.03 2005-9-1 FPGA SOC FPGA/SOPC IT QuartusII NiosII IDE FPGA/SOPC FPGA/SOPC FPGA/SOPC CT-SOPCx FPGA/SOPC CPLD/FPGA www.fpga.com.cn CPLD/FPGA FPGA QuartusII NiosII CPU SOPC SOPC Builder NiosII IDE 1 www.21control.com

More information

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键 官 方 淘 宝 地 址 :http://metech.taobao.com/ MeTech verilog 典 型 例 程 讲 解 V1.0 笔 者 :MeTech 小 芯 技 术 支 持 QQ : 417765928 1026690567 技 术 支 持 QQ 群 :207186911 China AET 讨 论 组 http://group.chinaaet.com/293 笔 者 博 客 :http://blog.csdn.net/ywhfdl

More information

Microsoft Word - 考试大纲-2015-56(2)

Microsoft Word - 考试大纲-2015-56(2) 考 试 大 纲 2015 版 首 都 师 范 大 学 信 息 工 程 学 院 目 录 C 语 言 程 序 设 计 考 试 大 纲... 1 DSP 原 理 与 应 用 考 试 大 纲... 7 DSP 原 理 与 应 用 实 验 考 试 大 纲... 9 SOPC 设 计 与 实 践 考 试 大 纲... 10 编 译 原 理 考 试 大 纲... 15 操 作 系 统 考 试 大 纲... 23

More information

Microsoft Word - mcu-an z-10.doc

Microsoft Word - mcu-an z-10.doc 富士通微电子 ( 上海 ) 有限公司应用笔记 MCU-AN-500004-Z-10 F²MC-8FX 家族 8 位微控制器 MB95200H/210H 系列 8/16 位多功能定时器 应用笔记 变更履历 变更履历 日期作者修正记录 2008-03-20 Levi Zhang V1.0, 第一版 本手册共 40 页 1. 本文档记载的产品信息及规格说明如有变动, 恕不预先通知 如需最新产品信息和 /

More information

目 录

目 录 1 Quick51...1 1.1 SmartSOPC Quick51...1 1.2 Quick51...1 1.3 Quick51...2 2 Keil C51 Quick51...4 2.1 Keil C51...4 2.2 Keil C51...4 2.3 1 Keil C51...4 2.4 Flash Magic...9 2.5 ISP...9 2.6...10 2.7 Keil C51...12

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 STC 单片机比较器原理及实现 主讲 : 何宾 Email:hebin@mail.buct.edu.cn 2016.03 STC 单片机比较器结构 STC15W 系列单片机内置了模拟比较器 对于 STC15W201S STC15W404S, 以及 STC15W1K16S 系列单片机的比较器内部结 构 STC 单片机比较器结构 从图中可以看出, 比较器正端输入 CMP+ 的输入电压来自单片机的 P5.5

More information

第七章 中断

第七章 中断 嵌入式系统 SOPC(SOC),A case study llxx@ustc.edu.cn 内容提要 本讲的目的 : 介绍嵌入式系统 ; 了解 SOPC 的开发过程 现代计算机系统 嵌入式系统的应用 llxx@ustc.edu.cn 4/87 嵌入式控制系统的软 / 硬件框架 llxx@ustc.edu.cn 5/87 基于 FPGA 的嵌入式系统硬件平台 基于 FPGA 的嵌入式系统结构 FPGA

More information

预先设计的 Nios II I/O 驱动和 HAL API 1

预先设计的 Nios II I/O 驱动和 HAL API 1 预先设计的 Nios II I/O 驱动和 HAL API 1 HAL 概述 除了通用的 I/O 内核,Altera 也提供了一些用于访问底层硬件的预先设计的设备驱动程序和软件库 这些驱动程序和软件库都被整合到硬件抽象层 (HAL) 框架下 软件工程师可以方便地使用这些系统库来访问底层硬件, 而无需关心底层硬件的实现细节 因此对于通用的 I/O 功能, 应用程序便可使用 API 函数或者 C 库函数与

More information

<4D F736F F D203034CAB5D1E9CBC D20B5C4494F20BDD3BFDACAB5D1E92E646F63>

<4D F736F F D203034CAB5D1E9CBC D20B5C4494F20BDD3BFDACAB5D1E92E646F63> 实验四 ARM 的 I/O 接口实验 一 实验目的 1. 了解 S3C44B0X 的通用 I/O 接口 2. 掌握 I/0 功能的复用并熟练的配置, 进行编程实验二 实验设备 1. EL-ARM-830 教学实验箱,PentiumII 以上的 PC 机, 仿真器电缆 2. PC 操作系统 WIN98 或 WIN2000 或 WINXP,ARM SDT2.5 或 ADS1.2 集成开发环境, 仿真器驱动程序

More information

逢 甲 大 學

逢  甲  大  學 益 老 年 不 易更 例 不 異 列 - I - 錄 錄 流 錄 六 來 錄 - II - 錄 錄 錄 錄 錄 錄 參 料 錄 - III - 料 讀 讀 錄 讀 數 錄 錄 錄 錄 錄 - IV - 錄 錄 行 錄 錄 錄 錄 讀 錄 錄 錄 讀 錄 錄 - V - 了 說 力 兩 了 - 1 - 列 邏 路 列 不 不 FLEX 10K Devices at a Glance Feature

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

PowerPoint Presentation

PowerPoint Presentation 7.2 并行接口 二. 可编程并行接口芯片 8255A 的功能及其应用 与 CPU 一侧相连的引脚 D0 PA0 ~ ~ ~ ~ ~ D7 PA7 RESET PC7 WR RD PC4 PC3 PC0 A0 PB0 A1 PB7 CS PA 口 PC 口上半部 PC 口下半部 PB 口 A 组 B 组 与 I/O 设备相连的引脚 7.2 并行接口二. 可编程并行接口芯片 8255A 的功能及其应用

More information

AN 502: Implementing an SMBus Controller in MAX II CPLDs

AN 502: Implementing an SMBus Controller in MAX II CPLDs 在 MAX II CPLD 中实现 SMBus 控制器 2007 年 12 月, 1.0 版 应用笔记 502 引言 SMBus 本文档介绍 Altera MAX II CPLD 中的系统管理总线 (SMBus) 控制器 通过实例展示了 MAX II CPLD 的通用性 SMBus 作为一种 I 2 C, 是两线接口, 各种系统组成都可以通过它来进行通信 在任何时候都只有一个器件能够控制总线, 和一个从机或者多个从机进行通信

More information

untitled

untitled XILINX Platform Cbale USB www.hseda.com ...... Platform Cable USB Compatible.................. impact.........1 platform Cable USB Compatible.........1...1...1...1...1...1 Platform Cable USB Compatible

More information

Microsoft Word - 連啟元.doc

Microsoft Word - 連啟元.doc 中極學刊 第七輯 國立暨南國際大學 中 國 語 文 學 系 2 0 0 8 年 06 月 傳 奇 乎 傳 教 乎 千古奇聞 的編選視域初探 王鐿容 中央大學中文所 摘 要 明末清初商業發展 印刷術的發達 造成文化消費的普及 大眾藝文風氣勃 發 加以心學發展趨盛 思想禁錮鬆動 許多男性文人均曾直接或間接提升女性 地位 商業發達 婦女識字率增加 女性文學的閱讀需求激增 所以出現的一批 寫 給 女 人 的

More information

CM ZT1

CM ZT1 Spansion 模拟和微控制器产品 本文档包含有关 Spansion 模拟和微控制器产品的信息 尽管本文档内有原来开发该产品规格的公司名称 富士通 或 Fujitsu, 该产品将由 Spansion 提供给现有客户和新客户 规格的延续本文档内容并不因产品供应商的改变而有任何修改 文档内容的其他更新, 均为改善文档而进行, 并已记录在文档更改摘要 日后如有需要更改文档, 其更改内容也将记录在文档更改摘要

More information

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas 目录 1 IPv6 快速转发 1-1 1.1 IPv6 快速转发配置命令 1-1 1.1.1 display ipv6 fast-forwarding aging-time 1-1 1.1.2 display ipv6 fast-forwarding cache 1-1 1.1.3 ipv6 fast-forwarding aging-time 1-3 1.1.4 ipv6 fast-forwarding

More information

标题

标题 文学蓝皮书 9 网络文学 趋向主流化 酝酿新格局 摘 要 2015 年的网络文学 在中央重视 政府主导 民间先 行 资本发力等诸多因素联手推动下 呈现出借势发 展和强势进取的良好势头 网络小说创作 在虚构类 的玄幻与仙侠 写实类的历史与都市 都有好的和比 较好的力作佳构联袂而来 主流体制组建网络文学机 构 IP 热 愈演愈烈 都从不同的侧面和层面推动网 络文学进而做大做强 使之成为当代文学中最具成长

More information

33023A.book(31026A_cn.fm)

33023A.book(31026A_cn.fm) 26 第 26 章看门狗定时器与休眠模式 目录 看门狗定时器与休眠模式 本章包括下面一些主要内容 : 26.1 简介... 26-2 26.2 控制寄存器... 26-3 26.3 看门狗定时器 (WDT) 的操作... 26-4 26.4 休眠省电模式... 26-7 26.5 初始化... 26-9 26.6 设计技巧... 26-10 26.7 相关应用笔记... 26-11 26.8 版本历史...

More information

MSP430单片机简介

MSP430单片机简介 . September 14, 2012 . 1 简介 MSP430 单片机特点超低功耗 2 MSP430 时钟系统 3 MSP430 的端口 4 定时器看门狗定时器定时器 A 5 示例 MSP430 单片机特点. 简介 MSP430 单片机是美国德州仪器 (TI) 公司 1996 年开始推向市场的一种 16 位超低功耗 具有精简指令集的混合信号处理器 它将多个不同功能的模拟电路 数字电路模块和微处理器集成在了一个芯片上

More information

2005.book

2005.book ...4... 4... 7...10... 10... 10... 10... 10... 11... 11 PCC... 11 TB170... 12 /... 12...13... 13 BP150 / BP151 / BP152 / BP155... 14...15... 15... 15... 15... 15... 15... 15... 16 PS465 / PS477... 17 PS692

More information

7 FPGA 99 VGA FPGA Nios II Nios II Fig 1 Block diagram of video tracking system CMOS FPGA 1 FPGA SOPC Nios II CMOS RGB SDRAM Avalon Nios

7 FPGA 99 VGA FPGA Nios II Nios II Fig 1 Block diagram of video tracking system CMOS FPGA 1 FPGA SOPC Nios II CMOS RGB SDRAM Avalon Nios DOI:10.13873/j.1000-97872014.07.024 98 Transducer and Microsystem Technologies 2014 33 7 * FPGA 550025 FPGA CMOS SDRAM VGA SOPC SOPC TP 391 A 1000 9787201407 0098 05 Design of a FPGA-based hardware platform

More information

作者 : Jie Xu 日期 : E_mail: KL5121 使用说明 该说明书根据 BECKHOFF KL5121 的英语 PDF 文档翻译及修改而成, 其中将部分介绍性内容简化,

作者 : Jie Xu 日期 : E_mail:  KL5121 使用说明 该说明书根据 BECKHOFF KL5121 的英语 PDF 文档翻译及修改而成, 其中将部分介绍性内容简化, 作者 : Jie Xu 日期 : 2013-07-29 E_mail: jie.xu@beckhoff.com.cn support@beckhoff.com.cn KL5121 使用说明 该说明书根据 BECKHOFF KL5121 的英语 PDF 文档翻译及修改而成, 其中将部分介绍性内容简化, 主要将模块的功能性进行了描述, 其余介绍性的内容请参阅官方 KL5121 的内容 一. 功能描述 :

More information

Microsoft Word - 32

Microsoft Word - 32 * 基 于 Nios II 处 理 器 的 USB 接 口 设 计 * 项 目 基 金 : 获 中 韩 合 作 项 目 Development of Embedded Software and System for Automobile Electronics 的 资 助 ; 重 庆 市 科 技 攻 关 计 划 项 目 面 向 汽 车 ABS 嵌 入 式 系 统 的 专 用 开 发 平 台 及 其

More information

MSP430X1XX 系列微控制器具有以下特征 结构框图 超低功耗结构体系 A 额定工作电流在 1MHz V 工作电压 C11X P11X 和 E11X 为 V 从备用模式唤醒为 6 S 丰富的中断能力减少了查询的需要灵活强大的处理能力源操作数有七种寻址模

MSP430X1XX 系列微控制器具有以下特征 结构框图 超低功耗结构体系 A 额定工作电流在 1MHz V 工作电压 C11X P11X 和 E11X 为 V 从备用模式唤醒为 6 S 丰富的中断能力减少了查询的需要灵活强大的处理能力源操作数有七种寻址模 新一代超低功耗 16 位单片机 TI MSP430 系列 美国 TI 公司的 MSP430 系列单片机可以分为以下几个系列 X1XX X3XX X4XX 等等 而且 在不断发展 从存储器角度 又可分为 ROM C 型 OTP P 型 EPROM E 型 Flash Memory F 型 系列的全部成员均为软件兼容 可以方便地在系列各型号间移植 MSP430 系列单片机 的 MCU 设计成适合各种应用的

More information

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica CP Chip Power ARM Cortex-M3 (STM32F) ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplication and hardware

More information

JTAG ICE PC JTAG ICE JTAG ISP... 5 IDE AVR STUDIO JTAGICE JTAGICE... 12

JTAG ICE PC JTAG ICE JTAG ISP... 5 IDE AVR STUDIO JTAGICE JTAGICE... 12 ... 3... 3... 3... 3... 4 1JTAG ICE PC... 4 2JTAG ICE... 4 3... 4 4... 4 5JTAG... 5 6ISP... 5 IDE... 6 1 AVR STUDIO... 6 2 JTAGICE... 6 3JTAGICE... 12 4.... 16 5.... 17 6. JTAG ICE... 19 ISP... 20 1PonyProg2000...

More information

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas 目录 1 IPv6 快速转发 1-1 1.1 IPv6 快速转发配置命令 1-1 1.1.1 display ipv6 fast-forwarding aging-time 1-1 1.1.2 display ipv6 fast-forwarding cache 1-1 1.1.3 ipv6 fast-forwarding aging-time 1-3 1.1.4 ipv6 fast-forwarding

More information

128K Flash EPROM 的程序?\(Bank=64K\) 切?

128K Flash EPROM 的程序?\(Bank=64K\) 切? 应用说明 华邦 8 位单片机 (8051 内核 ) 应用说明 华邦 8 位单片机 (8051 内核 ) ANSC-UC08-0007 目录 - 1. 应用说明 :...4 1.1...4 1.2 相关寄存器介绍...4 1.2.1 串行口控制寄存器 (SCON)... 4 1.2.2 串行数据缓冲寄存器 (SBUF)... 5 1.2.3 串行口控制 1 (SCON1)... 5 1.2.4 串行数据接收缓冲

More information

PCM-3386用户手册.doc

PCM-3386用户手册.doc PCM-3386 BBPC-4x86 10/100M PC/104 (Lanry technology Co. Ltd. Zhuhai) 38 1012836 (Address: Room 1012,Linhai Building,No. 38,west of Shihua Road,Zhuhai City,Guangdong Province,China) (post code)519015 (phone)0756-3366659

More information

行业

行业 PCI-1716/1716L 1.1...2 1.1.1...2 1.1.2 / /SD*/BU*...2 1.1.3 FIFO( )...2 1.1.4...2 1.1.5 16 16...3 1.1.6...3 1.1.7 ID...3 1.2...3 2.1...3 2.2...4 2.2.1...4 2.2.2...6 2.3... 11 2.3.1... 11 2.3.2...12 2.3.3...13

More information

ARM JTAG实时仿真器安装使用指南

ARM JTAG实时仿真器安装使用指南 ARM JTAG Version 1.31 2003. 11. 12 ARM JTAG ARM JTAG.3 ARM 2.1.4 2.2.4 ARM JTAG 3.1 18 3.2 18 3.2.1 Multi-ICE Server.18 3.2.2 ADS..21 ARM JTAG 4.1 Multi-ICE Server 33 4.1.1 Multi-ICE Server..... 33 4.1.2

More information

行业

行业 PCI-1710 1.1...2 1.1.1...2 1.1.2...2 1.1.3 FIFO( )...2 1.1.4...2 1.1.5...2 1.1.6 16 16...3 1.1.7...3 1.2...3 1.3...3 2.1...3 2.2...4 2.2.1...4 2.2.2...5 2.3...9 2.3.1...10 2.3.2... 11 2.3.3...12 2.3.4...12

More information

IC芯片自主创新设计实验

IC芯片自主创新设计实验 IC 芯片自主创新设计实验 设计报告 设计题目 : 格雷码计数器芯片设计 设计学生 : 吴东生 ( 集成电路 ) 景国新 ( 固体电子 ) 林道明 ( 集成电路 ) 连维重 ( 集成电路 ) 施望 ( 集成电路 ) 刘锦秀 ( 集成电路 ) 刘中伟 ( 集成电路 ) 李梦宁 ( 集成电路 ) 指导教师 : 阮爱武 杜涛 指导单位 : 电子设计自动化技术 课程组 一 格雷码计数器芯片设计概述 功能描述

More information

<4D F736F F F696E74202D20B5DA35D5C2CEA2B4A6C0EDC6F7B9A4D7F7D4ADC0ED2E707074>

<4D F736F F F696E74202D20B5DA35D5C2CEA2B4A6C0EDC6F7B9A4D7F7D4ADC0ED2E707074> 第 5 章 微处理器工作原理 1 5.1 8086 处理器 2 1. 管脚定义 3 8086/88 管脚描述 8086:16 位微处理器, 16 位外部数据总线 8088:16 位微处理器, 8 位外部数据总线 GND AD14 AD13 AD12 AD11 AD10 AD9 AD8 AD7 AD6 AD5 AD4 AD3 AD2 AD1 AD0 NMI INTR CLK GND 1 2 3 4 5

More information

行业

行业 PCL-1800 PCL-1800 1.1...2 1.1.1 1K FIFO...2 1.1.2...2 1.1.3 16...3 1.1.4...3 1.1.5...3 1.1.6...3 1.2...3 1.3...4 1.4...5 2.1...5 2.2...6 2.2.1...6 2.2.2...6 2.2.3 D/A...7 2.2.4...7 2.2.5 TRIG0 GATE0...8

More information

xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结!

xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结! xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结! 以下是串口的时序 : 在设计中, 耽误时间最长的问题就是数据老是出错, 为了找出问题的所在, 用示波器观察了

More information

行业

行业 PCL-818HD/HG/L PCL-818HD/HG/L 1.1...2 1.1.1 /...2 1.1.2 ID...2 1.2...3 1.3...3 2.1...3 2.2...3 2.2.1...4 2.2.2...4 2.2.3 DMA...5 2.2.4...5 2.2.5 D/A...5 2.2.6...6 2.2.7 EXE.trigger GATE0...6 2.2.8 FIFO

More information

Microsoft Word - 101評鑑總評報告--.doc

Microsoft Word - 101評鑑總評報告--.doc I 目 錄 壹 101 年 度 交 通 安 全 教 育 評 鑑 實 施 計 畫...1 貳 教 育 部 交 通 安 全 教 育 評 鑑 委 員 會 組 織...4 參 教 育 部 評 鑑 學 校 等 第...6 肆 交 通 安 全 教 育 評 鑑 工 作 進 度...8 伍 101 年 度 交 通 安 全 教 育 成 效 評 鑑 總 評 鑑 報 告...9 陸 大 專 組 評 鑑 報 告 壹 前 言...13

More information

untitled

untitled 2006-4-25 2006-4-26 2 2006-4-26 3 20 50 6 2006-4-26 4 µ 2006-4-26 5 CERN LEP/LHC 2006-4-26 6 L3 Detector 2006-4-26 7 2006-4-26 8 ATLAS Detector (A Toroidal LHC ApparatuS) 2006-4-26 9 CMS Detector 2006-4-26

More information

1 TPIS TPIS 2 2

1 TPIS TPIS 2 2 1 1 TPIS TPIS 2 2 1. 2. 3. 4. 3 3 4 5 4 TPIS TPIS 6 5 350 Mark Coil F3/F6 350 M 150 M 25 M 7.12M 8 M F3 F6 F4 F7 F8 8M AA 7 350 28V 5V IC HCPL2731 0.5mA 6 8 (TPIS) TPIS 9 7 IC AT89C2051 AT89C2051 CMOS8

More information

378高雄市都市計畫說明書

378高雄市都市計畫說明書 378 高 雄 市 都 市 計 畫 說 明 書 案 名 : 變 更 高 雄 市 楠 梓 區 高 楠 段 二 七 九 地 號 等 八 筆 農 業 區 土 地 為 批 發 市 場 用 地 擬 定 申 請 單 位 : 高 雄 市 政 府 計 畫 範 圍 : 如 圖 示 法 令 依 據 : 都 市 計 畫 法 第 二 十 七 條 第 一 項 第 四 款 一 背 景 說 明 : ( 一 ) 本 市 現 有 果

More information

Microsoft Word - 基于NiosII的SOPC中EEPROM Controller Core的设计.doc

Microsoft Word - 基于NiosII的SOPC中EEPROM Controller Core的设计.doc 基于 NiosII 的 SOPC 中 EEPROM Controller Core 的设计 1 2 麦胤, 柳沁 (1. 重庆邮电大学光电工程学院,2. 重庆邮电大学自动化学院, 重庆 400065) 摘要 : 介绍了如何在基于 NiosII 的 SOPC 中设计 EEPROM 的 Controller Core, 用 Verilog HDL 实现其硬件部分, 编写了相关驱动程序和应用层软件, 构建了基于

More information

MASQUERADE # iptables -t nat -A POSTROUTING -s / o eth0 -j # sysctl net.ipv4.ip_forward=1 # iptables -P FORWARD DROP #

MASQUERADE # iptables -t nat -A POSTROUTING -s / o eth0 -j # sysctl net.ipv4.ip_forward=1 # iptables -P FORWARD DROP # iptables 默认安全规则脚本 一 #nat 路由器 ( 一 ) 允许路由 # iptables -A FORWARD -i eth0 -o eth1 -j ACCEPT ( 二 ) DNAT 与端口转发 1 启用 DNAT 转发 # iptables -t nat -A PREROUTING -p tcp -d 192.168.102.37 dprot 422 -j DNAT to-destination

More information

SST SPAC SST SoftICE SST89C5x/SST89x554RC /564RD /SST89x516/5xRD / SoftICE SoftICE MCU SoftICE SS

SST SPAC SST SoftICE SST89C5x/SST89x554RC /564RD /SST89x516/5xRD / SoftICE SoftICE MCU SoftICE SS SST SoftICE SST89C5x/SST89x554RC /564RD /SST89x516/5xRD2 1...2 1.1...2 1.2...2 1.3 /...2 2 SoftICE...2 3 SoftICE MCU...2 4 SoftICE...3 4.1 SST BootLoader SOFTICE...3 4.2 SoftICE SST MCU...6 5 SoftICE...7

More information

行业

行业 PCI-1711/1711L 1.1...2 1.1.1...2 1.1.2...2 1.1.3 FIFO...2 1.1.4...2 1.1.5 16 16...3 1.2...3 2.1...3 2.2...3 2.2.1... 2.2.2...8 2.3...10 2.3.1...10 2.3.2... 11 2.3.3...12 2.3.4...13 2.4.5...14 3.1...16

More information

Microsoft Word - Delta Controller ASCII_RTU_SC

Microsoft Word - Delta Controller ASCII_RTU_SC Delta Controller ASCII/RTU ( 适用台达变频器 伺服驱动器 PLC 温度控制器 ) 人机默认值通讯速率 :9600, 7, None, 2 (ASCII); 9600, 8, None, 2 (RTU) 控制器站号 :1 控制区 / 状态区 :None/None 控制器接线的说明 Delta Servo a. RS-232(DOP-A/AE/AS, DOP-B 系列适用 )

More information

S3C244A 中文 Datasheet CMD 路径编程 (1) 写命令参数 32 位到 SDICmdArg (2) 决定命令类型并通过设置 SDICmdCon 开始命令传输 (3) 当 SDICmdSta 的特殊标志被置位, 确认 SDICMD 路径操作的结束 (4) 如果命令类型

S3C244A 中文 Datasheet CMD 路径编程 (1) 写命令参数 32 位到 SDICmdArg (2) 决定命令类型并通过设置 SDICmdCon 开始命令传输 (3) 当 SDICmdSta 的特殊标志被置位, 确认 SDICMD 路径操作的结束 (4) 如果命令类型 S3C244A 中文 Datasheet 19.1 特点 - 兼容 SD 存储卡规格 (1. 版本 ) 或 MMC 规格 (2.11 版本 ) - 兼容 SDIO 卡规格 (1. 版本 ) - 用于数据接收发送的 16 字 (64 字节 )FIFO -4 位的命令寄存器 -136 位的响应寄存器 -8 位的预定标器逻辑 ( 频率 = 系统时钟 /(P+1)) - 通常模式或 DMA 传输模式 ( 字节

More information

Microsoft Word - LMB402CBC-AppNote-V0.1.doc

Microsoft Word - LMB402CBC-AppNote-V0.1.doc LMB402CBC 液晶显示模块应用参考 深圳市拓普微科技开发有限公司 版本描述日期编者 0.1 新版本 2006-02-09 杨军 http://www.topwaysz.com 1 / 1 目录 1 概述 3 2 硬件接口 3 3 软件特性 3 3.1 控制器接口信号说明 3 3.2 模块指令系统 4 4 显示数据存储器的地址 4 5 字符发生器 CGRAM 的地址 5 6 应用举例 5 6.1

More information

图 内部结构图 8251 的外部引脚如图 所示, 共 28 个引脚, 每个引脚信号的输入输出方式如图中的箭 头方向所示

图 内部结构图 8251 的外部引脚如图 所示, 共 28 个引脚, 每个引脚信号的输入输出方式如图中的箭 头方向所示 实验题目 : 串行接口芯片 8251 实验目的 : 1. 掌握 8251 的工作方式及应用 2. 了解有关串口通讯的知识 串行接口芯片 8251 3. 掌握使用 8251 实现双机通讯的软件编程和电路连接 实验设备 : IA-32 架构的微机系统及应用教学平台两套 实验原理 : 1. 8251 的基本性能 性能 : 8251 是可编程的串行通信接口, 可以管理信号变化范围很大的串行数据通信 有下列基本

More information

【主持人】:给大家介绍一下,这次的培训是我们画刊部的第三次培训,当然今天特别有幸请来著吊的摄影家李少白老师给我们讲课

【主持人】:给大家介绍一下,这次的培训是我们画刊部的第三次培训,当然今天特别有幸请来著吊的摄影家李少白老师给我们讲课 摄 影 中 的 陌 生 感 和 熟 悉 感 看 不 见 的 故 宫 的 作 者 李 少 白 老 师 以 此 画 册 为 例, 深 刻 分 析 和 探 讨 摄 影 中 的 陌 生 感 和 熟 悉 感 看 不 见 的 故 宫 这 本 画 册 最 初 设 想 分 为 四 个 章 节 第 一 章 叫 辉 煌, 第 二 章 叫 梦 想, 第 三 章 叫 神 秘, 第 四 章 叫 飞 歌 为 什 么 分 四 个

More information

20140511

20140511 卷 九 唯 識 學 概 要 真 如 緣 起 也 有 它 不 足 的 地 方! 諸 位 法 師 慈 悲, 陳 會 長 慈 悲, 諸 位 菩 薩, 阿 彌 陀 佛! 請 大 家 打 開 講 義 第 二 十 四 面, 我 們 講 到 二 種 子 之 由 來 我 們 這 一 科 是 講 到 依 唯 識 相 安 立 緣 起, 也 就 是 說 從 唯 識 學 的 角 度 來 探 討 我 們 有 情 眾 生 生

More information

邏輯分析儀的概念與原理-展示版

邏輯分析儀的概念與原理-展示版 PC Base Standalone LA-100 Q&A - - - - - - - SCOPE - - LA - - ( Embedded ) ( Skew ) - Data In External CLK Internal CLK Display Buffer ASIC CPU Memory Trigger Level - - Clock BUS Timing State - ( Timing

More information

2015 2002 2 11 2002 2 11 346 2005 1 1 2015 4 10 2015 3 10 2015 4 10 2005 1 1 2015 4 10 2015 4 10 86 2000 7 25 2000 9 1 100,000 87 2012 6 18 50% 1995 3 18 2015 12 27 2016 6 1 2003 9 1 2013 6 29 2004 4 1

More information

FPGAs in Next Generation Wireless Networks WPChinese

FPGAs in Next Generation Wireless Networks WPChinese FPGA 2010 3 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 FPGAs in Next Generation Wireless Networks GSM GSM-EDGE 384kbps CDMA2000

More information

逢甲大學

逢甲大學 逢 甲 大 學 資 訊 工 程 學 系 專 題 研 究 報 告 Altera DE2-70 搭 配 LTM 實 作 遊 戲 - 小 蜜 蜂 指 導 教 授 : 陳 德 生 學 生 : 林 桂 廷 ( 資 訊 四 丙 ) 張 育 祥 ( 資 訊 四 丙 ) 中 華 民 國 壹 百 年 十 一 月 摘 要 本 專 題 是 利 用 Altera DE2-70 開 發 板 和 TRDB_LTM 觸 控 面

More information

行业

行业 PCI-1713 1.1...2 1.1.1...2 1.1.2...2 1.1.3...2 1.1.4...2 1.2...2 1.3...3 1.4...3 2.1...4 2.2...4 2.2.1...4 2.2.2...6 2.3... 11 2.3.1... 11 3.1...13 3.1.1...13 3.1.2...14 3.2...15 4.1 4.2...16 4.2.1 ADSOFT/ADTRIG...16

More information

Microsoft Word - 文档 1

Microsoft Word - 文档 1 2 21 189 220 189 1 2 1 (1 2 2. ( 1 ) (2) 1978 1975 ) 1 930 19 43 1949 19 80 1930 1914 93 4 1 (3) 1900 1980 19 82 5 4 19 84 6 28 1946 7 1947 6 1 9 44 4 1933 937 1 7 1938 1939 1940 2 10 1 1 94 2 12 3 11

More information

第一章

第一章 1 2 3 4 5 6 7 8 9 10 11 12 13 14 1500 1450 1400 1350 1300 1250 1200 15 16 17 18 19 20 21 22 23 24 25 26 27 28 INPUT2006 29 30 31 32 33 34 35 9000 8500 8000 7500 7000 6500 6000 5500 5000 4500 4000 3500

More information

ICD ICD ICD ICD ICD

ICD ICD ICD ICD ICD MPLAB ICD2 MPLAB ICD2 PIC MPLAB-IDE V6.0 ICD2 usb PC RS232 MPLAB IDE PC PC 2.0 5.5V LED EEDATA MPLAB ICD2 Microchip MPLAB-IDE v6.0 Windows 95/98 Windows NT Windows 2000 www.elc-mcu.com 1 ICD2...4 1.1 ICD2...4

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63> 嵌 入 式 系 统 设 计 师 考 试 大 纲 一 考 试 说 明 1 考 试 要 求 : (1) 掌 握 科 学 基 础 知 识 ; (2) 掌 握 嵌 入 式 系 统 的 硬 件 软 件 知 识 ; (3) 掌 握 嵌 入 式 系 统 分 析 的 方 法 ; (4) 掌 握 嵌 入 式 系 统 设 计 与 开 发 的 方 法 及 步 骤 ; (5) 掌 握 嵌 入 式 系 统 实 施 的 方 法

More information

4.1 LPC2000 系列简介 简介 LPC2000 系列微控制器基于 ARM7TDMI-S CPU 内核 支持 ARM 和 Thumb 指令集, 芯片内集成丰富外设, 而且具有非常低的功率消耗 使该系列微控制器特别适用于工业控制 医疗系统 访问控制和 POS 机等场合

4.1 LPC2000 系列简介 简介 LPC2000 系列微控制器基于 ARM7TDMI-S CPU 内核 支持 ARM 和 Thumb 指令集, 芯片内集成丰富外设, 而且具有非常低的功率消耗 使该系列微控制器特别适用于工业控制 医疗系统 访问控制和 POS 机等场合 嵌入式计算机系统 Lecture #4 ARM 7 重要硬件结构 内容来自于 ARM 嵌入式系统基础教程 及其配套课件 4.1 LPC2000 系列简介 简介 LPC2000 系列微控制器基于 ARM7TDMI-S CPU 内核 支持 ARM 和 Thumb 指令集, 芯片内集成丰富外设, 而且具有非常低的功率消耗 使该系列微控制器特别适用于工业控制 医疗系统 访问控制和 POS 机等场合 4.1

More information

河 南 蓝 皮 书 文 化 (2008) 网 络 文 学 主 要 是 指 在 网 上 原 创 的 文 学 作 品 作 为 网 络 文 化 的 主 力 部 分, 网 络 文 学 的 发 展 在 近 年 来 势 不 可 挡 作 为 凭 借 新 兴 媒 介 兴 起 的 文 学, 网 络 文 学 与 传 统

河 南 蓝 皮 书 文 化 (2008) 网 络 文 学 主 要 是 指 在 网 上 原 创 的 文 学 作 品 作 为 网 络 文 化 的 主 力 部 分, 网 络 文 学 的 发 展 在 近 年 来 势 不 可 挡 作 为 凭 借 新 兴 媒 介 兴 起 的 文 学, 网 络 文 学 与 传 统 网络文化的现状与存在问题 网络文化的现状与存在问题 郭海荣 互联网自 20 世纪 90 年代进入普通百姓的视野以来, 迅速得以普及 迅速发 展的网络改变了和正在改变着社会的方方面面, 并产生了全新的文化形式, 即网 络文化 网络文化是随着计算机联网而产生的一种新的文化现象, 它是信息时代 的特殊文化 作为人类社会最新的文化传播工具, 互联网体现了对自由的追求, 开启了新的自由空间, 为文化的平等发展开辟了空间

More information

《將進酒》

《將進酒》 00 年 教 學 設 計 獎 勵 計 劃 將 進 酒 參 選 編 號 :C076 學 科 名 稱 : 適 合 程 度 : 高 一 簡 介 設 計 目 的 1. 此 教 案 之 設 計, 目 的 是 讓 學 生 清 楚 明 白 古 體 詩 與 近 體 詩 之 不 同 處, 並 且 以 多 媒 體 輔 助 教 學, 提 高 學 生 學 習 的 興 趣. 藉 課 件 之 運 用, 促 進 學 生 在 學

More information

行业

行业 PCI-1751 1.1...2 1.2...2 1.3...2 1.4...3 2.1...3 2.2...3 2.2.1...3 2.2.2...3 2.2.3 JP4...4 2.2.4...4 2.3...5 2.3.1...6 2.3.2...7 2.4...12 2.4.1...13 2.4.2...13 2.4.3...14 3.1...16 3.1.1 /...16 3.1.2 /...17

More information

基于 Zynq 的 OLED 驱动设计 OLED 具备自发光 不需背光源 对比度高 厚度薄 视角广 反应速度快 可用于挠曲性面板 使用温度范围广 构造及制程较简单等优异特性, 被认为是下一代的平面显示器新兴应用技术 目前 OLED 的驱动大部分都是基于 STM 系列 ARM 芯片和传统 FPGA 芯

基于 Zynq 的 OLED 驱动设计 OLED 具备自发光 不需背光源 对比度高 厚度薄 视角广 反应速度快 可用于挠曲性面板 使用温度范围广 构造及制程较简单等优异特性, 被认为是下一代的平面显示器新兴应用技术 目前 OLED 的驱动大部分都是基于 STM 系列 ARM 芯片和传统 FPGA 芯 基于 Zynq 的 OLED 驱动设计 OLED 具备自发光 不需背光源 对比度高 厚度薄 视角广 反应速度快 可用于挠曲性面板 使用温度范围广 构造及制程较简单等优异特性, 被认为是下一代的平面显示器新兴应用技术 目前 OLED 的驱动大部分都是基于 STM 系列 ARM 芯片和传统 FPGA 芯片 为适应 Xilinx 最新平台 Zynq 的人机交互需要, 提出一种基于 Zynq 的 OLED

More information

第 16 章 SPI 串行同步通讯接口模式 这个 5 系列通用串行通信接口支持一个硬件模块下的多通道串行通信模式 本章节主要讨论同步外围接口的运行或称 SPI 模式的运行 16.1 USCI 的概述通用串行通信接口模块支持多道串行通信模式 不同的 USCI 支持不同的模式 每一个不同的 USCI 模

第 16 章 SPI 串行同步通讯接口模式 这个 5 系列通用串行通信接口支持一个硬件模块下的多通道串行通信模式 本章节主要讨论同步外围接口的运行或称 SPI 模式的运行 16.1 USCI 的概述通用串行通信接口模块支持多道串行通信模式 不同的 USCI 支持不同的模式 每一个不同的 USCI 模 MSP430F5 系列 16 位超低功耗单片机模块原理 第 16 章 SPI 串行同步通讯接口模式 版本 : 1.1 发布日期 : 2008.9. 最后更新日期 :2010.8. 原文 : TI slau208.pdf (5xxfamily User's Guide) 翻译 : 张桂兴西北工业大学 编辑 : DC 微控网总版主 注 : 以下文章是翻译 TI slau208.pdf 文件中的部分内容

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 STC 单片机 CCP/PCA/PWM 模块原理及实现 主讲 : 何宾 Email:hebin@mail.buct.edu.cn 2016.03 CCP/PCA/PWM 结构 STC15 系列部分单片机内部集成了三路 CCP/PCA/PWM 模块, 如图 24.1 所示 注 : STC15W1K16S STC15W404S STC15W201S STC15F100W 系列单片机无 CCP/PCA/PWM

More information

圖形10.cdr

圖形10.cdr Workshop on Fully Layout Technology Altera Nios XilinxAltera 2002 Workshop on Fully Layout Technology 1999 2000 IT 2001 32% 2 IC 8.6% IC IC 1 8 2001 7100 2002 1 Feb 250 IC IC IC IC Fully Layout RF GHz

More information

DPJJX1.DOC

DPJJX1.DOC 8051 111 2K 1 2 3 ' ' 1 CPU RAM ROM / A/D D/A PC CPU 40 68 10 20 8 51 PIII 8051 2 MCS51 8051 8031 89C51 8051 8031 89C51? MCS51 INTEL INTEL 8031 8051 8751 8032 8052 8752 8051 8051 8051 MCS51 8031 8031

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM3316/3315 MCU 2017.06 FM3316/3315 MCU 2.21 (http://www.fmsh.com/) FM3316/3315 MCU 2.22 ... 3 1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.3.1... 6 2.3.2... 6 2.4... 9 2.5... 10 2.5.1 LQFP64... 10 2.5.2

More information

STEP-MXO2 V2硬件手册

STEP-MXO2 V2硬件手册 小脚丫 STEP FPGA STEP 2016/8/28 目录 1. 概述... 2 2.STEP-MXO2 V2 硬件简介 :... 3 2.1 STEP-MXO2 V2 开发板... 3 2.2 FT232 编程器... 3 2.3 FPGA... 3 2.4 供电... 4 2.5 时钟... 4 2.6 七段数码管... 4 2.7 三色 LED... 4 2.8 拨码开关... 4 2.9

More information

Microsoft Word - MSP430 Launchpad 指导书.docx

Microsoft Word - MSP430 Launchpad 指导书.docx Contents 3... 9... 14 MSP430 LAUNCHPAD 指导书 3 第一部分第一个工程 New Project File > New > CCS Project Project name: ButtonLED Device>Family: MSP430 Variant: MSP430G2553 Project templates and examples : Empty Project

More information

SDP 1 2 3 4 8 9 10 12 19

SDP 1 2 3 4 8 9 10 12 19 SDP SDP 1 2 3 4 8 9 10 12 19 SDP 2 SDP CANBUS 3m/s 48 1 2 N 3 4 5 6 7 8 9 EMC EMC ENS008212 EN618003 10 IP21 SDP 3 1 1 4 2 5 3 P24 103 104 N24 G24 P24 101 102 N24 G24 J2 J3 n P2 P1 P3 J2 J1 J3 1 P2 P1

More information

Microsoft PowerPoint - altera_traning_hw_lab_.ppt [相容模式]

Microsoft PowerPoint - altera_traning_hw_lab_.ppt [相容模式] Terasic Technologies Company Introduction Outline Outline DE1-SoC 快速入门 SoC FPGA 设计流程 DE1-SoC 硬件实验 DE1-SoC 软件实验 DE1-SoC 进阶应用 Demo DE1 SoC 快速入门 开发设计软件 Altera Quartus II Altera SoC Embedded Design Suite 实验教材文件

More information

KL DSC DEMO 使用说明

KL DSC DEMO 使用说明 :0755-82556825 83239613 : (0755)83239613 : http://www.kingbirdnet.com EMAIL Good989@163.com 1 1 KB3000 DTU... 3 1.1... 3 1.2... 3 1.3... 3 1.4... 3 2... 4 2.1 GSM/GPRS... 4 2.2... 4 2.3... 5 2.4... 6 2.5...

More information

FPGA数字音频开发平台构建.doc

FPGA数字音频开发平台构建.doc FreeDev FPGA 音频开发环境和平台构建 系统架构...2 TLV320AIC23 介绍...2 音频采集模块实现...3 创建 SOPC Builder 用户组件和 SOPC Builder 配置...15 Nios II IDE 软件实现...18 FreeDev 支持音频的开发板...24 第 1 页共 25 页 FreeDev FPGA 音频开发环境和平台构建 自由电子 本文通过 自由电子科技

More information

Tel: , Fax: STR-15 STR bps 2400bps 4800bps 9600bps STR : 500mW 2.ISM 433

Tel: , Fax: STR-15 STR bps 2400bps 4800bps 9600bps STR : 500mW 2.ISM 433 Tel:086-21-50807785, 50273226 Fax:086-21-50807785-807 http://www.sendbow.com TEL +86-021-50273226 50807785 13816690692 FAX:+86-021-50807785-807 167 E-mail:mailto:technology@sendbow.com web:http://www.sendbow.com

More information

Embargoed until May 4, 2004 EXPRESS 40 NI HQ 3000 1000 5000 ~ 500 10% / 500 85% NI LabVIEW 7 Express Express EXPRESS : #1 GPS Navigation PC/WWW/Email CD+RW Mobile Phone PDA DVD+RW Satellite Car Alarm/Radio

More information

PowerPoint Presentation

PowerPoint Presentation 中 小 IT 企 业 人 力 资 源 管 理 咨 询 简 介 一 背 景 分 析 二 需 求 分 析 三 服 务 内 容 四 操 作 流 程 五 斯 隆 优 势 六 行 业 案 例 七 服 务 理 念 目 录 一 背 景 分 析 -IT 业 现 状 分 析 IT 产 业 的 总 量 水 平 较 低 中 国 IT IT 现 状 总 体 规 模 较 小 技 术 自 主 创 新 能 力 差 对 经 济 的

More information

solutions guide

solutions guide solutions guide Tridium 01 Table of Contents Tridium... 1 Frameworks... 4 Niagara AX Framework... 5 Sedona Framework... 6.... 7 NPM... 8 Sedona Chip... 9 AX Supervisor... 10 AX SoftJACE...11...12. JACE

More information

S3C

S3C S3C2440A 32- 位 CMOS 微型控制器用户手册修订版本 1 第一章产品概述 -------- 马志晶译 1 目录 第一章产品概述...1-3 特性...1-3 内部结构图......1-7 管脚分配...1-9 信号说明...1-22 s3c2440a 特殊寄存器......1-27 2 1 产品概述引言 三星公司推出的 16/32 位 RISC 微处理器 S3C2440A, 为手持设备和一般类型应用提供了低价格

More information

STC15W4K60S4系列增强型PWM波形发生器应用

STC15W4K60S4系列增强型PWM波形发生器应用 STC15W4K32S4 系列新增 6 通道增强型带死区控制高精度 PWM 波形发生器应用 STC15W4K32S4 系列的单片机集成了一组 ( 各自独立 6 路 ) 增强型的 PWM 波形发生器 PWM 波形发生器内部有一个 15 位的 PWM 计数器供 6 路 PWM 使用, 用户可以设置每路 PWM 的初始电平 另外,PWM 波形发生器为每路 PWM 又设计了两个用于控制波形翻转的计数器 T1/T2,

More information

团 学 要 闻 我 校 召 开 共 青 团 五 届 九 次 全 委 ( 扩 大 ) 会 议 3 月 17 日, 我 校 共 青 团 五 届 九 次 全 委 ( 扩 大 ) 会 议 在 行 政 办 公 楼 五 楼 会 议 室 举 行, 校 团 委 委 员 各 院 ( 系 ) 团 委 书 记 校 学 生

团 学 要 闻 我 校 召 开 共 青 团 五 届 九 次 全 委 ( 扩 大 ) 会 议 3 月 17 日, 我 校 共 青 团 五 届 九 次 全 委 ( 扩 大 ) 会 议 在 行 政 办 公 楼 五 楼 会 议 室 举 行, 校 团 委 委 员 各 院 ( 系 ) 团 委 书 记 校 学 生 共 青 团 工 作 简 报 2011 年 第 1 期 共 青 团 大 连 海 洋 大 学 委 员 会 团 学 要 闻 : 导 读 我 校 召 开 共 青 团 五 届 九 次 全 委 ( 扩 大 ) 会 议 我 校 在 大 连 市 大 学 生 创 新 创 意 作 品 大 赛 中 取 得 佳 绩 校 团 委 召 开 学 生 干 部 思 想 动 态 座 谈 会 校 团 委 组 织 开 展 弘 扬 雷 锋

More information

untitled

untitled TD3200 V1.3 2005-02-25 BOM 31050155 518057 www.emersonnetworkpower.com.cn 800-820-6510 800021-230171410755-86010800 E-mailinfo@emersonnetwork.com.cn ... 1 1.1...1 1.2...2 1.3...4... 5 2.1...5 2.2...5

More information

Microsoft Word - mcu-an z-10.doc

Microsoft Word - mcu-an z-10.doc 富士通微电子 ( 上海 ) 有限公司应用笔记 MCU-AN-500007-Z-10 F²MC-8FX 家族 8 位微控制器 MB95200H/210H 系列 外部中断 应用笔记 变更履历 变更履历 日期作者修正记录 2008-03-20 Levi Zhang V1.0, 第一版 本手册共 22 页 1. 本文档记载的产品信息及规格说明如有变动, 恕不预先通知 如需最新产品信息和 / 或规格说明, 联系富士通销售代表或富士通授权分销商

More information

中文手册

中文手册 PCC-3428 PC/104 1. PCC-3428 1.1 PCC-3428 90mm 96mm ST CPU STPC Atlas Atlas CPU 486 DX/DX2 CPU DX2 133MHz Atlas 2D LCD/CRT 100MHz SDRAM 64MBytes PCC-3428 10/100Mbps DOC EIDE USB PC/104 ST STPC Atlas STPC

More information

untitled

untitled XZL024 http://item.taobao.com/item.htm?id=6321822194 1 1 1.1 1.2 1.3 1.4 2 2.1 2.2 2.3 3 USBee Suite 3.1 3.2 3.3 3.4 4 RS232 RS485 RS422 CAN http://item.taobao.com/item.htm?id=6321822194 2 1 XZL024 PC

More information

NiOS II SOPC 嵌入式系统基础教程

NiOS II SOPC   嵌入式系统基础教程 第 2 章 SOPC 开发流程及开发平台 本章首先介绍 SOPC 开发的基本流程, 然后详细的讲述一个简单的 SOPC 系统的设计过程, 包括使用 Quartus II SOPC Builder 定制 Nios II 系统以及利用 Nios II IDE 进行应用程序开发 通过实例以最快的方式描述 SOPC 开发以及各软件的使用 内容包括 在 Quartus II 中建立一个工程 使用 SOPC Builder

More information

Cost_Effective C Handbook

Cost_Effective C Handbook HT8 MCU 看门狗 (WDT) 应用范例 防止死机 文件编码 :AN0426S 简介 Holtek Flash MCU 提供了一个功能单元 WDT (Watch Dog Timer) 看门狗定时器, 其最主要的功能是避免程序因不可预期的因素 ( 如 : 电路噪声 ) 造成系统长时间的瘫痪 ( 如 : 跳至死循环或未知地址造成无法预测的结果 ) 功能说明 Holtek 新推出的 MCU 已无 2

More information

Microsoft Word - mcu-an z-11.doc

Microsoft Word - mcu-an z-11.doc 富士通微电子 ( 上海 ) 有限公司应用笔记 MCU-AN-500007-Z-11 F²MC-8FX 家族 8 位微控制器 MB95200H/210H 系列 外部中断 应用笔记 外部中断 V1.1 变更履历 变更履历 日期作者修正记录 2008-03-20 Levi Zhang V1.0, 第一版 2008-07-18 Levi.Zhang V1.1, 在第 6 章更多信息中添加 URL; 更新了一些样本程式

More information

Microsoft Word - cat1163.doc

Microsoft Word - cat1163.doc 带 I 2 C 串行 CMOS EEPROM, 精密复位控制器和看门狗定时器的监 控电路 -CAT1163(16k) 特性 看门狗定时器输入 (WDI) 兼容 400KHz 的 I 2 C 总线 操作电压范围为 2.7V~6.0V 低功耗 CMOS 技术 16 字节的页写缓冲区 内置误写保护电路 Vcc 锁定 写保护管脚 WP 复位高电平或低电平有效 精确的电源电压监控 支持 5V,3.3V 和 3V

More information

51 C 51 isp 10 C PCB C C C C KEIL

51 C 51 isp 10   C   PCB C C C C KEIL http://wwwispdowncom 51 C " + + " 51 AT89S51 In-System-Programming ISP 10 io 244 CPLD ATMEL PIC CPLD/FPGA ARM9 ISP http://wwwispdowncom/showoneproductasp?productid=15 51 C C C C C ispdown http://wwwispdowncom

More information

NORCO-740 CPU M/00M NORCO-740 NORCO-740E NORCO-740G NORCO-740GE Intel 845GL Intel 845G

NORCO-740 CPU M/00M NORCO-740 NORCO-740E NORCO-740G NORCO-740GE Intel 845GL Intel 845G 3. 4.2 4 2. 2.. 8 2..2 VGA 8 2..3 (J2,J3,J5) 9 2..4 9 2..5 USB 20 2..6 MS KB 20 2..7 (J) 20 2..8 2 2..9 2 2..0 22 2.. (IDE,2) 22 2..2 22 2..3 AC 97 23 2.2 2.2. FSB :JFS 24 2.2.2 Watchdog Timer :JWD 24

More information

PROTEUS VSM

PROTEUS  VSM Proteus VSM-- 1/1 PROTEUS VSM Proteus VSM ISIS Prospice VSM Proteus PROSPICE ARM7 PIC AVR HC11 8051 CPU LCD RS232 LED IAR Keil Hitech C make 6000 SPICE SPICE DLL SPICE3F5 14 FM PROTEUS PCB LED/LCD / 300

More information