Microsoft PowerPoint - 第一章(07版).PPT

Size: px
Start display at page:

Download "Microsoft PowerPoint - 第一章(07版).PPT"

Transcription

1 单片机原理及综合设计 嵌入式微控制器的开发入门 微机及单片机原理原理实验室综合楼 419 室 大连理工大学电信学院陈育斌 1

2 ( 理论课 ) 上课时间表 (10~17 周 ) 周次 星期 一 二 三 四 五 六 日 1 至 6 时间地点 大五节综 309 (18:00) 大连理工大学电信学院陈育斌 2

3 课程总体安排 总学时 : 理论课 + 实验课 =36 学时 其中 : 理论课 :24: 学时 ; 实验课 :12: 学时 大连理工大学电信学院陈育斌 3

4 理论课主要内容及课时安排 (24 学时 ) 第一章 :MCS: MCS-51 系列单片机的存储结构 (4( 学时 ) 1 掌握内部数据寄存器 RAM 的结构结构 用途用途和特点 ; 2 程序存储器 ROM 的结构特点, 编程中应注意的问题 ; 3 片内 外程序存储器的确定方法 第二章 :MCS: MCS-51 单片机指令系统及时序 (4( 学时 ) 条指令的功能分类 ; 2 指令的寻址方式 ; 3 伪指令及汇编语言源程序的格式 ; 4 与指令的相关时序 大连理工大学电信学院陈育斌 4

5 第三章 :MCS: MCS-51 单片机内部模块的功能介绍 (8( 学时 ) 1 内部并行 I/O 端口的结构特点 使用中的注意事项 ; 2 定时 / 计数器的 2 种工作方式 4 种计数模式 ; 3 串行接口的 4 种工作模式的设定及波特率的计算 ; 4 中断系统的结构 中断响应的过程和编程方法 第四章 :MCS: MCS-51 单片机系统的扩展及应用 (8( 学时 ); 1 系统扩展的几种方法 ; 2 外部程序 数据存储器的扩展 ; 3 A/D D/A 转换器与单片机的接口电路及编程方法 ; 4 键盘扫描 / 动态显示接口电路 ; 5 单片机的监控电路等 大连理工大学电信学院陈育斌 5

6 参考资料 1, 单片机原理及其接口技术单片机原理及其接口技术 ( 第 2 版 ) ---- 胡汉才清华大学出版社 (32/36( 元 ) 2, AT89 系列单片机原理与接口技术 ---- 王幸之等北京航空航天大学出版社 (48( 元 ) 3, MCS-51/96 系列单片机原理及应用 ---- 孙涵芳徐爱卿北京航空航天大学出版社 (35( 元 ) 4, 电子世界电子世界 ---- 中国电子学会主办 ( 期刊 6.00 元 / 期 ) 大连理工大学电信学院陈育斌 6

7 大连理工大学电信学院陈育斌 7

8 大连理工大学电信学院陈育斌 8

9 本门课程的要求 深刻掌握单片机的硬件结构及特点 (RAM ROM 特殊功能寄存器 SFR 定时器 并行和串行口 中断系统 ) 熟练掌握指令系统 要求熟练的 要求熟练的编程和编程和调试技能, 独立处理编程中出现的 语法错误 和 逻辑错误 掌握中断原理 分析中断响应过程, 掌握中断编程方法 ; 掌握单片机与外围电路的接口 掌握 总线扩展方式 中的 MOVX 指令时序是接口电路设计的关键 能够完成一个有一定难度的设计实验实验题目题目 大连理工大学电信学院陈育斌 9

10 序 论 1. 什么是 单片机? 2. 为什么要学习这门课程? 3. 这门课程对我们今后的发展将起什么作用? 大连理工大学电信学院陈育斌 10

11 微型计算机与单片机技术 单片机的诞生标志着计算机的发展已经形成了机系统和系统和嵌入式计算机嵌入式计算机系统系统两大分支两大分支 通用计算 作为嵌入式控制器, 单片机的设计理念是 : 微型化 低成本 低功耗及具有及具有很好的性价比, 这是通用计算机所无法取代的 单片机广泛的应用于家用电器 汽车电子 机器人 工业控制及仪表设计 办公自动化等重要的场合 一个从事电子行业的工程师, 对于单片机的掌握水平已经成为直接影响其事业发展的重要因数 大连理工大学电信学院陈育斌 11

12 单板机 ( 以 Z80 CPU 为核心应用于控制的微型机系统 ) 大连理工大学电信学院陈育斌 12

13 单板机 ( 以 Z80 CPU 为核心的微型机系统 ) 大连理工大学电信学院陈育斌 13

14 单片机的特点 在结构上 : 不仅将微型计算机主板上的主要器件尽可能的包含到芯片中, 还集成了运算放大器运算放大器 比较器比较器 A/D 转换器和 PWM 电路等等 用它来设计一个智能化的产品可以进一步简化外围电路, 系统硬件尽可能的实现 单片化 以美国 intel 公司开发的单片机为例 : 1. 以 MCS-48 为代表的第一代产品 ; 2. 以 MCS-51 为第二代产品的过程 ; 3. 以 ATMEL 公司生产的 AT89 系列 PHILIPS 公司生产的 8XC552 系列等 ( 与 51 指令系统全兼容 ) 为代表第 3 代高档单片机 大连理工大学电信学院陈育斌 14

15 嵌入式计算机系统 在工业控制 家电产品 智能仪表 汽车电子等领域进行智能化设计时, 将嵌入到被控制对象 ( 如 : 家电 汽车 机床等 ) 中的 已经失去了原有通用计算机形态形态及功能功能的专用计算机称之为 嵌入式计算机系统 在这些被控制对象中, 往往要求嵌入式计算机系统要有极小的体积和极低的成本, 要有极小的功耗和较高的可靠性 因此以单片机为代表的嵌入式计算机系统 ( 也称嵌入式微控制器 ) 极大的满足了这种市场的需求 反过来, 市场的需要也迫使单片机去不断完善 发展, 使其分类越来越细, 品种越来越多 大连理工大学电信学院陈育斌 15

16 通用计算机与单片机单片机在硬件结构上的比较 微型计算机系统 单片机系统 CPU 数据 RAM 程序 ROM 中断控制器 系统总线 (DB AB CB) MCS-51 单片机 并行 I/O 串型端口 定时 / 计数器 扩展 I/O 端口 微型计算机的组成框图 ( 由多个 IC 芯片组装在一个主电路板上 ) 所有基本单元都组装在一个 IC 芯片上 大连理工大学电信学院陈育斌 16

17 单片机外形图 (AT89C51( AT89C51) 大连理工大学电信学院陈育斌 17

18 PIC16F877 单片机构成的电梯控制系统 大连理工大学电信学院陈育斌 18

19 单片机 PIC16F877 大连理工大学电信学院陈育斌 19

20 1. MCS-51 系列 三种主要的 8 位单片机性能比较 美国 Intel 公司研制 ( 现已转让 ) 应用最为广泛 最成熟的产品 配套的各种开发系统非常丰富 其核心技术已经被其他厂家购买, 并开发出多种 升级 的系列产品 目前应用较多的有 AT89 系列 ; 2. PIC 系列美国微芯片公司 (microchip( microchip) ) 当前市场份额增长最快的产品, 采用哈弗总线结构 二级流水作业 精简指令指令系统以及多种内嵌模块 (WDT( ADC CCP 模块等 ) 3. AVR 系列 美国 Atmel 公司 一种新推出的高性能 高速度和低功耗产品, 性能类似于 PIC 系列 常见的有 AT90 系列 大连理工大学电信学院陈育斌 20

21 单片机发展的状况 当前流行 8 位 16 位和 32 位三大类产品 1. 8 位单片机 : 使用数量最大的一类单片机 特点 : 成本低, 性能又能满足大多要求 如 MCS-51 PIC 和 AVR 系列 ; 位单片机 : 适合数据运算的场合 但由于价格的居高, 其数据运算功能又不如 32 位机, 所以发展处于停滞状态 具有代表性有 MCS-96 系列 台湾的 凌阳 16 位单片机 ; 位单片机 : 当前高档次单片机发展的一个方向, 具有超强的数据处理能力 合理的价格 其核心技术基本被美国 ARM 公司所垄断 具有代表性的产品是 ARM 系列 (ARM( ARM- 7 ARM-9) ) 大连理工大学电信学院陈育斌 21

22 AT89 系列单片机介绍 AT89 系列单片机是美国 ATMEL 公司生产的 8 位 Flash 系列单片机, 与 INTEL 公司生产的 MCS-51 单片机兼容, 使市场的主流产品 其特点如下 : 1. 片内含有 Flash 程序存储器, 开发 编程和调式方便 ; 2. 全面兼容 8051,, 引脚完全相同可直接取代 8751; 3. 具有静态时钟方式 降低系统功耗, 适合便携式系统 ; 4. Flash 程序存储器可以多次快速擦写, 适合学习 开发 ; 大连理工大学电信学院陈育斌 22

23 AT89 系列单片机的分类 : 1. 标准型 : 除了程序存储器由 EPROM 升级到 Flash 工艺, 其它基本同 intel 公司的 8051 型号 ROM/RAM 中断源 / 定时器 其 它 AT89C51 4K / 2 AT89LV51 AT89C52 4K+128 8K / 2 8 / 3 低电压版本 AT89LV52 AT89C55 8K K 8 / 3 8 / 3 低电压版本 AT89LV55 20K 8 / 3 低电压版本 低电压版本 (2.7( 2.7~6V) 大连理工大学电信学院陈育斌 23

24 2. 低档型 : 除了 I/O 端口减少外, 其它部件同 AT89C51 全部采用 DIP20 封装 型号 ROM/RAM 中断源 / 定时器 其 它 AT89C1051 AT89C2051 1K+64 2K / 2 5 / 2 无串口 大连理工大学电信学院陈育斌 24

25 3. 高档型 : 在标准型的基础上增加了功能模块 其中显著特点是他们的 flash 程序存储器都可以通过 SPI 串行接口实现 在线编程,, 都具备 Watchdog,, 双数据指针 型号 ROM/RAM 中断源 其 它 AT89S53 12K AT89S8252 8K K 的 E 2 PROM AT89S4D12 4K RAM 为 flash 5 个 I/O 端口 大连理工大学电信学院陈育斌 25

26 AT89 系列单片机的产品分类 : 1. 商业用产品 用 C 标注 使用温度范围 0~70 ; 2. 工业用产品 用 I 标注 使用温度范围 -40~85 ; 3. 汽车用产品 用 A 标注 使用温度范围 -40~125 ; 4. 军用产品 用 M 标注 使用温度范围 -55~150 ; 例如 :AT89C51: AT89C51-24PI 大连理工大学电信学院陈育斌 26

27 课程目录 第一章 :51: 系列单片机的主要特点和存储结构 第二章 :MCS: MCS-51 单片机指令系统及时序 第三章 : 单片机内部主要功能模块工作原理及应用 第四章 :MCS: MCS-51 单片机系统的扩展及应用 大连理工大学电信学院陈育斌 27

28 第一章 : 51 系列单片机的主要特点和存储结构 本章内容 了解 MCS-51 单片机的硬件结构 特点 重点掌握内部 RAM ROM 的结构, 使用方 法及注意事项 大连理工大学电信学院陈育斌 28

29 本章目录 1.1 MCS-51 单片机的主要性能和特点 1.2 MCS-51 单片机内部方框图 1.3 MCS-51 单片机的引脚定义 1.4 MCS-51 单片机的存储器存储器的配置 大连理工大学电信学院陈育斌 29

30 1.1 MCS-51 单片机的主要性能和特点 ( 以 AT89C51 为例 ) 内部程序存储器 ROM :4K 的 flash 程序存储器 ; 内部数据存储器 RAM:256B 256B(128B 的 RAM+21B 的 SFR); 寄存器区 :4 个寄存器区, 每个区有 R0-R7 R7 八个工作寄存器 ; 8 位并行输入输出端口 :P0 P1 P2 和 P3; 定时 / 计数器 :2 个 16 位的定时 / 计数器 T0 T1; 串型口 : 全双工串行端口 (RXD( RXD: 接收端 TXD 发送端 ); 返回 大连理工大学电信学院陈育斌 30

31 中断系统 : 设有 5 个中断源 (T0( T1 Int0 Int1 ES); 系统扩展能力 : 可外接 64K 的 ROM 和 64K 的 RAM; 堆栈 : 设在 RAM 单元 位置可以浮动 ( 通过指针 SP 来确定 堆栈在 RAM 中的位置 ) 系统复位时 SP=07H; 布尔处理机 : 配合布尔运算的指令进行各种逻辑运算 ; 指令系统 :111 条指令 按功能可分为数据传送 算术运 算 逻辑运算 控制转移和布尔操作 5 大类 大连理工大学电信学院陈育斌 31

32 1.2 : MCS-51 单片机内部方框图 时钟电路 4KROM 程序存储器 256BRAM 数据存储器 2X16 位定时 / 计数器 CPU 处理器 64KB 总线扩展控制器 可编程 I/O 端口 P0-3 可编程串行口 大连理工大学电信学院陈育斌 32

33 美国 intel 公司生产的 MCS-51 系列 芯片及制造工艺 型号 片内 ROM 片内 RAM I/O 口线 备注 8031 无 128B+SFR 8 4 DIP 40 脚 8051 掩膜 4KB 128B+SFR 8 4 DIP 40 脚 8751 EPROM 4KB 128B+SFR 8 4 DIP 40 脚 返回 大连理工大学电信学院陈育斌 33

34 1.3:MCS MCS-51 单片机的引脚定义 通过对引脚的了解, 认识 MCS-51 单片机的内部结构和工作特点 ; 准确把握引脚定义是系统硬件设计的依据 大连理工大学电信学院陈育斌 34

35 1.3:MCS MCS-51 单片机的外形和逻辑符号 AT89C51 ATMEL FLASH 型 87C51 EPROM 型 VCC RST XTAL1 P0 口 XTAL2 /EA /PSEN ALE P1 口 P3 口 RXD TXD /INT0 /INT1 P2 口 T0 T1 /WR /RD GND MCS-51 单片机外型图 (DIP( DIP-40 封装 ) MCS-51 单片机的逻辑符号图 大连理工大学电信学院陈育斌 35 返回上一次

36 MCS-51 单片机的引脚定义 (40 1, 主电源引脚 : Vcc(+5V +5V 脚 ) 40 脚 DIP 封装 ) Vss (GND 20 脚 ); VCC +5V 输入 +9~12V 输出 VCC +5V 40 MCS -51 由三端集成稳压器 7805 构成的单片机电源电路 20 引脚图 大连理工大学电信学院陈育斌 36

37 大连理工大学电信学院陈育斌 37 返回上一次

38 2, 外接晶体引脚 :XTAL1: XTAL1(1919 脚 ) XTAL2(18 脚 ) 两脚之间接入一个晶体震荡器, 单片机以此晶体的频率工作 ( 其频率范围为 :0~24MHz: 24MHz) ) 频率的选择 : 频率越高, 单片机的工作速度就越快 但单片机所产生的功耗功耗就要增加 ; 系统时钟 fosc 产生的高次谐波高次谐波也会对系统内部的模拟电路 ( 如 ADC) 产生严重的干扰 常用频率 :6MHz MHz 和 12MHz 等 MCS 大连理工大学电信学院陈育斌 38

39 3, 控制与电源复用引脚 : 1 RST / V pd(9 脚 ): 复位信号输入, 高电平有效 VR (Ic) Vcc C Vcc Ic C=10uf Vcc MCS-51 RST t T R R=1~10k MCS-51 系列单片机外加简易的的 上电复位 电路及工作原理 大连理工大学电信学院陈育斌 39

40 复位状态 ( 当在 CPU 的 RST 引脚上施加两个机器周期两个机器周期以上的高电平时, 引发 CPU 的复位操作 ): 1. 程序指针 PC=0000H; 2. 堆栈指针 SP=07H; 3. SFR 的内容全变为 0 ; 4. P0~P3 P3 四个端口输出 全 1 (FFH); 5. RAM 内容不变 ; 6. 系统复位时 (REST=1( REST=1), ),CPU 停止运行指令 由于 PC=0000H,, 所以复位操作可以确保 CPU 从程序的开始端运行程序 ; 大连理工大学电信学院陈育斌 40

41 处理器在上电上电时必须对其施行 复位 操作 : 1. 避免电源上升过渡时期, 造成 CPU 读指令出错 ; 2. 保证 CPU 从程序的 入口处 (ROM( 的 0000H 单元 ) ) 执行程序 当系统出现死机时, 也可通过复位操作将 CPU 拉回到程序的开始处, 重新启动程序 MCS-51 单片机自身不具备 上电复位 电路, 因此必须通过外加电路外加电路完成系统上电时的复位操作 采用专用的 看门狗电路 (( 系统监控器 ) 实现上电复位 程序运行监控等功能, 其输出直接与 RST 连接 大连理工大学电信学院陈育斌 41

42 小结小结 RESET 操作分两个阶段及对数据的影响 : 1, 大于 2 个机器周期的高电平 此期间 CPU 处于相对 静止 期,, 这一点对于单片机上电时尤其重要 ; 2, 当 REST 引脚回到低电平后, 内部 SFR 被设定为一个确定的特定初始状态 如 PC=0000H SP=07H 等 ; 3, 单片机复位操作不会影响 RAM(00H 00H~7FH) ) 中的数据 大连理工大学电信学院陈育斌 42

43 具有上电复位和手动复位功能的硬件电路 Vcc 复位按钮 100 Ω 1K~10K 10UF MCS-51 RST MCS-51 单片机内部不具备 上电复位 功能 因此, 必须通过外部电路实现复位操作 复位的高电平有效时间应大于两个机器周期 外形照片 大连理工大学电信学院陈育斌 43

44 采用看门狗电路 MAX813L 的单片机系统 +9V Vcc +5V /MR Vcc GND PFI /WDO REST WDI /PFO AT89C51 RESET P1.0 /INT0 MAX813L 返回上一次 大连理工大学电信学院陈育斌 44

45 Vpd 功能 : 当单片机掉电时, 此引脚可以接入备用电源向单片机内部的 RAM 供电, 防止 RAM 中的数据丢失 大连理工大学电信学院陈育斌 45

46 2 ALE/PROG(30 脚 ): 以系统时钟 fosc 的 1/6 的频率, 周期性输出方波脉冲 a. 系统扩展时, 作为外部存储器外部存储器低八位地址的低八位地址的锁存信号 ; b. 可为系统提供一个频率为 fosc/6 的方波信号 ; c. EPROM 型单片机编程时编程输入脉冲 ( 第二功能 ) 系统时钟 fosc 12 个 T MCS- 51 ALE ALE 一个机器周期 大连理工大学电信学院陈育斌 46

47 3/PSEN(29 脚 ): 外部程序程序存储器的选通输出信号选通输出信号 当单片机使用外部程序存储器使用外部程序存储器时, 此脚在一个机器周期内 产生两次负脉冲, 作为外部程序存储器 ROM 的选通信号 ; 访问外部数据存储器 RAM 时, 此信号无效 大连理工大学电信学院陈育斌 47

48 外接程序存储器的总线结构图 MCS-51 完整的地址信号 64K ROM P2.7 : : : P2.0 P0.7 : : : P0.0 ALE /EA Psen D7 Q7 74LS373 D0 Q0 G /L A15 A14 : : A8 A7 O7 : : : : : : A0 O0 OE 三态输出的数据口由 /OE 控制 大连理工大学电信学院陈育斌 48 返回上一次

49 4 /EA / Vdd (31 脚 ): 程序存储器的选择控制端 : CPU 执行片内 ROM 还是外部 ROM 中的程序不是由指令确定, 设计者通过对 EA 引脚的硬件设置来决定 : /EA= 1 时 : 单片机使用内部的程序存储器 ROM; /EA= 0 时 : 单片机使用外部的程序存储器 ROM 注意注意 : 如果 EA=1 既使用单片机内部的程序存储器时, 如果程序计数器 PC 的值超过 0FFFH 时, 单片机将自动转向外部程序存储器 1000H 开始的单元 对于 EPROM 型的单片机, 此脚还是用于写程序时, 加入 21 伏的编程电压 返回上一次 大连理工大学电信学院陈育斌 49

50 MCS-51 /EA GND +VCC 返回上一次 大连理工大学电信学院陈育斌 50

51 /EA 决定着 CPU 对 ROM 的使用选择 MCS-51 单片机 FFFH FFFFH 片外 ROM 64K 片内 RAM 256B 000H 片内 ROM 4K (EA=1) 1000H 0FFFH 0000H 低 4K 当 EA=1 时, 且程序的长度小于内部 ROM 尺寸 (4K) 时 ; 当 EA=0 时,CPU 从外部 ROM 的 0000H 开始执行程序 (64K ); 当 EA=1, 执行内部 ROM 程序时但程序长度超过 4K 时会自动转向外部 ROM 的 1000H 开始的单元 大连理工大学电信学院陈育斌 51

52 4, 并行输入输出端口引脚 (P0( P0-P3) 1: : P0.0 - P0.7: P0 端口线 (39( 脚 ) 输出能力最强的端口, 可带动 8 个 TTL 负载 ; 具有两种工作方式 : 1 普通的 I/O 方式 ( 外部数据与单片机之间数据通道 ); 2 系统扩展时的总线方式 ( 承担地址 数据总线 ) 1. 当处于 I/O 方式时 : 端口内部输出电路呈 源开路 结构, 所以当驱动 MOS 负载时, 应接一个 10K 左右的上拉电阻, 否则无法输出高电平 2. 当处于扩展方式时 :P0: 口为外部存储器提供低八位地址低八位地址 数据的 复用总线 ( 此时不能作为通用的 I/O 端口 ) 引脚图 大连理工大学电信学院陈育斌 52

53 2: P1.0 - P1.7: P1 端口线 (1( 8 脚 ): 负载能力 4 个 TTL 负载 MCS-51 单片机中唯一 功能单一 的 I/O 端口 在系统设计中只能设计为 通用的 I/O 端口 ; 3: P2.0 P2.7: P2 端口线 (21( 28 脚 ): 同 P0 端口类似 : 具有两种工作方式 : 1 普通的 I/O 方式 ; 2 系统扩展时的总线方式 ( 高八位地址总线 ) 大连理工大学电信学院陈育斌 53

54 单片机采用外部程序存储器的扩展系统 MCS-51 64K ROM P2.7 : : : P2.0 P0.7 : : : P0.0 ALE /EA Psen D7 Q7 74LS373 D0 Q0 G /L A15 A14 : : A8 A7 O7 : : : : : : A0 O0 OE 大连理工大学电信学院陈育斌 54

55 4: P3.0 P3.7 P3 端口线 (10 17 脚 ): P3 口的引脚具有两种用途 : 1 做通用的 I/O 端口, 负载能力为 4 个 TTL ; 2 引脚具有第二功能第二功能 P3 口第二功能表 口线 定义 说明 口线 定义 说明 P3.0 RXD 串行数据输入口 P3.4 T0 计数器 0 外部输入信号 P3.1 TXD 串行数据输出口 P3.5 T1 计数器 1 外部输入信号 P3.2 INT0 外部中断 0 输入口 P3.6 / WR 外部数据存储器写信号 P3.3 INT1 外部中断 1 输入口 P3.7 / RD 外部数据存储器读信号 返回 引脚图 大连理工大学电信学院陈育斌 55

56 注意 : 在系统设计中,P3 端口原则上不作 I/O 端口, 而是尽量保留其第二功能 ; 如 : 串行通信中所使用的发送 TXD 接收 RXD 以及外部中断的两个输入信号 INT0 INT1 等 这种方法利于系统设计中最大限度的调用 MCS-51 单片机的内部硬件资源, 以简化外部电路的设计 大连理工大学电信学院陈育斌 56

57 小 结 1. MCS-51 单片机的四个端口其功能在芯片设计中各不相同, 所以其内部结构和特点也不一样 ; 2. P0~P3 P3 四个端口都可以作为普通的具有双向传输功能的 I/O 端口 ; 3. 当系统硬件设计中如果采用外部存储器 (ROM( 或 RAM) 扩展方式时,P0, P2 端口变为系统总线 在此时 P0 P2 不能再做 I/O 端口 4. P3 口在系统设计上, 尽可能的保留其第二功能, 以可充分利用单片机的内部系统资源 5. 对程序存储器 ROM 的使用选择取决于引脚 EA 的设定 : EA=1 使用片内 4K 的 ROM;EA=0 时使用外部 ROM 大连理工大学电信学院陈育斌 57

58 6. 上电时, 必须对单片机进行 复位 操作 上电复位 功能, 而 MCS-51 单片机本身不具备此功能, 所以必须外加一个上电复位电路 复位时间大于 2 个机器周期 ; 7. 单片机的工作频率 fosc 取决于外接晶体的振荡频率 如 何选择晶体的振荡频率不单纯考虑系统的工作速度, 还 要考虑到系统的功耗 工作的稳定向和可靠性 大连理工大学电信学院陈育斌 58

59 思考题 ( 一 ) 1. 微型计算机为什么需要 上电复位?MCS-51 单片机的复位电平是什么? 复位时间最短需要多长时间? 2. 复位操作对 MCS-51 单片机有哪些影响? 最重要的是什么? 复位会不会影响普通数据存储器中的内容? 3. 设计一个具有手动复位功能的 上电复位电路 4. 如何选择 MCS-51 单片机的外接晶体, 晶体的震荡频率与单片机的工作频率的关系? 如何选择晶体的频率值? 频率值对单片机的系统有何影响? 5. 当系统设计采用单片及内部 ROM 来装载程序, 则如何设定 EA 引脚? 大连理工大学电信学院陈育斌 59

60 6. 单片机的 I/O 端口的作用是什么? P0 口做 I/O 输出时要注意什么? 7. 在系统设计时, 优先选择哪个端口做输入 输出?P3? 口应如何处理? 8. 设计一个单片机的系统电源 ( 包括 : 变压器 整流和稳压 电源输出电压为 +5V 电流为 200mA 左右 )? 返回本章目录 大连理工大学电信学院陈育斌 60

61 1.4 MCS-51 单片机的存储器的配置 正确 熟练的掌握存储器的结构和特点是学习 运用 MCS- 51 单片机的关键环节 这也是初学单片机最难学习的内容 ; 1. 程序存储器 ROM 要掌握的要点 :6: 个特定的入口单元 ; 2. 数据存储器 RAM 要掌握的要点 : 内部结构 ( 包括寄存器区 堆栈区 位寻址区和特殊功能寄存器 SFR 区 ) 返回第 1 章主菜单 大连理工大学电信学院陈育斌 61

62 1.4.0 MCS-51 单片机的存储器的配置特点 程序存储器 ( 片内与片外 ) MCS-51 单片机片内 外程序程序存储器的使用示意图 程序存储器六个特殊的单元 内部数据存储器 RAM MCS-51 片内 片外数据存储器示意图 MCS-51 单片机片内存储器内存储器低 128B 字节功能分配图片内 RAM(20H 20H-2FH) 中的位寻址区结构图 特殊功能寄存器 SFR 外部数据存储器 第一章第四节内容小结 返回第 1 章主菜单 大连理工大学电信学院陈育斌 62

63 1.4.0 MCS-51 单片机存储器的配置特点 1 内部集成了 4K 的程序存储器 ROM; 2 内部具有 256B 的数据存储器 RAM; 3 可以外接 64K 的程序存储器 ROM 和 数据存储器 RAM 大连理工大学电信学院陈育斌 63

64 从物理结构的角度讲,51, 单片机的存储系统可以分为四个存储空间 : 既片内 ROM,RAM RAM 和片外 ROM RAM 从逻辑上讲 ( 从编程的角度 ),51 单片机的存储系统实际上分为三个存储空间 1. 片内数据存储器 RAM; 2. 片外数据存储器 RAM; 3. 片内或外的程序存储器 ROM( ( 由 EA 电平决定 ) 大连理工大学电信学院陈育斌 64

65 从物理结构上单片机系统的存储器结构图 ( 四个部分 ) MCS-51 单片机 FFFFH 片内 RAM 256B FFFH 片内 ROM 4K EA=1 1000H 0FFFH 片外 ROM 64K EA=0 片外 RAM 64K 000H 0000H 返回 返回上一次 大连理工大学电信学院陈育斌 65

66 1.4.1 程序存储器 ( 片内或片外 ) 1. 程序存储器 ROM 用于存放程序程序 常数常数或表格表格 2. 由引脚 /EA 上的电平选择选择内 外 ROM: EA=1 时,CPU, 执行片内的 4KROM 中的程序 ; EA=0 时,CPU, 选择片外 ROM 中的程序 3. 无论是使用片内还是使用片外 ROM,, 程序的起始地址都是从 ROM 的 0000H 单元开始 大连理工大学电信学院陈育斌 66

67 4. 尽管系统可以同时具备片内 ROM 和外部 ROM,, 但是在一般正常使用情况下, 通过 /EA 的设定来选择其一 ( 或者使用内部 ROM,, 或者使用外部 ROM) ) 5. 如果 EA=1( ( 执行片内程序存储器中程序时 ): 如果程序计数器的指针 PC 值超过 0FFFH(4K 4K) ) 时, 单片机就要自动的转向片外的 ROM 存储器且从 1000H 单元开始执行程序 ( 无法使用片外 ROM 的低 4K 空间 ) 大连理工大学电信学院陈育斌 67

68 FFFFH EA=1 时,ROM 的使用 单片机内部程序存储器 (4K) 0FFFH 0000H 1000H 0FFFH 0000H 片外程序存储器 ( 最大 64K) EA=0 时 ROM 的使用 大连理工大学电信学院陈育斌 68

69 6. 当程序超过 4K 时, 有两种使用程序存储器 ROM 的方法 : 1 设置 EA=0,, 使用外部 ROM 从地址 =0000H 开始 ; 2 设置 EA=1,, 使用内部的 4KROM 和外部 ROM( ( 地址从 1000H 开始的单元 ) 试分析两种方法的各自特点 大连理工大学电信学院陈育斌 69

70 一种便于程序加密的 ROM 使用方案 (EA=1( EA=1) FFFFH 无法加密 EA=1 时,ROM 的使用 可以加密 1000H 片外程序存储器 ( 最大 64K) 单片机内部 ROM(4K) 0FFFH 0000H 0FFFH 0000H 无法使用 返回 大连理工大学电信学院陈育斌 70

71 程序存储器的六个特殊单元 在 ROM 中有六个单元有六个单元具有特定具有特定意义的意义的 0000H 单元 : 复位时程序计数器 PC 所指向的单元 ; 0003H 单元 : 外部中断 /INT0 的程序入口地址 ; 000BH 单元 : 定时器 T0 溢出中断的程序入口地址 ; 0013H 单元 : 外部中断 /INT1 的程序入口地址 ; 001BH 单元 : 定时器 T1 的溢出中断的程序入口地址 ; 0023H 单元 : 串行口的中断程序入口地址程序入口地址 编写中断程序时根据需要选择某一入口单元填入 跳转指令 将上面五个中断入口地址称之为五个 中断矢量 返回 大连理工大学电信学院陈育斌 71

72 0000H ( 上电启动地址 ) LJMP 0100H 0003H (INT0 中断入口 ) 单片机第一条指令的两个特征 : 000BH 0013H (T0 中断入口 ) (INT1 中断入口 ) 1 存放在 ROM 的 0000H 单元 ; 2 必须是 跳转指令 以跳过下面的五个中断矢量, 转到后面的真正的主程序入口 0100H 单元 001BH 0023H (T1 中断入口 ) ( 串口中断入口 ) 思考题 : 为什么 MCS-51 单片机的第一条指令要放在 ROM 的 0000H 单元? 0100H 主程序 大连理工大学电信学院陈育斌 72

73 START: 举例 : ORG 0000H LJMP 0100H ORG 0100H MOV A,#00H END 注 : 黄色的指令为 伪指令,, 其中 : ORG 为代码定位伪指令 END 为汇编结束伪指令 返回本节目录 大连理工大学电信学院陈育斌 73

74 外部程序存储器 当单片机使用外 ROM 存储器时 ( 扩展系统 ), 必须设定 /EA=0,, 此时单片机的端口功能就要发生相应的改变 : 1 P0 P2 作为外部 ROM 的地址和数据总线 ; 2 使用引脚 /psen 信号来选通外部 ROM 的数据三态输出 大连理工大学电信学院陈育斌 74

75 外接程序存储器的总线结构图 MCS-51 P2.7 : : : P2.0 P0.7 : : : P0.0 ALE /EA Psen 完整的地址信号 D7 Q7 74LS373 D0 Q0 G /L 64K ROM /CE A15 A14 : : A8 A7 O7 : : : : : : A0 O0 OE 三态输出的数据口由 /OE 控制 返回 大连理工大学电信学院陈育斌 75

76 1.4.2 内部数据存储器 RAM 无论在物理上物理上还是还是逻辑上, 系统中 RAM 都可分为两个独立空间 : 内部和外部 RAM 由不同的指令来访问 1. 访问内部数据存储单元内部数据存储单元时时, 使用 MOV 指令 ; 2. 访问外部数据存储器外部数据存储器时时, 使用 MOVX 指令 内部 RAM 从功能上将 256B 空间分为二个不同的块 : 1. 低 128B 的 RAM 块 ; 2. 高 128B 的 SFR(Special Special Function Register ) 块 存储结构图 大连理工大学电信学院陈育斌 76

77 在低 128B 的 RAM 存储单元中又可划分为 : 1. 工作寄存器区 ; 2. 位寻址区 ; 3. 通用存储数据的 便签区 高 128B 的专用寄存器区 SFR 中仅仅使用了 21 寄存器 (51 系列 ), 其它 107 个单元不能使用 大连理工大学电信学院陈育斌 77

78 MCS-51 片内 片外片外数据存储器示意图 FFH 80H 7FH 00H 特殊功能寄存器 SFR 通用数据存储器 片内数据存储器 RAM 片外数据存储器 RAM 256B 个字节最大为 64KB 个字节 FFFFH 内外 RAM 的使用区别 : 1, 访问片内 RAM20H 单元 ; MOV A,20H 2, 访问片外 RAM20H 单元 ; 0000H 片外数据存储器 64KB MOV R0, #20h MOVX A,@R0 3, 尽管片内 外 RAM 单元的 00H-FFH 地址相重叠但由于指令的不同不会发生地址混乱 所以无论从物理或逻辑上, 内 外 RAM 是两个独立的存储空间 大连理工大学电信学院陈育斌 78

79 片内 RAM 低 128B 字节功能分配图 7FH RAM 的低128B 字节30H 2FH 20H 1FH 18H 17H 10H 0FH 08H 07H 00H 便笺区位寻址区 3 区 2 区 1 区 0 区 通用的 RAM 区地址 :30H-7FH 位寻址区 ( 双重功能 ) 16 个单元 20H-2FH, 共有 128 可寻址位个位 位地址 :00H-7FH 注意 : 位地址与字节地址的区别 四个工作寄存器区 ( 双重功能 ) 每个区中有 R0-R7 八个工作寄存器 返回上一次 继续 大连理工大学电信学院陈育斌 79

80 ( 一 ) 工作寄存器区结构图 ( 0 区 ) RAM 地址 07H 06H 05H 04H 03H 02H 01H 00H R7 R6 R5 R4 R3 R2 R1 R0 注意 : 工作寄存器 Rn 实际上就是 RAM 单元的一部分 返回上一次 大连理工大学电信学院陈育斌 80

81 ( 二 ) ) 字节 数据 位 数据 在 MCS-51 单片机中, 数据有两种形式 : 1 8 位的字节数据 字节 或 16 位双字节的 字 ; 2 1 位的位数据 布尔变量 ; 通常编程中处理的数据大多是 字节 或 字 形式 如处理的温度 压力 流量等参数 单片机的存储单元也是按照字节数据定义其存储地址的 ; 但在一些场合下也会遇到 布尔变量 数据的处理 : 1 采集外部的开关信号, 并对其进行布尔运算 ; 2 对单片机内部 SFR 中的某些位某些位的置位或清零操作 为了方便处理 布尔变量,, 系统中设计了位地址结构位地址结构 大连理工大学电信学院陈育斌 81

82 大连理工大学电信学院大连理工大学电信学院陈育斌陈育斌 片内片内 RAM RAM 中具有双重功能的存储结构图中具有双重功能的存储结构图 A 0A 0B 0B 0C 0C 0D 0D 0E 0E 0F 0F A 1A 1B 1B 1C 1C 1D 1D 1E 1E 1F 1F A 2A 2B 2B 2C 2C 2D 2D 2E 2E 2F 2F A 3A 3B 3B 3C 3C 3D 3D 3E 3E 3F 3F A 4A 4B 4B 4C 4C 4D 4D 4E 4E 4F 4F A 5A 5B 5B 5C 5C 5D 5D 5E 5E 5F 5F A 6A 6B 6B 6C 6C 6D 6D 6E 6E 6F 6F A 7A 7B 7B 7C 7C 7D 7D 7E 7E 7F 7F 2FH 20H 字节地址位地址

83 RAM 的 20H~2FH 2FH 的存储特点 1. 20H~2FH 2FH 本身是字节地址, 因此这些单元可以按照常规存储 16 个字节的数据 如 : MOV 20H,A ; 将累加器 A 中的数据送 RAM 的 20H 单元 ( 字节传送操作 ) 2. 将 20H~2FH 2FH 中的 16*8 既 128 个 bit 分别定义其位地址 00H~7FH 7FH( ( 如图 ), 这样 CPU 可以按位来访问这些 bit: MOV 20H,C ; 将 Cy 中的布尔变量送 20H 位地址中 ( 位传送 ) 返回上一次 大连理工大学电信学院陈育斌 83

84 ( 三 ) 特殊功能寄存器 SFR 特殊功能寄存器 SFR (Special Function Register) 256B 字节中的高 128B 中 SFR 的作用 : 在 1. 设定单片机内部各模块的工作方式模块的工作方式 存放相关 存放相关模块的模块的状态状态与标志 单片机内部有定时器 串行口定时器 串行口和中断中断等功能模块, 它们是可编程的 各自都具有对应的寄存器来设定其工作模式 ; 同时, 模块的状态也是通过相应的寄存器存储 2. 特殊用途的寄存器 如累加器 程序指针 堆栈指针等 ; 尽管特殊功能寄存器与 RAM 在同一个单元中, 但不能作为普通的 RAM 存储单元来存储数据 大连理工大学电信学院陈育斌 84

85 标识符 ACC B PSW SP DPTR P0 P1 P2 P3 IP IE TMOD TCON 特殊功能寄存器 名 累加器 B 寄存器程序状态字堆栈指针数据指针 ( 包括 DPH,DPL) 口 0 口 1 口 2 称 口 3 中断优先级控制寄存器中断允许控制寄存器定时 / 计数器方式控制寄存器定时 / 计数器控制寄存器 SFR( 表一 ) 地址 0E0H 0F0H 0D0H 81H 83H,82H 80H 90H 0A0H 0B0H 0B8H 0A8H 89H 88H 大连理工大学电信学院陈育斌 85

86 标识符 TH0 TL0 TH1 TL1 SCON SBUF PCON 名 称 定时 / 计数器 0 初值寄存器高 8 位定时 / 计数器 0 初值寄存器低 8 位定时 / 计数器 1 初值寄存器高 8 位定时 / 计数器 1 初值寄存器低 8 位串行口控制寄存器串行口数据缓冲器 ( 接收, 发送 ) 电源控制寄存器 地 8CH 8AH 8DH 8BH 98H 99H 97H 址 SFR( 表二) 0FFH 80H 7FH 00H SFR 高 128B 低 128B 注 : 表中黄色的单元为可按位寻址的字节 特殊功能寄存器 SFR 在片内 RAM 中的位置 大连理工大学电信学院陈育斌 86

87 几个主要的特殊功能寄存器 SFR 说明 程序计数器 PC( 程序指针 ) 1. 为 CPU 指明将要执行的指令地址,( 存放下一条指令的地址 ) 长度为 16 位, 其寻址范围为 (64K) ) 2. 单片机在复位时 PC=0000H,, 这就意味着一旦将单片机复位,CPU, 就从 ROM 的 0000H 单元执行程序 3. 在物理上是独立于 SFR 大连理工大学电信学院陈育斌 87

88 累加器 A: 最常用的寄存器 所有的算术运算指令所要使用的寄存器且运算结果都存放在 A 中 B 寄存器 : 乘 除法指令专用的寄存器, 当然也可作为一般的工作寄存器使用 大连理工大学电信学院陈育斌 88

89 数据指针 DPTR: 由两个 8 位寄存器构成 高八位寄存器 DPH 和低八位寄存器 DPL 构成 16 位的寄存器 DPTR 作用 : 1. DPTR 主要用来存放外部数据存储器 RAM 的地址, 作为 CPU 访问外部 RAM 的数据指针数据指针 如 : MOVX A,@DPTRA 2. CPU 的查表指令查表指令使用 DPTR 提供 ROM 中表格的首地址 ; MOVC A,@A+DPTR 大连理工大学电信学院陈育斌 89

90 例如 : 片外 RAM 的 2000H 单元中有一个数 x,, 试将其送到累加器 A 中 : MOV DPTR,#2000h ; DPTR 2000H MOVX A,@DPTR ; A x( ( 间址方式 ) 注意注意 : 作为数据指针 MCS-51 单片机有两种 : 1 DPTR --- 外部 RAM 数据指针, 寻址范围 64K; 2 R0 或 R1 --- 内部或外部数据指针, 寻址范围 256B ( 详细使用见第二章寻址方式 ) 大连理工大学电信学院陈育斌 90

91 CY AC F0 RS1 RS0 OV - P 程序状态字 PSW:8 位寄存器, 表征程序执行的状态信息 CY(PSW.7 PSW.7) 进位标志 : 在加减法运算中, 累加器 A 的最高位 D7 有进位, 则 CY=1,, 否则 CY=0 同理, 在减法运算中, 如果 A7 有借位, 则 CY=1. 因此 CY 往往作为无符号无符号数运算是否有溢出的标志 AC(PSW.6 PSW.6) 辅助进位位 : 用来判断加减法运算时, 低四位是否向高四位进位或借位 ( 既 A3 的进位或借位 ) 往往用来处理压缩的 BCD 码的运算处理 RAM 分配图 大连理工大学电信学院陈育斌 91

92 CY AC F0 RS1 RS0 OV - P F0(PSW.5 PSW.5) 用户标志位 : 完全由用户来定义和使用 RS1,RS0 工作寄存器区选择位 : 确定工作寄存器 R0-R7 R7 在 4 个区中的位置 ( 单片机在复位后 RS1 RS0= 选择 0 区 ) 可以通过修改 RS1,RS0 的值来改变工作寄存器区的选择 大连理工大学电信学院陈育斌 92

93 CY AC F0 RS1 RS0 OV - P OV(PSW.2 PSW.2) 溢出标志位 判断有符号数运算时是否有溢出 OV 的结果可以用一个算法来表示 : OV=CP 异或 CS 其中 :CP 为 A7 的进位,CS 为 A6 的进位 OV=1 表明有溢出 P(PSW.0) 奇偶标志位 : 用来标志累加器 A 中运算后 1 的个数 当 P=1 时, 表明 A 中 1 的个数为奇数个, 反之为偶数个 大连理工大学电信学院陈育斌 93

94 举例举例 : 有两个数 0FH 和 F8H,, 试将两数相加 MOV A,#0FH A ; 将立即数 0f h 送累加器 A ADD A,#0F8H A ;A 的内容与立即数 0f8h 相加, 结果送 A 运算结果 :A=07H: A=07H,CY=1( ( 既 CP=1), CS=1,OV=0 OV=0( ( 因为 CP=1,CS=1 CS=1) Cy AC=1,P=1 P=1(A 中 1 的个数为奇 ) 如何根据 PSW 来分析运算结果是否有溢出? 1,, 若数据为无符号数 既 =263=107H 既 CY=1, A=07H 数据超过 255(CY=1 CY=1) ) 有溢出 ; 2,, 若为有符号数 既 +15 加 -8=+7=07H,OV=0 表明无溢出 大连理工大学电信学院陈育斌 94

95 SP 堆栈指针 :8 位寄存器, 用来指示堆栈的位置, 可由软件修改 1. 堆栈是一种按 先进后出 规律操作的存储结构 不同类型的处理器其堆栈的设计各不相同 : 1 独立于 RAM 的结构 优点是与 RAM 无关, 不会造成 RAM 中的数据与堆栈发生 冲突 但这种结构比较复杂, 且堆栈的长度往往是固定的, 限制了中断或子程序的 嵌套 次数 采用这种结构的单片机有 PIC 系列 ; 2 利用 RAM 空间 兼做 堆栈空间, 但必须靠专用指针专用指针来控制堆栈的起始位置和操作 MCS-51 单片机就是采用这种结构 大连理工大学电信学院陈育斌 95

96 MCS-51 利用 RAM 空间 兼做 堆栈 优点 : 充分利用 RAM 的空间, 使堆栈空间得以扩大 缺点 : 堆栈区很容易与数据区重叠, 造成数据丢失 由于 MCS-51 的堆栈是 向上 增长, 为了避免上述问题的出现, 编程者往往在程序的开始加上一条指令 : MOV SP,#60H 7FH 数据区 7FH SP=60H 栈 底 栈区 SP=07H 栈 底 栈区 RAM 空间 数据区 00H 00H 大连理工大学电信学院陈育斌 96

97 2. 堆栈的作用 : 中断调用和子程序调用时 : 1 保护程序的返回地址 ( 保护断点 自动实现 ); 2 保护数据 ( 保护现场 人工编程 ) 3. 堆栈的操作的两种方式 : 1 断点地址的保护 : 执行子程序调用指令子程序调用指令或发生或发生中断调用时, 由硬件自动实现断点地址自动实现断点地址的进栈保护 在程序返回时由 RET 或 RETI 指令实现断点的弹出和恢复 ; 2 数据的保护 : 由编程者使用 PUSH 或 POP 指令来实现 大连理工大学电信学院陈育斌 97

98 51 单片机的设计中, 片内 RAM 区低 128 单元为堆栈的可用空间 单片机复位时,SP=07H, SP=07H,, 既栈底被确定在 RAM 的 07H 单元 堆栈操作过程 : 进栈 : PUSH ACC 指令 (SP=07H( SP=07H) 1 SP+1 送 SP,, 既 SP=SP+1=08H; 2 ACC 送 RAM 的 08H 单元 ; 08H 07H RAM 累加器 A x SP 07H 出栈 : POP ACC ( 设 SP=08H) 1 将 RAM 中 08H 单元内容送 A; 2 SP-1 送 SP, 此时 SP=07H 堆栈操作示意图 大连理工大学电信学院陈育斌 98

99 堆栈操作的特点 : 从栈低开始不断地 向上 生长 这样可能会带来一个 隐患 :: 堆栈很有可能与正常的数据存储区发生 冲突 或 重叠 解决问题的方法 : 在程序的开始, 通过修改 SP 的值来改变堆栈在 RAM 中的位置, 如 : MOV SP,#60H 这样, 对于数据存储区来说, 60H 以下的空间是安全的! 7FH 61H 60H 08H 07H RAM 改变堆栈位置示意图 SP 60H 大连理工大学电信学院陈育斌 99

100 并行端口 P0-P3 P3:SFR 中的 P0~P3 P3 是 I/O 端口的输出锁存器 在 RAM 的地址分别为 :80H: 90H A0H B0H 51 单片机的指令系统中没有专用的输入 输出指令 凡是与端口相关的传送指令就是完成 输入 输出 的操作 如 : 输出 输入 MOV 80H,A ; 将累加器中的数据送到 P0 口输出 MOV A,90H ; 将 P1 口的数据输入到累加器 A 中 注意注意 : 为了增加程序的可读性, 上述指令可写成 : MOV P0,A 和 MOV A,P1 大连理工大学电信学院陈育斌 100

101 串行数据缓冲器 SBUF( ( 地址 :99H: 99H): 它是专门用来存放发送或接收的数据, 实际上它是两个独立的寄存器 尽管在 SFR 中的 RAM 地址只是 99H, 但根据指令 发送 或 接收 两种不同的操作, 硬件会自动的区分, 将数据送如对应的缓冲单元 MOV SBUF,A ;A SBUF ( 引发串口通信 ) MOV A,SBUFA ;SBUF A ( 读取串口收到的数据 ) 累加器 A 内部数据总线 发送 SBUF 接收 SBUF TXD RXD 大连理工大学电信学院陈育斌 101 返回

102 定时 / 计数器 T0 T1: 两个完全相同的 16 位 定时 / 计数器 T0 和 T1 其中 : TH0 TL 构成 T0; TH1 TL 构成 T1 ( 见图 ) 1. 在输入脉冲的作用下进行 加一 计数 每当计数器产生 溢出 时, 便激活一个标志 TF0(TF1 TF1)=1,, 完成一次定时或计数周期 ; 位的计数器在编程时, 往往需要事先送入一个 初值, 以实现不同的定时或计数周期要求 其中其中 : 定时 方式的输入脉冲来自系统的 fosc; 计数 方式时输入脉冲来自外部引脚 TF0 TH0 TL0 输入脉冲 大连理工大学电信学院陈育斌 102

103 对 TH TL 赋某一初值 如 : MOV TL0,#01H MOV TH0,#20H ;TH0 TL0=2001H 有关 SFR 中其它寄存器的说明将相关的章节中作介绍 TF0 TH0 TL0 输入脉冲 大连理工大学电信学院陈育斌 103

104 关于可按位寻址的 SFR 寄存器 在 RAM 中, 除了 20H~2FH 2FH 可以按位寻址外, 某些 SFR 中的单元也可以按位寻址 这种设计对编程会带来很大的方便 ; 凡是 SFR 的地址能被 8 整除, 则这些单元就可以按位寻址 ; 一些比较重要的寄存器它们的地址都可以被 8 整除 如 : 累加器 A 程序状态字 PSW 中断允许寄存器 IE E0H D0H A8H 定时器控制寄存器 TCON 88H 并行端口 P0~P3 P3 80H 90H A0H B0H 等 返回 大连理工大学电信学院陈育斌 104

105 1.4.3 外部数据存储器 当单片机需要外接 RAM 时 ( 扩展系统 ) 具有如下特征 : 1 P0 P2 作为外部 RAM 的地址和数据总线 ; 2 P3 口的 P3.6 P3.7 作为 /WR 和 /RD 控制信号与外部 RAM 的对应引脚连接 ; 3 使用 MOVX 指令进行读写操作 注意注意 :MOVX 指令在执行时, 按照 总线操作 的方式工作, 由 P0 P2 口作总线, 并自动产生 /RD 或 /WR 信号对外部 RAM 实现读写操作 大连理工大学电信学院陈育斌 105

106 例如, 从外 RAM 的 2000 单元中取数据到 A 的操作如下 : MOV DPTR,#2000H ; 将外 RAM 单元的地址送 DPTR MOVX A,@DPTR ; 从 2000H 单元中取数据到 A 指令通过 P0 P2 输出 DPTR 中的 16 位地址信号 这里使用了 16 位的寄存器 DPTR( ( 寻址范围为 64KB), 并通过 P0 口得到外部 RAM 2000H 单元中的数据 此时 : P0 口做低 8 位地址总线和数据的 复用 总线 ; P2 口做高 8 位地址总线 大连理工大学电信学院陈育斌 106

107 也可以使用 8 位的数据指针 Ri(R0 R0 或 R1) ) 访问外部 RAM: MOV R0,#20H ; 将外部 RAM 单元地址 20H 送 R0 寄存器 MOVX A,@R0A ; 从外部 RAM20H 单元取数据到累加器 A 这里使用了 8 位寄存器 R0 做间址寄存器, 所以寻址范围为 256KB 此时 P0 口做低 8 位地址总线, 而 P2 口无用 MOVX 指令是一个以 总线方式 进行操作的外部传送命令, 指令的寻址方式固定为 寄存器间接寻址 方式, 即使用 16 位寄存器 DPTR 或 8 位寄存器 Ri 做地址寄存器 ( 也称数据指针 ) 大连理工大学电信学院陈育斌 107

108 MCS-51 与 8K RAM 的连接 MCS K RAM P2.5 P2.4 : : P2.0 P0.7 : : : P0.0 ALE /RD D7 D0 CP Q7 Q0 CE A12 : : A8 A7 O7 : : : : : : A0 O0 /OE /WE /CE = P2.5(A12) 三态输出的数据口由 /OE 控制 /WR 大连理工大学电信学院陈育斌 108

109 第一章第四节内容小结 MCS-51 单片机的存储器的配置片内 4K 的程序存储器 ROM; 片内 256B 的数据存储器 RAM; 片外可以扩展 64K 的 ROM 和 RAM. 大连理工大学电信学院陈育斌 109

110 1. 程序存储器 当引脚 EA=1 时, CPU 从片内 ROM 的 0000H 单元运行程序 ; 若引脚 EA=0 时, CPU 从片外 ROM 的 0000H 单元运行程序 当引脚 EA=1,, 且 PC 值大于 0FFFH 时, CPU 会自动从内部 ROM 转到片外 ROM 的 1000H 单元运行程序 大连理工大学电信学院陈育斌 110

111 无论是片内还是片外, ROM 有六个单元是有特定意义的 : H 单元 : 上电, 复位后的启动地址 ; H 单元 : 外部中断 INT0 的入口地址 ; 3 000BH 单元 : 定时器 T0 的中断入口地址 ; H 单元 : 外部中断 INT1 的入口地址 ; 5 001BH 单元 : 定时器 T1 的中断入口地址 ; H 单元 : 串行口中断的入口地址 大连理工大学电信学院陈育斌 111

112 2. 内部数据存储器 RAM 低 128B: 1, 工作寄存器区 ;2, 位寻址区 ;3, 便笺区 ; 高 128B: 做特殊功能寄存器 SFR 用 注意 : 1 SFR 不同于一般的数据 RAM, 它不是用于存储数据, 而是用来控制和表征单片机内部几个逻辑部件的特征, 状态等重要信息 2 在使用 RAM 时, 要注意字节地址和位地址的概念. 3 访问内部 RAM 的指令为 MOV 指令 大连理工大学电信学院陈育斌 112

113 3. 外部数据存储器 在硬件具备的条件下,MCS-51 单片机可以使用 64KB 的外部数据存储器. 如果要访问外部数据存储器 RAM 时, 只能使用间址的寻址方式. 间址寄存器有 R0 R1 或 DPTR 前者寻址范围为 256KB (00H-FFH); 后者为 64KB(0000H 0000H-FFFFH) ) 使用的指令是 MOVX 使用 P0 P2 实现总线信号的操作 其中 P2 口作高 8 位地址总线 ;P0; 口做低 8 位地址和数据的复用总线 ; 大连理工大学电信学院陈育斌 113

114 思考题 ( 二 ) 1. RAM ROM 存储器的作用各是什么? 各自特点? 2. MCS-51 单片机内部的 ROM RAM 各有多大? 3. 内部 RAM 都可以做通用的数据存储单元吗? 4. MCS-51 系统最大可以外接 ( 扩展 ) 多大 ROM 和 RAM? 5. 如何确定 51 单片机执行内部 ROM 程序还是执行外部 ROM 中的程序? 6. 使用 ROM 存储程序时, 如何使用 处理 6 个特定单元? 单片机如何从外部 RAM 中读取数据, 与内部 RAM 的数据读取有何区别? 8. 寄存器 R0~R7 R7 在 RAM 中的地址? 大连理工大学电信学院陈育斌 114

115 9. MCS-51 单片机的堆栈在哪? 复位后栈低位置是多少? 有哪个 SFR 寄存器作指针? 10. 在 MCS-51 单片机的 RAM 区中共有 256B 个存储单元, 其中包含了 : 工作寄存器 位寻址区 通用存储单元和 SFR 请问 : 累加器 A 工作寄存器 Rn 通用存储单元 位寻址区和 SFR 寄存器它们的各自功能是什么? 如 : 累加器 A: 工作寄存器 Rn: 通用存储单元 : 位寻址区 : SFR 寄存器 : 返回第 1 章主菜单 大连理工大学电信学院陈育斌 115

DPJJX1.DOC

DPJJX1.DOC 8051 111 2K 1 2 3 ' ' 1 CPU RAM ROM / A/D D/A PC CPU 40 68 10 20 8 51 PIII 8051 2 MCS51 8051 8031 89C51 8051 8031 89C51? MCS51 INTEL INTEL 8031 8051 8751 8032 8052 8752 8051 8051 8051 MCS51 8031 8031

More information

目 录

目 录 1 Quick51...1 1.1 SmartSOPC Quick51...1 1.2 Quick51...1 1.3 Quick51...2 2 Keil C51 Quick51...4 2.1 Keil C51...4 2.2 Keil C51...4 2.3 1 Keil C51...4 2.4 Flash Magic...9 2.5 ISP...9 2.6...10 2.7 Keil C51...12

More information

W77E58中文手册

W77E58中文手册 W77E58 77E58 8051 8051 8051 77E58 8051 1.5 3 COMS 32K EEPROM 1K SRAM 1 8 2 40M 4 3 8051 4 8051 5 4 8 I/O 6 4 I/O 44 PLCC QFP 7 16 / 8 12 9 10 11 1K 12 13 16 DPTR 14 15 DIP40 W77E58 25/40 PLCC44 W77E58P

More information

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File 51 C 51 51 C C C C C C * 2003-3-30 pnzwzw@163.com C C C C KEIL uvision2 MCS51 PLM C VC++ 51 KEIL51 KEIL51 KEIL51 KEIL 2K DEMO C KEIL KEIL51 P 1 1 1 1-1 - 1 Project New Project 1 2 Windows 1 3 N C test

More information

51 C 51 isp 10 C PCB C C C C KEIL

51 C 51 isp 10   C   PCB C C C C KEIL http://wwwispdowncom 51 C " + + " 51 AT89S51 In-System-Programming ISP 10 io 244 CPLD ATMEL PIC CPLD/FPGA ARM9 ISP http://wwwispdowncom/showoneproductasp?productid=15 51 C C C C C ispdown http://wwwispdowncom

More information

Microsoft Word - 專題封面.doc

Microsoft Word - 專題封面.doc 逢 甲 大 學 資 訊 工 程 學 系 專 題 研 究 報 告 8051 小 遊 戲 -21 點 指 導 教 授 : 陳 德 生 學 生 : 許 博 益 中 華 民 國 九 十 六 年 六 月 I 目 錄 第 一 章 緒 論 1 1-1 研 究 背 景 1 1-2 研 究 動 機 2 1-3 研 究 目 的 3 1-3-1 21 點 源 起 3 1-3-2 21 點 規 則 3 第 二 章 微 電

More information

另外,AT89S52 可降至 0Hz 静态逻辑操作, 支持 2 种软件可选择节电模式 空闲模式下,CPU 停止工作, 允许 RAM 定时器/ 计数器 串口 中断继续工作 掉电保护方式下,RAM 内容被保存, 振荡器被冻结, 单片机一切工作停止, 直到下一个中断或硬件复位为止 8 位微控制器 8K 字

另外,AT89S52 可降至 0Hz 静态逻辑操作, 支持 2 种软件可选择节电模式 空闲模式下,CPU 停止工作, 允许 RAM 定时器/ 计数器 串口 中断继续工作 掉电保护方式下,RAM 内容被保存, 振荡器被冻结, 单片机一切工作停止, 直到下一个中断或硬件复位为止 8 位微控制器 8K 字 AT89C52 中文资料 AT89C52 的中文资料 AT89C52 是美国 Atmel 公司生产的低电压 高性能 CMOS 8 位单片机, 片内含 8KB 的可反复檫写的程序存储器和 12B 的随机存取数据存储器 (RAM), 器件采用 Atmel 公司的高密度 非易失性存储技术生产, 兼容标准 MCS- 51 指令系统, 片内配置通用 8 位中央处理器 (CPU) 和 Flash 存储单元, 功能强大的

More information

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0 SH79F6431 1. SH79F6431 1T 8051 FLASH SH79F JET51 Keil µ vision JTAG 1.1. SH79F6431 LQFP64 1.2. (Target Board) SH79F6431 1 V 1.0 1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F6431 1 2 1 B. 1(VCC/GND)

More information

Microsoft Word - 第1章 MCS-51单片机基础.docx

Microsoft Word - 第1章 MCS-51单片机基础.docx 51 单片机应用开发实战手册 作者 : 华清远见 第 1 章 MCS-51 单片机基础 本章目标 单片机作为嵌入式微控制器在工业测控系统 智能仪器和家用电器中得到广泛 应用 虽然单片机的品种很多, 但 MCS-51 系列单片机仍不失为单片机中的主流机 型 本章主要介绍 MCS-51 单片机的基础知识和学习要点, 以目前应用最为广泛的 AT89SXX 系列单片机为例, 介绍单片机的历史发展 应用领域

More information

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe   Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L 1 SH88F516 8051 64K FLASH 1024 EEPROM SH88F516 JET51 S-Lab Keil µ vision ISP51 Keil µ vision ISP51 ISP51 PC RS232 MCU SH88F516 SH88F516 1.1 SH88F516 1.1 SH88F516 SH88Fxx: QFP44, DIP40 RAM Flash E2 ADC

More information

CH559指令周期.doc

CH559指令周期.doc CH55X 汇编指令周期表 CH55X 汇编指令概述 : 型号包含 : a. 指令单周期型号 :CH557 CH558 CH559; b. 指令 4 周期型号 :CH551 CH552 CH553 CH554; c. 非跳转指令的指令周期数与指令字节数相同 ; d. 跳转指令含 MOVC/RET/CALL 通常比字节数多若干个周期 ; e.movc 指令多 4 或 5 个周期 ( 下条指令地址为奇数时多

More information

» n ¹ú KS0108 x ÍÍÙ q n KS0108 KS KS0107 KS0108 KS *32 128*64 192*64 KS0108 KS0108 KS0107 KS =4096 RAM RAM LCD 2 KS

» n ¹ú KS0108 x ÍÍÙ q n KS0108 KS KS0107 KS0108 KS *32 128*64 192*64 KS0108 KS0108 KS0107 KS =4096 RAM RAM LCD 2 KS KS0108 KS0108 8 KS0107 KS0108 KS0108 128*32128*64 192*64 KS0108 KS0108 KS0107 KS0108 1 6464=4096 RAMRAM LCD 2KS0108 64 3KS0108 68 68 4KS0108 1/48--1/64 KS0108 CS1,CS2,CS3 CS1 CS2 CS3 E E KS0108 E R/W R/W=1

More information

HD61202 HD HD61203 HD61202, HY HY HD61202 HD61202 HD61203 HD =4096 RAMRAM LCD 2HD HD HD /32--

HD61202 HD HD61203 HD61202, HY HY HD61202 HD61202 HD61203 HD =4096 RAMRAM LCD 2HD HD HD /32-- HD61202 C-7 1 HD61202 HD61202 8 HD61203 HD61202, HY-12864 HY-19264 HD61202 HD61202 HD61203 HD61202 1 6464=4096 RAMRAM LCD 2HD61202 64 3HD61202 68 68 4HD61202 1/32--1/64 HD61202 HD61202 2 CS1,CS2,CS3 CS1

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

单片机原理及应用实验指导书.doc

单片机原理及应用实验指导书.doc 1 2 3 4...2...4...9...9 AEDK598 MCS51...9 MCS51...10...10...10...10...10...10...11 P1...12...12...12....12...12...13 P3...14...14...14...14...14...14 I/O...15...15...15...15...15...16...17...17...17...17...17...18...19...19

More information

Ps22Pdf

Ps22Pdf ( ) ( 150 ) 25 15 20 40 ( 25, 1, 25 ), 1. A. B. C. D. 2. A. B. C. D. 3., J = 1 H = 1 ( A B, J', J, H ) A. A = B = 1, J' =0 B. A = B = J' =1 C. A = J' =1, B =0 D. B = J' = 1, A = 0 4. AB + AB A. AB B. AB

More information

<4D F736F F D20B3E6B4B9A4F930365F32A443AC71C5E3A5DCBEB9B1B1A8EE2E646F63>

<4D F736F F D20B3E6B4B9A4F930365F32A443AC71C5E3A5DCBEB9B1B1A8EE2E646F63> 七段顯示器控制電路四位數 _ 使用解碼器驅動 +5 V 10 uf 8.2 k 12 MHz 20 pf 1 2 3 4 5 6 7 8 9 P1.0 P1.1 P1.2 P1.3 P1.4 P1.5 P1.6 P1.7 RESET 10 P3.0 11 12 13 14 15 16 17 18 19 20 P3.1 P3.2 P3.3 P3.4 P3.5 P3.6 P3.7 XTAL2 XTAL1

More information

6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C C C51 C51 ANSI C MCS-51 C51 ANSI C C C51 bit Byte bit sbit

6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C C C51 C51 ANSI C MCS-51 C51 ANSI C C C51 bit Byte bit sbit 6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C51 6.1 C51 6.1.1 C51 C51 ANSI C MCS-51 C51 ANSI C C51 6.1 6.1 C51 bit Byte bit sbit 1 0 1 unsigned char 8 1 0 255 Signed char 8 11 128

More information

第5章:汇编语言程序设计

第5章:汇编语言程序设计 第 5 章 : 汇编语言程序设计 程 汇编语言指令格式 系统伪指令 存储器选择方式 常用子程序 1 汇编语言程序设计 PIC 指令系统 语言系统 指 CPU 编 器语言 器语言 器语言 设计 用 语言 设计 语言 汇编语言 2 汇编语言指令格式 汇编语言指令格式 ( 指令 ) label opcode operand comment 指令 用 存 指令 指令语 3 汇编语言指令格式 1 指令 用 指令

More information

PowerPoint Presentation

PowerPoint Presentation 7.2 并行接口 二. 可编程并行接口芯片 8255A 的功能及其应用 与 CPU 一侧相连的引脚 D0 PA0 ~ ~ ~ ~ ~ D7 PA7 RESET PC7 WR RD PC4 PC3 PC0 A0 PB0 A1 PB7 CS PA 口 PC 口上半部 PC 口下半部 PB 口 A 组 B 组 与 I/O 设备相连的引脚 7.2 并行接口二. 可编程并行接口芯片 8255A 的功能及其应用

More information

untitled

untitled EDM16080-01 Model No.: Editor: 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

專題最終版.doc

專題最終版.doc The Principle and Application of the Electric Combination Lock The Principle and Application of the Electric Combination Lock Abstract Recently, there are more and more burglaries in the society. It's

More information

展 望 与 述 评 2 广 电 设 备 与 技 术 2013.2

展 望 与 述 评 2 广 电 设 备 与 技 术 2013.2 展 望 与 述 评 2013.2 广 电 设 备 与 技 术 1 展 望 与 述 评 2 广 电 设 备 与 技 术 2013.2 展 望 与 述 评 2013.2 广 电 设 备 与 技 术 3 展 望 与 述 评 4 广 电 设 备 与 技 术 2013.2 展 望 与 述 评 2013.2 广 电 设 备 与 技 术 5 展 望 与 述 评 骆 萧 萧 卜 筱 皛 本 文 讨 论 了 在 非

More information

逢 甲 大 學

逢    甲    大    學 論 車 Auto Vehicle 立老 磊 年 老 立老 了 見 老 了不 料 利 了 識 更了 力量! i 車. 車 利 89c51 來 流. 令. 車 8051 類 車利 車 ii Abstract The goal of this thesis is to design a small auto vehicle by using IC as its control center. Our auto

More information

12232A LED LED LED EL EL CCFL EL CCF

12232A LED LED LED EL EL CCFL EL CCF 12232A 0 50-20 +70-30 +85 LED LED LED EL EL CCFL EL CCF 122 x 32 1/32Duty 1/5Bias 6:00 STN( ), EL LED EL/100VAC 400HZ LED/4.2VDC 1 / VDD-VSS 0 6.5 V Ta=25 LCD VDD-V0 0 12.0 V V1 0 VDD V VDD-VSS - 4.75

More information

untitled

untitled EDM12832-08 : 25-1 : 116600 : (0411)7612956 7632020 7631122 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

微處理機期末專題

微處理機期末專題 微 處 理 機 期 末 專 題 自 動 鋼 琴 組 員 :b92611004 羅 鈞 瑋 b92611008 吳 妍 儂 b92611038 吳 韋 靜 b92611042 林 佳 穎 一 簡 介 本 組 的 主 題 是 自 動 鋼 琴 在 播 放 音 樂 的 同 時, 鋼 琴 會 自 動 按 下 琴 鍵, 被 按 下 的 琴 鍵 所 對 應 到 的 音 階, 就 是 正 在 撥 放 的 樂 曲 的

More information

1

1 W7E65 1. W7E65 ISP Flash EPROM ISP Flash EPROM 52 W7E65 64K Flash EPROM 4K Flash EPROM 4K Flash EPROM loader 64K ROM 1K RAM 4 I/O 4 I/O P4 3 16 / 2 W7E65 Flash EPROM W7E65 2 2 2. CMOS 12 64K ISP Flash

More information

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x 115 AVR W.V. Awdrey ATMEL AVR PIC AVR PIC AVR RISC AVR PIC AVR AVR AVR AVR AVR ATtiny15 AVR AVR AVR RAM ROM 121 116 122 ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r31 3 16 X Y Z 6-1 118 7 0

More information

CA24064B LED LED LED EL EL CCFL EL CCF /

CA24064B LED LED LED EL EL CCFL EL CCF / CA24064B 0 50-20 +70-30 +85 LED LED LED EL EL CCFL EL CCF 39 2 6 0755-81995643/27890716 0 13713911853 0755-27890716 1 : VDD-VSS 0 6 V LCD VDD-V0 Ta=25 0 28.0 V VI 0 VDD V : VDD-VSS --- 4.75 5.0 5.25 V

More information

HT46R47 f SYS =4MHz 3.3~5.5V f SYS =8MHz 4.5~5.5V 13 位双向输入 / 输出口 1 个与输入 / 输出共用引脚的外部中断输入 8 位带溢出中断的可编程定时 / 计数器 具有 7 级预分频器 石英晶体或 RC 振荡器 位的程序存储器 P

HT46R47 f SYS =4MHz 3.3~5.5V f SYS =8MHz 4.5~5.5V 13 位双向输入 / 输出口 1 个与输入 / 输出共用引脚的外部中断输入 8 位带溢出中断的可编程定时 / 计数器 具有 7 级预分频器 石英晶体或 RC 振荡器 位的程序存储器 P HT46R/47R/48R/49R 系列 选型指南 HT46R22 f SYS =4MHz 3.3~5.5V f SYS =8MHz 4.5~5.5V 19 位双向输入 / 输出口 1 个与输入 / 输出口线共用的外部输入 16 位具有 7 级预分频带溢出中断的可编程定时 / 计数器 内置石英晶体或 RC 振荡器 2048 14 位的程序存储器 PROM 64 8 位的数据存储器 RAM 支持发声的

More information

SPMC75F2413A_EVM_使用说明_V1.2.doc

SPMC75F2413A_EVM_使用说明_V1.2.doc SPMCFA EVM V. - Jan 0, 00 http://www.sunplusmcu.com ................ SPMCFA........... EEPROM.... I/O............ LED.... LED.... RS-........0............ EVM................ 0.....0..... SPMCFA EVM SPMCFA

More information

物品重量分級器.doc

物品重量分級器.doc Ω Ω Ω Ω Ω Ω Ω 9 A R = Ω Ω + - - + R = A R = - ρ A A R = + A A R = A ρ Ω = + A A A - R + + = + = ρ ) A A ) ( A R ( + + = + + = A ) A R (+ R R = R R = F F Active Dummy V Active Dummy ± ± ± mv = mv ±

More information

µPSD3000 系列

µPSD3000 系列 8032 FLASH MCU µpsd3251f-40t6 µpsd3251 8032 FlashPSD Flash SRAM I/O I 2 C, ADC and PWM 8032, 16 / FlashPSD µpsd32 JTAG ISP 2K SRAM Flash - 64K Flash - 16K Flash - Flash 8032 12 I2C ADC 6 I/O 37 I/O 16

More information

Microsoft Word - IRFWX_A051_C_SyncMOS_with_STC_APN_SC_.doc

Microsoft Word - IRFWX_A051_C_SyncMOS_with_STC_APN_SC_.doc EEPROM 应用说明 1 适用产品 :SM59D03G2 SM59D04G2 series 2 应用范围 : 针对需使用 EEPORM 功能替换 STC 89C5X 的应用及汇编语言之范例程序 ( 使用内部扩充内存为暂存区 ) 3 功能说明 : 3.1 本公司上述产品 EEPORM 功能皆可替换 STC89C5X, 仅需对特殊功能缓存器定义 ( 详见表 1) 及 ISP 命令定义 ( 详见表 2)

More information

at89S52.doc

at89S52.doc 主要性能 与 MCS-51 单片机产品兼容 8K 字节在系统可编程 Flash 存储器 1000 次擦写周期 全静态操作 :0Hz~33Hz 三级加密程序存储器 32 个可编程 I/O 口线 三个 16 位定时器 / 计数器 八个中断源 全双工 UART 串行通道 低功耗空闲和掉电模式 掉电后中断可唤醒 看门狗定时器 双数据指针 掉电标识符 8 位微控制器 8K 字节在系统可编程 Flash R 功能特性描述

More information

从MCS51向AVR的快速转换.PDF

从MCS51向AVR的快速转换.PDF MCS51 AVR MCS51 AVR ATMEL AVR RISC MCS51 AVR 1 MHZ 1MIPS 8MHZ AVR 224MHZ MCS51 FLASH EEPROM ISP WatchDog AVR MCS51 AT90S1200/2313 AT89C1051/2051 AT90S4414/8515 AT89C51/52 PCB / AVR C ICCAVR60 AVR C IAR

More information

33023A.book(31006A_cn.fm)

33023A.book(31006A_cn.fm) 6 第 6 章存储器构成 存储器构成 目录 本章包括下面一些主要内容 : 6.1 简介...6-2 6.2 程序存储器构成... 6-2 6.3 数据存储器构成... 6-8 6.4 初始化... 6-14 6.5 设计技巧... 6-16 6.6 相关应用笔记... 6-17 6.7 版本历史... 6-18 2004 Microchip Technology Inc. DS31006A_CN 第

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

GW EDA VHDL VHDL VHDL VHDL ADC009 0 FPGA PC GW EDA a GW EDA beda README.TXT c d 0 e J MZH +V GND -V D/A +V GND S JA J D D D D D D D D C K J J VGA VGA B EDA JB B J HC B RS- CON CON HC PS/ CPLD/FPGA J RS-

More information

, STC11F01-35C-SOP16 RMB 1.99 STC10F04-35C-LQFP44 R MB 2. 99

, STC11F01-35C-SOP16 RMB 1.99 STC10F04-35C-LQFP44 R MB 2. 99 , STC11F01-35C-SOP16 RMB 1.99 STC10F04-35C-LQFP44 R MB 2. 99 , STC12C5A08AD-35C-LQFP44,RMB 7.5 , STC12C5201AD-SOP16,RMB 2.49 STC12C5202AD-LQFP32,RMB 3.99 , , 0.1uF STC 89LE58 RD+ 32K 1280 16K+ 0.1uF

More information

DATASHEET SEARCH SITE ==

DATASHEET SEARCH SITE == , STC 89 1280 8/16/32/64k IAP SRAM ISP P0 8 P1 8051 E 2 PROM + P2 IAP STC Data Flash P4.0P4.3 P3 MAX810 I/O P4 A/D UART A / D 3 STC 89 P I I E 2 P 4 S A ROM EMI P P STC 89C51 RC 4K 512 2K STC 89C52 RC

More information

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg 1 1 a. 0.5V 5V 1Hz 1MHz b. 0.1% 2 : a. 0.5V 5V 1Hz 1MHz b. 0.1% (3) a. 0.5V 5V 100 s b. 1% 4 1 10 5 1MHz 6 1 2 1 0.1Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15

More information

MSP430X1XX 系列微控制器具有以下特征 结构框图 超低功耗结构体系 A 额定工作电流在 1MHz V 工作电压 C11X P11X 和 E11X 为 V 从备用模式唤醒为 6 S 丰富的中断能力减少了查询的需要灵活强大的处理能力源操作数有七种寻址模

MSP430X1XX 系列微控制器具有以下特征 结构框图 超低功耗结构体系 A 额定工作电流在 1MHz V 工作电压 C11X P11X 和 E11X 为 V 从备用模式唤醒为 6 S 丰富的中断能力减少了查询的需要灵活强大的处理能力源操作数有七种寻址模 新一代超低功耗 16 位单片机 TI MSP430 系列 美国 TI 公司的 MSP430 系列单片机可以分为以下几个系列 X1XX X3XX X4XX 等等 而且 在不断发展 从存储器角度 又可分为 ROM C 型 OTP P 型 EPROM E 型 Flash Memory F 型 系列的全部成员均为软件兼容 可以方便地在系列各型号间移植 MSP430 系列单片机 的 MCU 设计成适合各种应用的

More information

Microsoft Word - P3.doc

Microsoft Word - P3.doc ;P3.3 警报器 ;* ( 程序转载请著明出处, 未声明可适应本站腾龙 /isp 开发套件 / 倚天版开发套件 * ;* E-mail:sxj1974@163.com (51c51 test web) 51 测试网, 自学单片机的网站 * ;* Create by : 石学军 www.51c51.com www.8951.com 更多例程请登陆网站 * org 00h ajmp dlv org 0030h

More information

(10) 在满足串行口接收中断标志位 RI SCON.0 =0 的条件下, 置允许接收位 REN(SCO N.4)=1 就会启动接收一帧数据进入输入移位寄存器, 并装载到接收 SBUF 中, 同时使 RI =l 当发读 SBUF 命令时 ( 执行 MOV A,SBUF 指令 ), 即是由接收缓冲器

(10) 在满足串行口接收中断标志位 RI SCON.0 =0 的条件下, 置允许接收位 REN(SCO N.4)=1 就会启动接收一帧数据进入输入移位寄存器, 并装载到接收 SBUF 中, 同时使 RI =l 当发读 SBUF 命令时 ( 执行 MOV A,SBUF 指令 ), 即是由接收缓冲器 微机原理与接口技术 -- 历年考研真题复习资料 微型计算机的基本组成由微处理器 存储器 I/O 接口电路和系统总线构成, 输入 / 输出 (I /O) 接口和系统总线组成的, 即中央处理器 CPU( 通常包括运算器和控制器 )+ 存储器 + 输入 / 输出 (I/O) 接口, 单片微处理机就是把 (CPU 存储器和 I/O 接口电路 ) 等部件都集成在电路芯片上, 华中科技大学微机原理与接口技术一

More information

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File 51 C 51 51 C C C C C C * 2003-3-30 pnzwzw@163.com C C C C KEIL uvision2 MCS51 PLM C VC++ 51 KEIL51 KEIL51 KEIL51 KEIL 2K DEMO C KEIL KEIL51 P 1 1 1 1-1 - 1 Project New Project 1 2 Windows 1 3 N C test

More information

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC LCD PIC 单片机解决方案 集成 LCD 驱动器模块的 PIC 单片机 www.microchip.com/lcd ,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC,

More information

SST SPAC SST SoftICE SST89C5x/SST89x554RC /564RD /SST89x516/5xRD / SoftICE SoftICE MCU SoftICE SS

SST SPAC SST SoftICE SST89C5x/SST89x554RC /564RD /SST89x516/5xRD / SoftICE SoftICE MCU SoftICE SS SST SoftICE SST89C5x/SST89x554RC /564RD /SST89x516/5xRD2 1...2 1.1...2 1.2...2 1.3 /...2 2 SoftICE...2 3 SoftICE MCU...2 4 SoftICE...3 4.1 SST BootLoader SOFTICE...3 4.2 SoftICE SST MCU...6 5 SoftICE...7

More information

, , STC11F01-35C-SOP16 RMB 1.99 STC10F04-35C-LQFP44 R MB 2. 99 , STC12C5A08AD-35C-LQFP44,RMB 7.5 , STC12C5201AD-SOP16,RMB 2.49 STC12C5202AD-LQFP32,RMB 3.99 0.1uF 0.1uF 0.1uF STC 89 E 2 PROM Data Flash

More information

指令系统 可分成如下 6 类 : 数据传送指令 算术运算指令 逻辑运算和移位指令 串操作指令 程序控制指令 处理器控制指令 2015 年 3 月 26 日星期四 8 时 41 分 27 秒 2

指令系统 可分成如下 6 类 : 数据传送指令 算术运算指令 逻辑运算和移位指令 串操作指令 程序控制指令 处理器控制指令 2015 年 3 月 26 日星期四 8 时 41 分 27 秒 2 第 3 章 8086 的寻址方式和指令系统 (2) 2015 年 3 月 26 日星期四 8 时 41 分 27 秒 1 3.3 8086 指令系统 可分成如下 6 类 : 数据传送指令 算术运算指令 逻辑运算和移位指令 串操作指令 程序控制指令 处理器控制指令 2015 年 3 月 26 日星期四 8 时 41 分 27 秒 2 3.3.1 数据传送指令 可实现 存储器 立即数 段寄存器 CS DS

More information

PowerPoint 簡報

PowerPoint 簡報 微算機原理與實驗 (UEE 2301/1071 ) Chap 5. MCS-51 Addressing Modes 宋開泰 Office:EE709 Phone:5731865( 校內分機 :31865) E-mail:ktsong@mail.nctu.edu.tw URL:http://isci.cn.nctu.edu.tw 1 Addressing Modes Addressing mode is

More information

PROTEUS VSM

PROTEUS  VSM Proteus VSM-- 1/1 PROTEUS VSM Proteus VSM ISIS Prospice VSM Proteus PROSPICE ARM7 PIC AVR HC11 8051 CPU LCD RS232 LED IAR Keil Hitech C make 6000 SPICE SPICE DLL SPICE3F5 14 FM PROTEUS PCB LED/LCD / 300

More information

<4D6963726F736F667420576F7264202D20B9F9B0EABBCDBBAFAB48DEB3B4C1A5BDB3F8A7692E646F63>

<4D6963726F736F667420576F7264202D20B9F9B0EABBCDBBAFAB48DEB3B4C1A5BDB3F8A7692E646F63> 臺 北 市 立 松 山 高 級 工 農 職 業 學 校 資 訊 科 專 題 製 作 報 告 題 目 : 反 彈 空 間 指 導 老 師 : 余 耀 銘 學 生 : 廖 國 銓 趙 信 瑋 中 華 民 國 102 年 5 月 摘 要 在 這 高 速 科 技 的 起 飛 下, 科 技 都 建 立 起 於 基 礎, 有 些 人 把 這 基 礎 轉 為 理 論, 教 給 大 眾 學 習 ; 有 些 人 利

More information

内容简介 本书以 Proteus 为仿真软件, 以汇编语言和 C 语言为编程语言, 结合趣味应用实例, 系统介绍 MCS-51 单片机的组织结构 工作原理 指令系统 程序设计 中断 定时 / 计数器 串行通信 系统扩展 接口技术 应用系统设计等内容 每章的例题 习题都用 Proteus 仿真实现,

内容简介 本书以 Proteus 为仿真软件, 以汇编语言和 C 语言为编程语言, 结合趣味应用实例, 系统介绍 MCS-51 单片机的组织结构 工作原理 指令系统 程序设计 中断 定时 / 计数器 串行通信 系统扩展 接口技术 应用系统设计等内容 每章的例题 习题都用 Proteus 仿真实现, 普通高等教育电气信息类应用型规划教材 单片机原理与应用 基于实例驱动和 Proteus 仿真 ( 第二版 ) 李林功编著 北 京 内容简介 本书以 Proteus 为仿真软件, 以汇编语言和 C 语言为编程语言, 结合趣味应用实例, 系统介绍 MCS-51 单片机的组织结构 工作原理 指令系统 程序设计 中断 定时 / 计数器 串行通信 系统扩展 接口技术 应用系统设计等内容 每章的例题 习题都用

More information

TSINGTEK DISPLAY CO.,LTD LCD CONTROLLER & DRIVER ST7920 OR EQUIVALENT (f) 639 2A f B1

TSINGTEK DISPLAY CO.,LTD LCD CONTROLLER & DRIVER ST7920 OR EQUIVALENT (f) 639 2A f B1 TSINGTEK DISPLAY CO.,LTD LCD CONTROLLER & DRIVER ST7920 OR EQUIVALENT 588 4 1 0571-85121224 85121742 85121304(f) 639 2A095 0571-88256346 89902095 f B1618 010-62051209 62000662 62568913 82036512 f http://www.tsingtek.com

More information

System Design and Setup of a Robot to Pass over Steps Abstract In the research, one special type of robots that can pass over steps is designed and se

System Design and Setup of a Robot to Pass over Steps Abstract In the research, one special type of robots that can pass over steps is designed and se 8051 8051 System Design and Setup of a Robot to Pass over Steps Abstract In the research, one special type of robots that can pass over steps is designed and setup. This type of robot uses two kinds of

More information

1.1 ML_ONOFF = 1 Q 3 Q 8 C 0.3V M 2 L 1 ML_ONOFF = 0 Q 3 Q 8 C 1. + R31 VCC R21 10K ML_ONOFF R15 0:off 1:on 1K Green Light VCC=5V L1 Q VDD=12V C

1.1 ML_ONOFF = 1 Q 3 Q 8 C 0.3V M 2 L 1 ML_ONOFF = 0 Q 3 Q 8 C 1. + R31 VCC R21 10K ML_ONOFF R15 0:off 1:on 1K Green Light VCC=5V L1 Q VDD=12V C AUTOMATIC TROLLEY H K Hwang K K Chen J-S Lin S-C Wang M-L Li C-C Lin W-B Lin Dept. Of Electrical Engineering Far East College ABSTRACT This paper proposes an automatic trolley which can move automatically

More information

() () () () () () () () DDRAM () II

() () () () () () () () DDRAM () II 液晶模块说明书 SPEC NO YM2232A REV NO. 液晶显示模块产品说明书 产品类型 : 产品型号 : 产品描述 : 标准产品 YM2232A 22x32 图形点阵模块, 控制器 :SED52,LED 背光 客户名称 : 客户确认 : 编写 : Dexun Zou 审核 : HCC 批准 : Jingxi Yang 发行日期 : 22.8 大连佳显电子有限公司 地址 : 大连市沙河口区工华街

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

128K Flash EPROM 的程序?\(Bank=64K\) 切?

128K Flash EPROM 的程序?\(Bank=64K\) 切? 应用说明 华邦 8 位单片机 (8051 内核 ) 应用说明 华邦 8 位单片机 (8051 内核 ) ANSC-UC08-0007 目录 - 1. 应用说明 :...4 1.1...4 1.2 相关寄存器介绍...4 1.2.1 串行口控制寄存器 (SCON)... 4 1.2.2 串行数据缓冲寄存器 (SBUF)... 5 1.2.3 串行口控制 1 (SCON1)... 5 1.2.4 串行数据接收缓冲

More information

AT89C2051中文资料.doc

AT89C2051中文资料.doc 图形点阵液晶显示模块使用手册 TG12864C(L) 广州捷胜吉电子科技 地址 : 广州市天河区天河路 561# 新赛格电子城 B2226 电话 :(020)33550997 13829772038 网址 :WWW.GZJSJDZ.COM E-mail:CJKD@21CN.COM 目 录 ( 一 ) 概述 (1) ( 二 ) 外形尺寸图 (1) ( 三 ) 模块主要硬件构成说明 (2) ( 四 )

More information

Microsoft PowerPoint - chap3.ppt

Microsoft PowerPoint - chap3.ppt MCS-51 CPU 的規劃模式 位元組位址 1F 18 17 10 0F 08 07 06 05 04 03 02 01 00 通用資料暫存器 暫存器庫 3 暫存器庫 2 暫存器庫 1 R7 R6 R5 R4 R3 R2 R1 R0 內部 RAM 位元組位址 暫存器庫 0 F0 F7 F6 F5 F4 F3 F2 F1 F0 B E0 E7 E6 E5 E4 E3 E2 E1 E0 ACC D0

More information

第一章

第一章 单片机原理 STC15F2K60S2 微机原理与单片机接口技术复习要点 第一章微型计算机概述 ( 了解概念 ) 1.1.2 微型计算机基本构成 ( 基本结构由哪些部分构成 ) 1.1.3 单片微型计算机简介 ( 什么是单片机, 单片机的结构及各个部分的功能, 常见的单片机有哪些 ) 1>. 微型计算机基本构成 : 微处理器, 存储器 (ROM RAM) 与输入输出接口 (I/O 接口 ) 及外部设备及连接他们的系统总线组成

More information

Microsoft Word - Ö¸Á.doc

Microsoft Word - Ö¸Á.doc 指令集说明 注 由于资源大小问题 其中以下几款 MCU 只有 62 条指令 其余均为 63 条指令 HT48CA0/HT48RA0A 无 RETI 指令 HT48R05A-1 无 TABRDL 指令 指令寻址方式有下面 5 种 立即寻址 此一寻址法是将立即的常数值紧跟在运算码 (opcode) 后 例如 MOV A, 33H ADD A, 33H 直接寻址 直接寻址的情況只允许在存储器之间作数据传送

More information

四位微控制器ML64168_ _.doc

四位微控制器ML64168_ _.doc SSSC /4168P 2005 8 4 4, (ADC), LCD Driver, (Buzzer), 1 3 CPU17 CPU 26 33 42 45 48 73 79 85 89 A/D 92 111 125 128 131 SSU4168P 133 143 A: / 153 B: 156 C: 158 D: 160 E: 161 F: 163 G: PAD 167 2 ,, 11 4 CMOS

More information

图 内部结构图 8251 的外部引脚如图 所示, 共 28 个引脚, 每个引脚信号的输入输出方式如图中的箭 头方向所示

图 内部结构图 8251 的外部引脚如图 所示, 共 28 个引脚, 每个引脚信号的输入输出方式如图中的箭 头方向所示 实验题目 : 串行接口芯片 8251 实验目的 : 1. 掌握 8251 的工作方式及应用 2. 了解有关串口通讯的知识 串行接口芯片 8251 3. 掌握使用 8251 实现双机通讯的软件编程和电路连接 实验设备 : IA-32 架构的微机系统及应用教学平台两套 实验原理 : 1. 8251 的基本性能 性能 : 8251 是可编程的串行通信接口, 可以管理信号变化范围很大的串行数据通信 有下列基本

More information

例 如, 一 个 含 有 2000 个 记 录 的 文 件, 每 个 磁 盘 块 可 容 纳 250 个 记 录, 则 该 文 件 包 含 8 个 磁 盘 块 然 后 对 该 文 件 作 二 路 归 并 的 外 排 序, 每 次 往 内 存 读 入 两 个 磁 盘 块, 排 序 后 再 写 回 磁

例 如, 一 个 含 有 2000 个 记 录 的 文 件, 每 个 磁 盘 块 可 容 纳 250 个 记 录, 则 该 文 件 包 含 8 个 磁 盘 块 然 后 对 该 文 件 作 二 路 归 并 的 外 排 序, 每 次 往 内 存 读 入 两 个 磁 盘 块, 排 序 后 再 写 回 磁 说 明 改 动 的 内 容 很 少, 且 都 是 不 怎 么 重 要 的, 因 此 无 需 过 多 纠 结, 大 家 看 完 后 一 目 了 然 第 6 章 排 序 1 增 加 了 :( 十 ) 外 部 排 序 第 一 部 分 : 数 据 结 构 2 后 面 的 修 改 :( 十 一 ) 各 种 内 部 排 序 算 法 的 比 较 ;( 十 二 ) 内 部 排 序 算 法 的 应 用 外 部 排 序

More information

1 TPIS TPIS 2 2

1 TPIS TPIS 2 2 1 1 TPIS TPIS 2 2 1. 2. 3. 4. 3 3 4 5 4 TPIS TPIS 6 5 350 Mark Coil F3/F6 350 M 150 M 25 M 7.12M 8 M F3 F6 F4 F7 F8 8M AA 7 350 28V 5V IC HCPL2731 0.5mA 6 8 (TPIS) TPIS 9 7 IC AT89C2051 AT89C2051 CMOS8

More information

dfgdafhdafhdafhdafh

dfgdafhdafhdafhdafh 逢 甲 大 學 自 動 控 制 工 程 學 系 專 題 製 作 專 題 論 文 數 位 式 電 子 秤 之 研 製 Design Study of Digital Electronic Weighing Sensor 指 導 教 授 : 賴 啟 智 學 生 : 劉 人 豪 俞 仲 維 中 華 民 國 九 十 八 年 四 月 二 十 二 日 ii . 誌 謝 本 文 承 蒙 賴 啟 智 老 師 指 導,

More information

<4D6963726F736F667420576F7264202D203130B5A5C6ACBBFACAB5D1E9D6B8B5BCCAE92E646F63>

<4D6963726F736F667420576F7264202D203130B5A5C6ACBBFACAB5D1E9D6B8B5BCCAE92E646F63> 单 片 机 及 接 口 技 术 实 验 指 导 书 张 勇 编 计 算 机 与 通 信 工 程 学 院 信 息 与 通 信 工 程 系 2004.12 1 - 前 言 单 片 机 及 接 口 技 术 是 通 信 工 程 专 业 的 专 业 技 能 课 程, 在 专 业 知 识 结 构 体 系 中 具 有 十 分 重 要 的 地 位, 课 程 的 实 践 性 很 强, 学 习 必 须 理 论 和 实

More information

論 車 年六 老 老 不 不 不 了 浪 老 更 勵 料 利 老 i 都 來 車 利 8051 來 流 識 令 利 理 來 令 行 車 路 了 識 來說 ii In the technological world, many things can be done by machine instead of the man.. and our project is one thing of the

More information

Microsoft Word - 第1章 MCS-51单片机概述.docx

Microsoft Word - 第1章 MCS-51单片机概述.docx 单片机 C 语言入门 ( 修订版 ) 作者 : 华清远见 第 1 章 MCS-51 单片机概述 本章目标 单片微型计算机简称单片机, 又称为微控制器 (MCU), 是微型计算机的一个重要分支 单片机是 20 世纪 70 年代中期发展起来的一种大规模集成电路芯片, 将 CPU RAM ROM I/O 接口和中断系统等集 成于同一硅片内 20 世纪 80 年代以来单片机发展迅速, 各类新产品不断涌现,

More information

四、通 讯 规 约

四、通 讯 规 约 HY-ML2000 RS232 RS422 RS-485 9 RS232 1----GND 3---RXD 4---TXD RS422 6--T+,7--T-,8--R-, 9--R+ 00 0FFH 256 0# 1# 2# 3# 4# 5# 6# 7# 8# 14# 18# HY-ML2000 ( ) 0# ( BJ DISA ) 1 EB 90 EB 90 02...( )... (L) (H)

More information

新开放专科单片机技术与应用教学大纲

新开放专科单片机技术与应用教学大纲 中央广播电视大学开放教育 ( 专科 ) 数控技术专业 单片机技术 课程教学大纲 信息工程系 顾筠 第一部分 大纲说明 一 课程性质和任务 1. 课程性质 单片机技术 是开放教育 ( 专科 ) 数控技术专业的一门省开专业技术课程 通过学习本课程, 使学生能够握有关单片机的基础知识, 并为今后的单片机应用打下基础 本课程共 72 学时, 其中授课 54 学时, 实验 18 学时 单片机面向控制, 具有体积小

More information

微机第02章1(指令寻址)

微机第02章1(指令寻址) 微机原理及应用 主讲 : 谢维成 http://xweicheng.ys168.com scxweicheng@yahoo.com.cn 西华大学电气信息学院 1 第 03 章 80X86 的寻址方式和指令 ( 本章内容 ) 3.1 指令的格式 3.2 8086/8088 的寻址方式 3.3 指令系统 3.3.1 数据传送指令 3.3.2 算术运算指令 3.3.3 逻辑运算与移位指令 3.3.4 串操作指令

More information

AT89S51

AT89S51 AT89S51 中文资料 AT89S51 是美国 ATMEL 公司生产的低功耗, 高性能 CMOS8 位单片机, 片内含 4kbytes 的可系统编程的 Flash 只读程序存储器, 器件采用 ATMEL 公司的高密度 非易失性存储技术生产, 兼容标准 8051 指令系统及引脚 它集 Flash 程序存储器既可在线编程 ( ISP) 也可用传统方法进行编程及通用 8 位微处理器于单片芯片中,ATMEL

More information

<4D F736F F F696E74202D20B5DA35D5C2CEA2B4A6C0EDC6F7B9A4D7F7D4ADC0ED2E707074>

<4D F736F F F696E74202D20B5DA35D5C2CEA2B4A6C0EDC6F7B9A4D7F7D4ADC0ED2E707074> 第 5 章 微处理器工作原理 1 5.1 8086 处理器 2 1. 管脚定义 3 8086/88 管脚描述 8086:16 位微处理器, 16 位外部数据总线 8088:16 位微处理器, 8 位外部数据总线 GND AD14 AD13 AD12 AD11 AD10 AD9 AD8 AD7 AD6 AD5 AD4 AD3 AD2 AD1 AD0 NMI INTR CLK GND 1 2 3 4 5

More information

单片机学习教程

单片机学习教程 . 89S51 1. 2.p1 3.8 3 4.8 3. 1. 0-F 2. 0000 0255. 1. : BB 2. : ( --- ) : :. 1. 2..232.I 2 C 1. X24C02 MCS-51 2. : 22H 24C02 50H 3. : ; 8 4. :I2C. / 1. 1 2. 2. 1. 1. 2. 2.. 1. 1: 2. 2: 3. 3 1 LED 1 89S51

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6B0B8C0FDB5BCD1A75FD1F9D5C22E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6B0B8C0FDB5BCD1A75FD1F9D5C22E646F63> 因 为 路 过 你 的 路, 因 为 苦 过 你 的 苦, 所 以 快 乐 着 你 的 快 乐, 追 逐 着 你 的 追 逐 内 容 简 介 本 书 根 据 2005 年 下 半 年 实 施 的 全 国 计 算 机 技 术 与 软 件 专 业 技 术 资 格 ( 水 平 ) 考 试 嵌 入 式 系 统 设 计 师 级 考 试 大 纲 精 神, 在 深 入 研 究 历 年 计 算 机 技 术 与 软

More information

SM2965

SM2965 产品清单 SM2965C40, 主频 40MHz, 内带 64KB 闪存的 MCU 总体描述 SM2965 系列产品是一种内嵌 64KB 闪存和 1K 字节 RAM 的 8 位单片微控制器它是 80C52 微控制器家族的派生产品具有在系统可编程 (ISP) 功能其 PDIP 封装具有 32 个 I/O 口而 PLCC/QFP 封装则具有多达 36 个 I/O 口 64K 字节的闪存既可以当作程序空间又可以当作数据空间或者数据和程序混合空间其硬件特征和强大的指令系统使它成为一种性能价格比高的控制器片上闪存的编程可以使用商用编程器进行并行编程也可以根据其

More information

Microsoft Word - 新1-3.doc

Microsoft Word - 新1-3.doc 模块三 80C51 的指令系统和程序设计 (1) 了解 80C51 指令系统的构成 (2) 掌握 80C51 单片机的寻址方式 (3) 掌握 80C51 单片机的各种指令及其应用 (4) 掌握汇编语言程序设计方法, 为以后实际控制系统的程序设计奠定基础 3.1 80C51 指令系统概述 对于任何一台计算机, 如果只有硬件 ( 称为裸机 ), 而没有软件 ( 即程序 ) 的支持是不能工作的 单片机也不例外,

More information

1 V = h a + ab + b 3 = 1 = 1 + = + = BAC Quod erat demonstrandum Q E D AB p( EF) p = = AB AB CD q( EF) q p q 1 p q, EF = ED BF G G BG = FG EH a = b + c a - b = c FG = BG = HG = a EF = FG - EG = a - b

More information

00 sirius 3R SIRIUS 3R 3RV1 0A 1 3RT1 3RH1 3 3RU11/3RB SIRIUS SIRIUS TC= / 3RV1 A 1 IEC6097- IP0 ( IP00) 1/3 IEC6097- (VDE0660) DIN VDE 06 0 AC690V, I cu 00V 1) P A n I n I cu A kw A A ka S00 0.16 0.0

More information

MCS FCC

MCS FCC F&B 100% MCS FCC6000...1...2...2...3...4...5...7...8...18 HIGH LOW OUT MAN COM1 COM2 PRINT ERROR MONTH.DATA HOUR.MINUTE MAN LOW HIGH OUT HIGH LOW OUT MAN 0 0 1-0 1 1 2 5 4. 5 0 0 1-0 2 2 5 4 6. 9 0 0 1-0

More information

民 國 105 年 大 專 程 度 義 務 役 預 備 軍 官 預 備 士 官 考 選 簡 章 目 錄 壹 考 選 依 據 1 貳 考 ( 甄 ) 選 對 象 1 參 資 格 規 定 1 肆 員 額 及 專 長 類 別 2 伍 報 名 及 選 填 志 願 日 期 方 式 3 陸 選 填 官 科 (

民 國 105 年 大 專 程 度 義 務 役 預 備 軍 官 預 備 士 官 考 選 簡 章 目 錄 壹 考 選 依 據 1 貳 考 ( 甄 ) 選 對 象 1 參 資 格 規 定 1 肆 員 額 及 專 長 類 別 2 伍 報 名 及 選 填 志 願 日 期 方 式 3 陸 選 填 官 科 ( 民 國 105 年 大 專 程 度 義 務 役 預 備 軍 官 預 備 士 官 考 選 期 程 表 日 期 執 行 項 目 3 月 1 日 (8 時 起 ) 至 3 月 21 日 (17 時 止 ) 網 路 報 名 並 完 成 列 印 3 月 22 日 (17 時 止 ) 各 校 承 辦 人 員 收 報 名 件 截 止 3 月 30 日 4 月 11 日 5 月 18 日 5 月 27 日 (17

More information

电子驿站技术文档

电子驿站技术文档 AT89C2051 中文资料 电子驿站 http:// www.ourmpu.com E-mail: support@ourmpu.com AT89C2051 中文资料 AT89C2051 是美国 ATMEL 公司生产的低电压, 高性能 CMOS 8 位单片机, 片内含 2k bytes 的可反复擦写的只读程序存储器 (PEROM) 和 128 bytes 的随机存取数据存储器 (RAM), 器件采用

More information

untitled

untitled 8086/8088 CIP /. 2004.8 ISBN 7-03-014239-X.... TP313 CIP 2004 086019 16 100717 http://www.sciencep.com * 2004 8 2004 8 1 5 500 787 1092 1/16 16 1/2 391 000 1 2 ii 1 2 CAI CAI 3 To the teacher To the student

More information

2

2 1 2 Y J Q CLK CK K Q 3 4 5 6 7 峯峯 8 9 敍 10 11 12 13 VCC D1 R1 R2 To MCU RESET C1 14 15 EA 16 17 18 19 A18 A17 A16 A20 A19 ADDRS A2 A1 A0 Q7 Q6 Q5 Q4 Q3 Q2 Q1 Q0 E3 E2 E1 74LS138 MPWR MPRD Vcc WR RD CS

More information

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010)

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010) ,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN 7-5635-1099-0...............TP36 CIP (2005)076733 : ( 10 ) :100876 : (010 )62282185 : (010)62283578 : publish@bupt.edu.cn : : : 787 mm960 mm 1/

More information

2 PIC PIC 1 / CPU PIC MCU PIC RC

2 PIC PIC 1 / CPU PIC MCU PIC RC 2 PIC PIC 1 /... 2-2 2... 2-3 3... 2-4 4... 2-4 5... 2-4 6 CPU... 2-5 7 PIC MCU... 2-6 8 PIC16... 2-6 9... 2-7 10... 2-7 11 RC... 2-7 12... 2-8 13... 2-8 14 NOP... 2-9 15 PMD... 2-9 16... 2-10 17 WDTWDT...

More information

Tel: , Fax: STR-15 STR bps 2400bps 4800bps 9600bps STR : 500mW 2.ISM 433

Tel: , Fax: STR-15 STR bps 2400bps 4800bps 9600bps STR : 500mW 2.ISM 433 Tel:086-21-50807785, 50273226 Fax:086-21-50807785-807 http://www.sendbow.com TEL +86-021-50273226 50807785 13816690692 FAX:+86-021-50807785-807 167 E-mail:mailto:technology@sendbow.com web:http://www.sendbow.com

More information

2 12

2 12 SHENZHEN BRILLIANT CRYSTAL TECHNOLOGIC CO.,LTD. The specification for the following models Graphic LCM serial communication control board CB001 PROPOSED BY APPROVED Design Approved TEL:+86-755-29995238

More information

Microsoft Word - 新建 Microsoft Word 文档.doc

Microsoft Word - 新建 Microsoft Word 文档.doc 变 频 器 知 识 大 全 目 录 基 础 篇 变 频 器 的 基 础 知 识 变 频 器 的 工 作 原 理 变 频 器 控 制 方 式 变 频 器 的 使 用 中 遇 到 的 问 题 和 故 障 防 范 变 频 器 对 周 边 设 备 的 影 响 及 故 障 防 范 变 频 器 技 术 发 展 方 向 预 测 控 制 篇 通 用 变 频 器 中 基 于 DSP 的 数 字 控 制 器 实 现 基

More information

SIK) 者, 需 實 施 1 年 以 上, 經 體 格 檢 查 無 後 遺 症 者 5. 身 體 任 何 部 分 有 刺 青 紋 身 穿 耳 洞 者, 不 得 報 考, 各 項 檢 查 結 果 須 符 合 體 位 區 分 標 準 常 備 役 體 位 二 在 校 軍 訓 成 績 總 平 均 70 分

SIK) 者, 需 實 施 1 年 以 上, 經 體 格 檢 查 無 後 遺 症 者 5. 身 體 任 何 部 分 有 刺 青 紋 身 穿 耳 洞 者, 不 得 報 考, 各 項 檢 查 結 果 須 符 合 體 位 區 分 標 準 常 備 役 體 位 二 在 校 軍 訓 成 績 總 平 均 70 分 民 國 102 年 大 專 程 度 義 務 役 預 備 軍 官 預 備 士 官 考 選 簡 章 壹 依 據 : 依 民 國 102 年 大 專 程 度 義 務 役 預 備 軍 官 預 備 士 官 考 選 計 畫 辦 理 貳 考 ( 甄 ) 選 對 象 : 具 中 華 民 國 國 籍, 尚 未 履 行 兵 役 義 務 之 役 男, 年 齡 在 32 歲 ( 民 國 70 年 1 月 1 日 以 後 出

More information

Microsoft Word - PHILIPSµ¥Æ¬»úµÄÏÖ×´¼°Æä·¢Õ¹Ç÷ÊÆ.doc

Microsoft Word - PHILIPSµ¥Æ¬»úµÄÏÖ×´¼°Æä·¢Õ¹Ç÷ÊÆ.doc PHILIPS 单 片 机 的 现 状 及 其 发 展 趋 势 ( 市 场 信 息 2003 年 5 月 23 日 第 一 版 ) 1. 与 众 不 同 的 特 点 (1) P87C51 P89C51 属 于 增 强 型 的 80C51 系 列 单 片 机 而 AT89S51 W78E51 HY97C51 等 单 片 机 则 属 于 MCS-51 系 列 单 片 机 尽 管 两 者 完 全 兼 容

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

HMI COM1 RS SIEMENSE S7-200 RS485

HMI COM1 RS SIEMENSE S7-200 RS485 目录 第一部分维控人机界面串口引脚定义...2 1 LEVI777T COM1 引脚定义原理图...2 2 LEVI777T COM2 引脚定义原理图...2 3 LEVI908T COM1 引脚定义原理图...2 4 LEVI908T COM2/COM3 引脚定义原理图...3 第二部分通信针头...4 1 通信针头...4 第三部分各 PLC 与 LEVI 通信线接法...5 1 西门子 S7-200

More information