(10) 在满足串行口接收中断标志位 RI SCON.0 =0 的条件下, 置允许接收位 REN(SCO N.4)=1 就会启动接收一帧数据进入输入移位寄存器, 并装载到接收 SBUF 中, 同时使 RI =l 当发读 SBUF 命令时 ( 执行 MOV A,SBUF 指令 ), 即是由接收缓冲器

Size: px
Start display at page:

Download "(10) 在满足串行口接收中断标志位 RI SCON.0 =0 的条件下, 置允许接收位 REN(SCO N.4)=1 就会启动接收一帧数据进入输入移位寄存器, 并装载到接收 SBUF 中, 同时使 RI =l 当发读 SBUF 命令时 ( 执行 MOV A,SBUF 指令 ), 即是由接收缓冲器"

Transcription

1 微机原理与接口技术 -- 历年考研真题复习资料 微型计算机的基本组成由微处理器 存储器 I/O 接口电路和系统总线构成, 输入 / 输出 (I /O) 接口和系统总线组成的, 即中央处理器 CPU( 通常包括运算器和控制器 )+ 存储器 + 输入 / 输出 (I/O) 接口, 单片微处理机就是把 (CPU 存储器和 I/O 接口电路 ) 等部件都集成在电路芯片上, 华中科技大学微机原理与接口技术一 知识点 1. 进制转换 ;KB MB GB TB; 原码 反码, 补码 微机原理与接口技术一 知识点 1. 进制转换 ;KB MB GB TB; 原码 反码 补码 ; 知识点 (1)8051 内部 CPU 也是由运算器 控制器和寄存器 ( 或存储器 ) 三个部分电路组成??? (2)8051 有 4KB ROM 存储器, 地址范围 0000H-OFFFH 无论 8031 还是 8051, 都可以外接外部 ROM, 但片内和片外之和不能超过 64KB (3)8051 的 5 个中断源有内部和外部之分, 外部中断源有 2 个,INT0 和 INT1, 内部中断源有 3 个,T0 T1 和串行口中断 中断按照功能通常分为 : (1) 实现中断响应和中断返回 (2) 实现优先权排队 (3) 实现中断嵌套 ((1) 屏蔽中断 (2) 非屏蔽中断 (3) 软件中断 )???) ( 定时器中断 串口中断和外部中断?) 上电复位时, 同级中断源的优先级别从高至低为外部中断源 0 定时器 0 外部中断 1 定时器 1 和串行口, 若 IP= B, 则优先级别最高者为外部中断 1 最低者为定时器 1 (4) 机器在加电或按钮复位后, 总是到初始状态处执行程序 (5) 机器周期是固定不变的, 由 6 个时钟周期 T 组成, 分为 6 个状态周期 (12 个振荡周期 ) 采用 6MHz 的晶体振荡器, 则每个机器周期为 2us (6)8051 的 111 条指令按照指令字节数和机器周期数可分为 6 类, 分别对应 6 种基本时序 这六类指令是 : 单字节单周期指令 单字节双周期指令 单字节四周期指令 双字节单周期指令 双字节双周期指令和三字节双周期指令 8051 在物理结构上只有四存储空间, 它们分别是 器片内 256B 的数据存储器和 ( 不同的 ) 指令 (7) 指令系统工使用 7 种寻址方式, 它们是 : 立即寻址 ; 直接寻址 ; 寄存器寻址 ; 寄存器间接寻址 ; 变址寻址 ; 相对寻址 ; 位寻址 (8) 满量程为 10V 的 8 位 DAC 芯片的分辨率为 :10/(28-1)=39mv; 一个同样量程的 16 位 DAC 的分辨率高达 :10/(216-1)=153uv D/A 转换器能分辨的最小输出模拟增量, 取决于输入数字量的二进制位数 一个 n 位的 DAC 所能分辨的最小电压增量定义为满量程值的 2-n 倍 例如 : 满量程为 10V 的 8 位 DAC 芯片的分辨率为 10V 2-8=39mV; 一个同样量程的 16 位 DAC 的分辨率高达 10V 2-16=153μV (9) 当 P1 口作为输入口时, 必须先向对应的锁存器写入 1( 高电平 )

2 (10) 在满足串行口接收中断标志位 RI SCON.0 =0 的条件下, 置允许接收位 REN(SCO N.4)=1 就会启动接收一帧数据进入输入移位寄存器, 并装载到接收 SBUF 中, 同时使 RI =l 当发读 SBUF 命令时 ( 执行 MOV A,SBUF 指令 ), 即是由接收缓冲器 (SBUF) 取出信息通过 8051 内部总线送 CPU (11) 当 EA 引脚接高电平时,CPU 只访问片内 EPROM/ROM (12) 在高 128 字节 RAM 区,80H-FFH 地址为特殊功能寄存器 SFR 区,SFR 是用于对片内各功能模块进行管理 控制 监视的控制寄存器和状态寄存器, 是一个具有特殊功能的 RAM 区 (13)MCS-51 为用户提供了四个专用寄存器, 来控制单片机的中断系统, 这四个专用寄存器分别是 ( 定时器控制寄存器 (TCON) 串行口控制寄存器(SCON) 中断允许控制寄存器 (IE) 中断优先级控制寄存器(IP)) (14)ALU 由加法器和其他逻辑电路等组成, 它的功能是 : 完成各种算术运算和逻辑运算 (15)MCS-51 单片机的堆栈, 是在片内 RAM 中开辟的一个专用区, 通常指定内部的数据存储器地址 (07H-7FH) 中的一部分连续存储区作为堆栈 (16) 数据指针 DPTR 是一个 (16) 位的地址寄存器, 作为间接寄存器使用 (17)ALE 端可以驱动 (8) 个 TTL 负载 (18)MCS-51 单片机中, 特殊功能寄存器 IE 为 ( 中断允许控制寄存器 ), 通过向 IE 写入 ( 中断控制字 ), 控制 CPU 对 ( 中断源 ) 的开放和屏蔽 (19) 异步串行通信通常一字符或者字节为单位组成字符帧传送, 字符帧有发送端一帧一帧地传送, 接收端通过传输线一帧一帧地接收, 字符帧由四部分组成, 分别为 ( 起始位 数据位 奇偶校验位 停止位 ) (20) 在满足串行口接收中断标准位 (RI(SCON.0)=0) 的条件下, 置允许接收位 (REN (SCON.4)=1) 就会启动接收一帧数据进入输入移位寄存器, 并装载到接收缓冲器 (SBUF) 中, 同时使 (RI=1) 当发出读 SBUF 命令 (MOV A,SBUF) 时, 即是从接收缓冲器 SBUF 中取出数据, 并通过 8051 内部总线送入 CPU (21) 微型计算机的基本组成由微处理器 存储器 I/O 接口电路和系统总线构成 (22)P0 口为 8 位双向 I/O 端口能带 (8) 个 TTL 门电路,P1 P2 P3 为 (8 位准双向 I/O 端口 ), 负载能力为 (4) 个 TTL 门电路 (23) 位操作指令对内部 RAM 中的位寻址区 (20H~2FH) 和某些可位寻址的 ( 特殊功能寄存器 SFR) 进行位操作 (24)MCS-51 的指令分为 ( 数据传送类指令 算术运算类指令 逻辑运算类指令 程序控制类指令 位 ( 布尔 ) 操作类指令 )5 类 (25) 该信号高电平有效, 在输入端保持 (2 个 ) 机器周期高电平后, 就可以完成复位操作 (26)8098 单片机的 CPU 寄存器都是 16 位的, 而外部数据总线却是 8 位,8098 单片机又称准 16 位机

3 (27)8155 有两个 8 位并行 I/O 和一个 6 位并行 I/O,256 个字节的静态随机存取存储器 RAM, 一个 14 位的定时器 / 计数器以及控制逻辑电路 8155 由 (I/O,RAM, 定时器 / 计数器 ) 三部分构成 二 简答题 1.MCS-96 系列单片机的性能 : (1)16 位 CPU, 具有高速处理能力, 没有累加器, 采用寄存器 寄存器结构, 具有 232 字节的寄存器阵列 ; (2) 具有高效的指令系统, 大大提高了编程效率 ; (3) 4/8 通道的 10 位 A/D 转换器 ; (4) 脉宽调制 PWM 输出装置 ; (5) 全双工的串行口, 并有专门的波特率发生器 ; (6) 高速的 I/O 系统 ; (7)5 个 8 位的 I/O 端口 ; (8) 可编程的 8 个优先级中断源 ; (9)16 位监视定时器 ; (10) 可动态配置的总线 ; (11)ROM/EPROM 的内容可加密 ; (12)2 个 16 位的定时器 / 计数器,4 个 16 位的软件定时器 应用范围 : 应用于自动控制系统 测试系统 智能仪器 外设控制器 家用电器等 2.MCS-51 单片机的内部结构其基本特性如下 : (1)8 位 CPU, 含片内振荡器 ; (2)4KB 的程序存储器 ROM; (3)128B 的数据存储器 RAM; (4)64KB 的外部程序存储器寻址能力 ; (5)64KB 的外部数据存储器寻址能力 ; (6)32 根输入输出 (I/O) 线 ; (7)2 个 16 位定时 / 计数器 ; (8)1 个全双工异步串行口 ; (9)21 个特殊功能寄存器 ; (10)5 个中断源,2 个优先级 ; (11) 具有位寻址功能 单片机的引脚及相关功能 ; 40 个引脚按引脚功能大致可分为 4 个种类 : 电源 时钟 控制和 I/O 引脚 1) 电源 : (1)VCC - 芯片电源, 接 +5V;(2)VSS - 接地端 ; 2) 时钟 :XTAL1 XTAL2 - 晶体振荡电路反相输入端和输出端 3) 控制线 : 控制线共有 4 根 : ⑴ ALE/PROG: 地址锁存允许 / 片内 EPROM 编程脉冲 1 ALE 功能 : 用来锁存 P0 口送出的低 8 位地址

4 2 PROG 功能 : 片内有 EPROM 的芯片, 在 EPROM 编程期间, 此引脚输入编程脉冲 ⑵ PSE N: 外 ROM 读选通信号 ⑶ RST/VPD: 复位 / 备用电源 1 RST(Reset) 功能 : 复位信号输入端 2 VPD 功能 : 在 Vcc 掉电情况下, 接备用电源 ⑷ EA/Vpp: 内外 ROM 选择 / 片内 EPROM 编程电源 1 EA 功能 : 内外 ROM 选择端 2 Vpp 功能 : 片内有 EPROM 的芯片, 在 EPROM 编程期间, 施加编程电源 Vpp 4)I/O 线 :80C51 共有 4 个 8 位并行 I/O 端口 :P0 P1 P2 P3 口, 共 32 个引脚 P3 口还具有第二功能, 用于特殊信号输入输出和控制信号 ( 属控制总线 ) 4. 简述单片机的典型应用 (1) 在智能仪器仪表中的应用 ;(2) 在机电一体化产品中的应用 (2) 在过程控制中的应用 ;(4) 在计算机网络及通信中的应用 ;(5) 在家用电器中的应用 ;(6) 单片机在医用设备领域中的应用 ; 单片机在汽车设备, 工商, 金融, 科研 教育, 国防航空航天等领域都有着十分广泛的用途 5. 简述单片微型计算机的基本组成 以微处理器为核心, 加上由大规模集成电路制作的存储器 (ROM 和 RAM), 输入 / 输出 (I/O) 接口和系统总线组成的 基本组成有三部分, 即中央处理器 CPU ( 通常包括运算器和控制器 )+ 存储器 + 输入 / 输出 (I/O) 接口 若将组成计算机的基本部件集成在一块芯片上, 则俗称为单片微型计算机机 单片微处理机就是把 (CPU 存储器和 I/O 接口电路 ) 等部件都集成在电路芯片上, 并具备一套功能完善的指令系统 80C51 内部结构主要包括中央处理器 CPU ( 算术逻辑部件 ALU 控制器等) 只读存储器 R OM 随机存取存储器 RAM 定时器/ 计数器 并行 I/O 口 P0~P3 串行口 中断系统以及定时控制逻辑电路等 6. 简述 8051 单片机定时器 / 计数器的工作方式和功能 : 8051 单片机内部有 2 个可编程的 16 位定时器 / 计数器 T0 T1;T0 由 TH0 和 TL0 构成,T1 由 TH1 和 TL1 构成 定时器 T0 有四种工作方式 : 方式 0 方式 1 方式 2 和方式 3 定时器 T1 有三种工作方式 : 方式 0 方式 1 方式 2 7. 简述 8251 的主要组成部分 整个 8251A 分为五个组成部分, 接收器 发送器 调制控制 读 / 写控制以及 I/O 缓冲器 其中 I/O 缓冲器使 8251A 与系统数据总线连接起来 ; 接收器的功能是接收在 RxD 脚上的串行数据并按规定把它转换为并行数据, 存放在数据总线缓冲器中 ; 发送器负责在不同的通信方式下按照不同的步骤发送数据 ; 读写控制对 CPU 输出的控制信号进行译码以实现不同的读写功能, 并实现对 MODEM 的控制 7. 简述取指令阶段的具体操作过程 开始执行程序前, 首先应把要执行的程序的第一条指令的地址送给 CPU 中的程序计数器 PC 取指令的任务是 : 根据程序计数器 PC 中的值从程序存储器读出现行指令, 送到指令寄存器

5 PC 送地址经过地址总线 指令,DR~IR~ID 都是通过 DB 数据总线 再发出相应的控制命令, 经控制总线 (1) 将 PC 的内容 00H 送到 AR; (2)PC+1,00H 变成 01H; (3)AR~AB; (4)CPU 发出读指令 ; (5)00H~DB; (6)DB~DR; (7)DR~IR~ID, 经过译码,CPU 识别指令 执行指令根据实际情况也是通过数据总线或地址总线或控制总线 (1) 总体设计 : 明确设计任务和技术指标, 首先要设计出各部分硬件电路原理图, 直到满足技术指标要求为止, 为 9 位异步通信接口,(8) 多并行接口型, 8.MCS-96 系列单片机的内部结构框图, 它主要由寄存器算术逻辑单元 RALU 232B 寄存器阵列以及一些外围子系统构成 外围子系统主要包括以下部分 : 高速输入 / 输出口 (HIS/HS O) 带有采样/ 保持电路的 A/D 转换器 脉宽调制输出器 (PWM) 定时器 监视定时器 中断控制 I/O 口 ( 串行口和 5 个并行口 ) 及时钟脉冲发生器等功能部件 9. 简述 8251 基本性能 (1) 可用于同步和异步传送 ; (2) 在同步方式下, 可以根据方式控制字设定传送 5~8 字符, 也可以用外部或字符同步, 自动插入同步字符 (3) 在异步方式下, 可以根据方式控制字设定传送 5~8 字符, 时钟频率为传输波特率的 1 16 或 64 倍, 能自动为每个数据增加 1 个 1.5 个或 2 个停止位 (4) 数据传输速率 : 同步方式下, 波特率为 0~64K, 异步方式下, 波特率为 0 ~ 19.2K (5) 全双工 双缓冲器的发送器和接收器 (6) 误差检测 : 具有奇偶 溢出和帧错误检测电路 10. 简单设计手动上电复位电路 电平复位时通过 RST 端经电阻与电源 VCC 接通而实现的, 按键手动电平复位电路如下图 11. 简述 MCS-51 复位 中断入口地址 复位操作 : 复位是单片机的初始化操作, 其主要功能是把 PC 初始化为 0000H, 使单片机从 0000H 单元开始执行程序 中断响应就是对中断源提出的中断请求的接受, 是在中断查询之后进行的 当查询到有效的中断请求时, 紧接着就进行中断响应 0003H~002AH 共 40 个单元被均匀地分为 5 段, 每段 8 个单元, 分别作为 5 个中断源的中断地址区 具体划分为 : 8031/ 8051 的中断服务程序入口 12. 简述 8098 的存储器及其使用方法 MCS- 96 的存储器是采用程序存储器和数据存储器合二为一的普林斯顿结构, 直接寻址范围为 64KB; 存储器控制器是用于管理 RALU 与内部 外部存储器 ( 除 00H~0FFH 单元 ) 之

6 间的通信 1FFEH~2011H 留给 P3 P4 和中断矢量, 其余的可以由用户任意配置成 EPROM 或者 ROM 由于 8098 单片机的引脚 AD7~AD0 是复用的, 故应先利用地址锁存允许信号 ALE, 将先出现的信号作为 A7~A0 锁存起来, 然后当 ALE 为低电平时,AD7~AD0 作为数据线从 EPROM 取出所选中单元的内容读入 CPU 13. 简述单片机系统的开发过程单片机应用系统的开发过程应包括 4 部分工作内容, 即总体设计 硬件设计 软件设计 系统仿真调试和脱机运行调试 (1) 总体设计 : 明确设计任务和技术指标 ; 建立被控对象的数学模型 ; 总体方案的设计 (2) 硬件设计 : 在总体方案的指导下, 对构成单片机系统的所有功能部分进行详细具体的电路设计 首先要设计出各部分硬件电路原理图, 然后在面包板上搭出电路进行具体实验 ( 一些简单 成熟的方案可不用单独实验 ) 在硬件设计和调试过程中, 当按总体方案的设想满足不了要求时, 可更改设计方案并进行实验, 直到满足技术指标要求为止 (3) 软件设计 : 程序的结构设计 ; 程序流程图 ; 程序的编制 ; 程序的检查与修改 (4) 系统的仿真调试与脱机运行调试 : 系统调试包括硬件调试和软件调试, 而且两者是密不可分的 我们设计好的硬件电路和软件程序, 只有经过联合调试, 才能验证其正确性 ; 软硬件的配合情况以及是否达到设计任务的要求, 也只有经过调试, 才能发现问题并加以解决 完善, 最终开发成实用产品 14. 简述运算器电路及其功能 运算器电路包括算术逻辑运算部件 ALU 累加器 ACC B 寄存器 暂存寄存器 TMP1 和 TMP2 程序状态字 PSW BCD 码运算调整电路 为了提高数据处理和位操作能力, 片内设有一些专用寄存器, 而且还增强了位处理逻辑电路功能, 在进行位操作时, 进位位 CY 作为位操作累加器, 这个位操作系统构成一台布尔处理机 运算逻辑部件, 可以执行定点或浮点的算术运算操作 移位操作以及逻辑操作, 也可执行地址的运算和转换 15. 简述中断优先级的控制原则 (1) 低优先级中断请求不能打断高优先级请求 ; 高优先级中断请求可以打断低先级中断请求 (2) 如果一个中断请求已被响应, 则同级的其它中断响应将被禁止 (3) 如果同级的多个中断请求同时出现, 则近 CPU 查询次序确定哪个中断请求被响应 16. 单片机串行通信的 4 种工作方式方式 0 方式 0 为同步移位寄存器输入 / 输出方式 该方式并不用于两个 AT89S51 单片机之间的异步串行通信, 而是用于串行口外接移位寄存器, 扩展并行 I/O 口 8 位数据为一帧, 无起始位和停止位, 先发送或接收最低位 波特率固定, 为 fosc/12 方式 1 为双机串行通信方式, 当 SM0 SM1=01 时, 串行口设为方式 1 的双机串行通信 TXD 脚和 RXD 脚分别用于发送和接收数据 方式 1 一帧数据为 10 位,1 个起始位 (0),8 个数据位,1 个停止位 (1), 先发送或接收最低位

7 方式 2 和方式 3, 为 9 位异步通信接口 每帧数据为 11 位,1 位起始位 0,8 位数据位 ( 先低位 ),1 位可程控为 1 或 0 的第 9 位数据和 1 位停止位 SM0 SM1=11 时, 方式 3 为波特率可变的 9 位异步通信方式, 除了波特率外, 方式 3 和方式 2 相同 17. 简述 8155 的内部逻辑结构 8155 的内部逻辑结构如图所示 由图可以看出,8155 由三部分组成, 即 : 存储单元为 256 字节的静态 RAM;3 个可编程的 I/O, 其中 2 个口 (A 口和 B 口 ) 为 8 位口,1 个口 (C 口 ) 为 6 位口 ;1 个 14 位的定时器 / 计数器 由以上可知 8155 有 A 口 B 口 C 口和定时器 / 计数器低 8 位以及定时器 / 计数器高 8 位五个端口, 另外 8155 内部还有一个命令 / 状态寄存器, 所以 8155 内部共有 6 各端口 对它们只需要使用即可实现编址 18. 简述 MCS-51 系列单片机按照功能划分的类型 (1) 基本型 ;(2) 增大内部存储器容量的基本型 ;(3) 低功耗基本型 ;(4) 高级语言型 ;(5) 可编程计数器阵列型 ;(6)A/D 型 ;(7)DMA 型 ;(8) 多并行接口型 19. 简述程序状态字寄存器 PSW 八个标志位的定义 1 进位标志 C(PSW.7);2 半进位标志 AC(PSW.6);3 软件标志位 F0(PSW.5);4 工件寄存器组指针 RS1 RS0(PSW.4 PS W.3);5 溢出标志位 OV(PSW.2);6 奇偶标志 P(PSW.0) 程序状态字是一个 8 位寄存器, 它包括 ( 当前指令执行结果的各种状态和存放控制信息 ) 等程序的状态信息 20. 简述中断允许控制寄存器的地址和控制位的作用 特殊功能寄存器 IE 为中断允许寄存器, 通过向 IE 写入中断控制字, 控制 CPU 对中断源的开放或屏蔽 中断允许寄存器 IE 的地址为 0A8H,8051 系统复位后,IE 中各位均被清 简述 P3 口的特殊功能 P3 22. 简述 MCS-51 系列单片机的内部主要包含的器件 CPU 存储器 可编程 I/O 定时器/ 计数器 串行口等 5 个基本部分组成, 各部分通过内部总线相连, 如下图所示 23. 堆栈指针原指向 32H, 内部 RAM 地址单元 30H 到 32H 的内容分别为 20H 23H 和 01H, (R0)=20H,(A)=3FH,(20H)=80H, 执行如下指令, 表明数据指针 DPTR, 堆栈指针 SP (A) 和 (20H) 的内容 : POP DPH POP DPL POP SP XCH 24. 设堆栈指针 SP 中的内容为 60H, 内部 RAM 中 30H 和 31H 单元的内容分别为 24H 和 10H, 执行下列程序段后, PUSH 30H;(SP)=61H,(61H)=24H PUSH 31H;(SP)=62H,(62H)=10H POP DPL; (DPL)=10H,(SP)=61H POP DPH;(DPH)=24H,(SP)=60H MOV 30H,#00H;(30H) =00H MOV 31H,#0FFH;(31H)=FFH

8 25. 设 A=40H,R1=23H,(40)=05H 执行下列两条指令后, 累加器 A 和 R1 以及内部 RAM 中 40H 单元的内容各为何值? XCH A,R1;(A)=23H,(R1)=40H XCHD A,@R1;(A)= 25H,(40H)=03H 26. 指出下列程序段的每条指令的源操作数是什么寻址方式, 并写出每步运算的结果 ( 相关单元的内容 ) 设程序存储器 (1050H)=5AH. 三 计算编程题 1. 已知内部 RAM 的 BLOCK 单元开始有一无符号数据块, 块长在 LEN 单元, 请编出数据块中各数累加和并存入 SUM 单元的框图和程序 (1) 先判断后处理 (2) 先处理后判断 [ 例 4-3] 从 BLOCK 单元开始存放一组无符号数, 一般称为一个数据块 数据块长度放在 LE N 单元, 编写一个求和程序, 将和存入 SUM 单元, 假设和不超过 8 位二进制数 在置初值时, 将数据块长度置入一个工作寄存器, 将数据块首地址送入另一个工作寄存器, 一般称它为数据块地址指针 每做一次加法之后, 修改地址指针, 以便取出下一个数来相加, 并且使计数器减 1 到计数器减到 0 时, 求和结束, 把和存入 SUM 即可 参考程序 : 各单元的地址是任意的 ORG 1000H LEN DATA 20H SUM DATA 21H BLOCK DATA 22H CLR A ; 清累加器 MOV LEN R2, ; 数据块长度送 R2 MOV # BLOCK R1, ; 数据块首址送 Rl LOOP: A, ; 循环做加法 INC R1 ; 修改地址指针 DJNZ LOOP R2, ; 修改计数器并判断 MOV A SUM, ; 存和 SJ MP $ END 2. 试编制程序求 2 个无符号数据块中的最大值, 数据块的首地址分别为 60H 和 70H, 每个数据块的第一个字节都存放数据块的长度, 结果存入 5FH 单元 [ 例 4-6] 内部 RAM20H 单元开始存放 8 个无符号 8 位二进制数, 找出其中的最大数 极值查找操作的主要内容是进行数值大小的比较 假定在比较过程中, 以 A 存放大数, 与之逐个比较的另一个数放在 2AH 单元中 比较结束后, 把查找到的最大数送 2BH 单元中 程序流程如图所示 参考程序如下 : MOV # 20H R0, ; 数据区首地址 MOV # 08H R7, ; 数据区长度 A, ; 读第一个数 DEC R7 INC R0 LOOP: 2AH, ; 读下一个数 CJNE A,2AH, CHK ; 数值比较 JNC LOOP1 CHK: ;A 值大转移 A, ; 大数送 A LOOP LOOP1: DJNZ R7, ; 继续 MOV A 2BH, ; 极值送 2BH 单元 AJMP HERE HERE: ; 停止

9 3. 将内部的数据存储器某一单元中的一个字节的 16 进制数转换成 2 位 ASCII 码, 结果存在内部数据存储器的两个连续单元中 ( 注,30H~30H 为 0~9,41H~46H 为 A~F) [ 例 4-5] 在内部 RAM 的 hex 单元中存有 2 位十六进制数, 试将其转换为 ASCII 码, 并存放于 asc 和 asc+1 两个单元中 主程序 (MAIN): MOV # 3FH SP, PUSH hex MAIN: ; 十六进制数进栈 ACALL HASC ; 调用转换子程序 ; 第一位转换结果送 asc 单 POP asc 元 MOV hex A, ; 再取原十六进制数 SWAP A ; 高低半字节交换 PUSH ACC ; 交换后的十六进制数进栈 ACALL HASC ; 第二位转换结果送 asc+l POP asc+l 单元子程序 (HASC): DEC SP HASC: ; 跨过断点保护内容 DEC SP POP ACC ; 弹出转换数据 ANL # 0FH A, ; 屏蔽高位 ADD # 7 A, ; 修改变址寄存器内容 A, ; 查表 PUSH ACC ; 查表结果进栈 INC SP ; 修改堆栈指针回到断点保护内容 INC SP RET SP ASCTAB: DB 0,1,2,3,4,5,6,7 ;ASCII 码表 DB 8,9,A,B,C,D,E,F 对外部 ROM 和 RAM 的连接如下图,8031 的地址采用全译码方式, 片选选 P2.7 用于控制二 - 四译码器工作, 片选线 P2.6 和 P2.5 参加译码, 且无悬空的片选线, 因此存储器所有的地址都是唯一的, 地址无重叠, 地址译码器 Y0 Y1 Y2 的输出端分别和 存储器相连, 请表明存储芯片 的基本地址范围 1#2764: 0000H~1FFFH 8KB;2#6264 :2000H~3FFFH 8KB;3#6264:4000H~5FFFH 8KB 5. 用 8255 芯片扩展单片机的 I/O 口,8255 的 A 口用作输入,A 口的每一位接一个开关 用 B 口作为输出, 输出的每一位接一个发光二极管 现要求某个开关接 1 时, 相应位上的发光二极管就亮 ( 输出低电平 0) 试编写相应的程序 设 8255 的 A 口地址为 70H,B 口地址为 71H,C 口地址为 72H, 控制口地址为 73H 8255 与 8031 的连接按常规进行 ( 根据给定的地址 ) 根据题意, 只需采用无条件传送方式 初始化时, 规定 8255 A 口为输入方式,B 口为输出方式, 故工作方式控制字为

10 如图有 6 个 LED 采用共阴极连接,79H-7EH 分别存放 6 位显示器数据 (0-5),8255 的 A 口接 LED 显示器位控,8255 的 B 口接 LED 显示器端控 为了存放显示的数字或字符, 通常在内部 RAM 中设置显示缓冲区, 其单元个数与 LED 显示器位数相同 假定本例中 6 个显示器的缓冲单元是 79H~7EH 假定位控口地址 0103H, 段控口地址 010lH 以 R0 存放当前位控值,DL 为延时子程序 程序清单 : MOV # 79H DIR: R0, ; 建立显示缓冲区首址 MOV # 0lH R3, ; 从右数第一位显示器开始 MOV R3 A, ; 位控码初值 MOV # 0103H LDO: DPTR, ; 位控口地址 MOVX ; 输出位控码 MOV # 010lH DPTR, ; 得段控口地址 A, ; 取出显示数据 ADD # 0DH DIR0: A, A, ; 查表取字形代码 MOVX A ; 输出段控码 ACALL DL ; 延时, 维持点亮 INC R0 ; 转向下一缓冲单元 MOV R3 A, JB LDl ACC.5, ; 判是否到最高位, 到则返回 RL A ; 不到, 向显示器高位移位 MOV A R3, ; 位控码送 R3 保存 AJMP LD0 ; 继续扫描 RET LD1: DB C0H DSEG: ; 字形代码表 DB F9H DB A4H 6 如图 8031 和 8253 的一种连接方式 CS 与 P2.7 相连,8031 选用 12MHz 晶振,ALE,WR 和 RD 通过图中的逻辑组合后输出频率为 2MHz 的脉冲信号, 作为 8253 计数器 2 时钟输入信号, 把计数器 2 设置成方式 3 工作状态, 编写输出 40kHz 方波的初始化程序 ( 教材 P193) 解 : 计数初值为 2MHz/40kHz=50, 则实现 8253 的 OUT2 输出 40kHz 方波信号的程序如下 : 7. 用 8253 设计定时程序, 设输入频率为 2MHz, 要求能产生 3 分 6 分和 12 分的定时, 定时到产生中断,8253 的连接示意图如下, 试编写相应程序段 例如要求的定时时间分别为 5μs l0μs 和 20μs 并设计一个 1s 延时子程序 DELAY, 则不同定时的调用情况表示如下 :

11 MOV # 05H R0, ;5s 延时 DELAY LOOP1: LCALL DJNZ LOOP1 R0,? MOV # 0AH R0, ;10s 延时 DELAY LOOP2: LCALL DJNZ LOOP2 R0,? MOV # 14H R0, ;20s 延时 DELAY LOOP3: LCALL DJNZ LOOP3 R0,? 8. 一个数据采集系统, 其中 A/D 转换为 8 位, 要求采样 5 次, 其数据放在 3000H 为首地址的内存单元中, 试设计一个排序程序, 将采样值按从小到大顺序排列 FILTER: MOV A,3000H ; 新的采样数据在 3000H 中 ; 以 R0 间址将新数据排入队尾, 同时冲掉原队首数据 INC R0 ; 修改队尾指针 MOV A,R0 ANL A,#4FH ; 对指针作循环处理 MOV R0,A MOV Rl,#40H ; 设置数据地址指针 MOV R2,#00H ; 清累加和寄存器 MOV R3,#00H 9. 在以 DATA 为首地址的存储区中, 有一长度为 100 字节的无序数据表, 设要查找的关键字在 KEY 单元, 试编写程序, 要求找到关键字, 则它所在的内存单元地址存在 R2 R3 中, 若未找到, 则将 R2 R3 置零, 根据上述要求编制框图和程序 出于待查找的是无序表格, 所以只能按单元逐个搜索, 根据题意可画出程序流程图, 如图所示 ORG 8000H START:MOV CHE,KEY DONE:RET MOV R4,#100 A1: POP A MOV A,#0 INC A MOV DPTR,#TABLE LOOP:PUSH A DJNZ R4, LOOP MOVC A,@A+DPTR MOV R2, #0 CJNE A, CHE, A1 MOV R3, #0 MOV R2, DPH AJMP DONE MOV R3, DPL TABLE:DB xx

12 CHE EQU 20H KEY EQU 21H 10. 用 DAC0832 设计一个锯齿波电压发生器, 在一些控制应用中, 需要有一个线性增长的电压 ( 锯齿波 ) 来控制检测过程 移动记录笔或移动电子束等 对此可通过在 DAC0832 的输出端接运算放大器, 由运算放大器产生锯齿波来实现 ( 可用其它的芯片来实现 ) 设计电路如图 试绘制设计电路并编制相应程序 用 DAC0832 产生锯齿波电路 图中的 DAC0832 工作于单缓冲方式, 其中输入寄存器受控, 而 DAC 寄存器直通 假 9. 现有 2K*8 位存储器芯片, 需扩展 8K*8 位存储器结构采用译码法进行扩展, 扩展 8KB 的存储结构需要 2KB 的存储器芯片 4 块,2K 存储器所用的地址为 A0~A10 共 11 根地址线 试画出电路图, 并标出地址范围 80C51 存储器 AA 与 0~7 相连 AA1 相连与 8~ 0DD 与 0~7 相连译码输出与存储器的片选信号连接 1 的片选信号相连与存储器 2 的片选信号相连与存储器 3 的片选信号相连与存储器 4 的片选信号相连与存储器 P0 口经锁存器锁存形成 AA0~7 P2. P2. P P0 口 P2. P P2.3 P2.4 作为二 - 四译码器的译码地址, 译码输出作为扩展 4 个存储器芯片的片选信号,P2.5 P2.6 P2.7 悬空 扩展连线图如图所示 74LS13 P2.P2.P2.P0 输出 P2.P2. 这样得到四个芯片的地址分配如表所示 译码方式地址分配表 9. 用 8253 监视一个生产流水线示意图, 每通过 50 个工件扬声器响 5 秒, 频率为 2000HZ, 根据分析编制监视程序 例 : 用 8253 监视一个生产流水线, 每通过 100 个工件 蜂鸣器响 6s 频率为 1000HZ 1 硬件连接 : 工件从光源与光敏电阻之间通过时, 在晶体管的发射极上会产生一个脉冲, 此脉冲作为 8253 计数通道 0 的计数脉冲, 当通道 0 计数满 100 后, 由 OUT0 输出负脉冲, 经反相后作为 8259A 的一个中断请求信号, 在中断服务程序中, 启动 8253 计数通道 1 工作, 由 OUT1 连续输出 1000HZ 的方波, 持续 6s 后停止输出 2 控制字设置 : 通道 0 计数器工作于方式 2, 采用 BCD 计数, 因计数初值为 100, 采用 RL1RL0=10( 读 / 写计数器的高 8

13 位 ), 则方式控制字为 B 通道 1 计数器工作于方式 3,CLK1 接 2MHZ 时钟, 要求产生 1000HZ 的方波, 则计数初值应为 =2000, 采用 RL1RL0=10( 只读 / 写高 8 位 ),BCD 计数, 则方式控制字为 B 3 程序编制 : 假设 8253 通道 0 的地址为 40H, 通道 1 的地址为 42H, 控制口地址为 46H 8255A 的 A 口地址为 80H, 工作于方式 0 输出 则主程序为 : ; 通道 0 初始化 MOV AL,25H ; 计数初值高 8 位, 低 8 位自动清零 OUT 46H,AL MOV AL,01H OUT 40H,AL ; 开中断 STI ; 等待中断 HLT LOP: JMP LOP 中断服务程序为 : ; 通道 1 的 GATE1 置 1, 启动计数 MOV AL,01H OUT 80H,AL ; 通道 1 初始化 MOV AL,67H OUT 46H,AL ; 计数初值高 8 位, 低 8 位自动清零 MOV AL,20H OUT 42H,AL ; 延时 6s CALL DL 6s ; 通道 1 的 GATE1 置 0, 停止计数 MOV AL,00H OUT 80H,AL ; 向 8259A 发中断结束命令 IRET 单片机 MCU 计算机原理笔试题目 1 简单描述一个单片机系统的主要组成模块, 并说明各模块之间的数据流流向和控制流流向简述单片机 应用系统的设计原则 ( 仕兰微面试题目 ) 2 画出 8031 与 2716(2K*8ROM) 的连线图, 要求采用三 - 八译码器,8031 的 P2.5,P2.4 和 P2.3 参加 译码, 基本地址范围为 3000H-3FFFH 该 2716 有没有重叠地址? 根据是什么? 若有, 则写出每片 2716 的 重叠地址范围 ( 仕兰微面试题目 ) 3 用 8051 设计一个带一个 8*16 键盘加驱动八个数码管 ( 共阳 ) 的原理图 ( 仕兰微面试题目 )

14 4 PCI 总线的含义是什么?PCI 总线的主要特点是什么? ( 仕兰微面试题目 ) 5 中断的概念? 简述中断的过程 ( 仕兰微面试题目 ) 6 如单片机中断几个 / 类型, 编中断程序注意什么问题 ;( 未知 ) 7 要用一个开环脉冲调速系统来控制直流电动机的转速, 程序由 8051 完成简单原理如下 : 由 P3.4 输出脉冲的占空比来控制转速, 占空比越大, 转速越快 ; 而占空比由 K7-K0 八个开关来设置, 直接与 P1 口相连 ( 开关拨到下方时为 "0", 拨到上方时为 "1", 组成一个八位二进制数 N), 要求占空比为 N/256 ( 仕兰微面试题目 ) 下面程序用计数法来实现这一功能, 请将空余部分添完整 MOV P1,#0FFH LOOP1 :MOV R4,#0FFH MOV R3,#00H LOOP2 :MOV A,P SUBB A,R3 JNZ SKP SKP1:MOV C,70H MOV P3.4,C ACALL DELAY : 此延时子程序略

15 AJMP LOOP1 8 单片机上电后没有运转, 首先要检查什么?( 东信笔试题 ) 9 What is PC Chipset? ( 扬智电子笔试 ) 芯片组 (Chipset) 是主板的核心组成部分, 按照在主板上的排列位置的不同, 通常分为北桥芯片和南桥芯片北桥芯片提供对 CPU 的类型和主频 内存的类型和最大容量 ISA/PCI/AGP 插槽 ECC 纠错等支持南桥芯片则提供对 KBC( 键盘控制器 ) RTC( 实时时钟控制器 ) USB( 通用串行总线 ) Ultra DMA/33(66)EIDE 数据传输方式和 ACPI( 高级能源管理 ) 等的支持其中北桥芯片起着主导性的作用, 也称为主桥 (Host Bridge) 除了最通用的南北桥结构外, 目前芯片组正向更高级的加速集线架构发展,Intel 的 8xx 系列芯片组就 是这类芯片组的代表, 它将一些子系统如 IDE 接口 音效 MODEM 和 USB 直接接入主芯片, 能够提供 比 PCI 总线宽一倍的带宽, 达到了 266MB/s 10 如果简历上还说做过 cpu 之类, 就会问到诸如 cpu 如何工作, 流水线之类的问题 ( 未知 ) 11 计算机的基本组成部分及其各自的作用 ( 东信笔试题 ) 12 请画出微机接口电路中, 典型的输入设备与微机接口逻辑示意图 ( 数据接口 控制接口 所存器 / 缓冲器 ) ( 汉王笔试 ) 13 cache 的主要部分什么的 ( 威盛 VIA 上海笔试试题 ) 14 同步异步传输的差异 ( 未知 ) 15 串行通信与同步通信异同, 特点, 比较 ( 华为面试题 ) 16 RS232c 高电平脉冲对应的 TTL 逻辑是?( 负逻辑?) ( 华为面试题 )

16 1 微机原理及应用习题集答案 一. 填空题 ( 每小题 4 分 )1. JZ rel 的操作码地址为 1000H,rel=20H, 它的转移目的 地址为 1022H 单片机共有 18 个 SFR 寄存器 其地址范围是 80H ~FFH 单片机的片内数据存储器可分为工作寄存器区 位存储器区 数据缓冲区等 3 个 区间, 各区间的地址范围分别是 00H~1FH;20H~2FH;30H~7FH 4. SP 叫堆栈指针寄存器, 它的作用是用来存放栈顶地址 PC 叫做程序指针寄存器, 其功能是存放正在执行的指令的下一条地址 5.PSW 叫程序状态寄存器, 其功能是存放当前程序的运行状态信息 ;DPTR 叫数据指针 寄存器, 其功能是存放当前 CPU 要访问的外部 RAM 单元地址 单片机复位后 P0~P3 口锁存器处于全为 FFH 状态, 单片机在作扩展时, 这 4 个 口分别作低 8 位地址 / 数据口 用户口 高 8 位地址口 控制口使用 单片机内部硬件主要由 CPU 存储器 定时器 中断系统 I/O 口等 5 大部分组 成 单片机的位存储器共有 128 位 位存储器在片内 20H ~2FH 区间 9. ALE 叫地址锁存允许信号端子, 当其输出高电平时,P0 送出低 8 位地址 ; 当其输出 低电平时,CPU 将从 P0 口读入或写出 8 位数据 10. 在程序的执行中, 当前程序使用 哪组 R 寄存器, 是由软件对 PSW 寄存器的 D4 D3 位置 1 或清 0 来决定 单片机的晶振频率为 12MHZ, 定时器工作在方式 1, 为了使定时器产生定时 1mS 溢出中断, 则应赋初值是 FFFFH-03E8H = FC17H 单片机有 4 组工作寄存器, 它们的地址范围是 00H ~1FH 程序当前使用哪一组工作寄存器由

17 PSW 寄存器 D4 D3 位置 1 或清 0 决定 13. 与 51 单片机 CPU 有关寄存器是 A B PSW DPTR SP 等 5 个寄存器 单片机的地址总线由 P2 口和 P0 口端子担任 ; 数据总线由 P0 口端子担任 ; 控制总线由 P3 口端子及 ALE EA PSEN RST 端子担任 的存储器结构是外部 64KBROM, 外部 64KBRAM, 内部 128BRAM 单片机的引脚 PSEN 叫读外部程序存储器允许端子 在访问外部程序存储器情 况下该引脚输出低电平, 在其他情况下该引脚输出高电平 17. 在变址寻址方式中, 以 A 作变址寄存器, 以 PC 或 DPTR 作基址寄存器 18. ALE 叫地址锁存允许信号端子, 当其输出高电平时,CPU 将从 P0 口送出低 8 位地 址 ; 从 P2 口送出高 8 位地址 ; 当其输出低电平时,CPU 将从 P0 口读入或写出 8 位数 据 单片机的位存储器共有 128 位 位存储器在片内 20H ~2FH 区间 单片机的晶振频率为 12MHZ, 定时器工作在方式 1, 为了使定时器产生定时 1mS 溢出中断, 则应给 TL TH 寄存器赋初值, 其初值是 FFFFH-03E8H = FC17H 单片机片内 RAM 的工作寄存器区共有 32 个单元, 可分为 4 个寄存器组, 以字 母 R 作为该寄存器名 其地址范围是 00H ~1FH 22. CPU 响应中断后,PC 寄存器的内容应是某中断源的矢量地址, 或者是当前要执行 的中断服务程序的首地址 单片机的中断系统由 5 个中断源 TCON SCON IE IP 和查询电路组成 单片机内部由 CPU 存储器 I/O 口 定时器 中断系统等部分组成 单片机的晶振频率为 6MHZ 其机器周期是 2μS ALE 信号的周期是 1μS 26. PC 寄存器又叫做程序计数器或叫做程序指针, 其功能是存放正在执行指令的下一条指令地址

18 27.51 单片机片外 ROM 的地址范围是 0000H~FFFFH 或 1000H~FFFFH, 片外 RAM 的地址范围是 0000H~FFFFH 28.PSW 叫程序状态寄存器, 其功能是反映当前程序的运行状态 ;DPTR 叫数据指针寄 存器, 其功能是存放 CPU 要访问的外部 RAM 单元地址 29. 当 EA 为高平时,CPU 先访问片内 4KB 程序存储器, 接着再访问片外 60 KB 程序存储器 ; 当其接低电平时 CPU 只访问片外 64 KB 程序存储器 单片机作扩展时,P1 口叫用户 I/O 口 CPU 对 P1 口既可以字节操作, 又可以 位操作 31. 当 T0,T1 作定时器使用时, 是对机内机器周期脉冲计数 ; 当 T0,T1 作计数器使用 时, 是对外部事件脉冲计数 T0,T1 工作在定时器状态还是工作在计数器状态应由 TMOD 寄存器中的 D6 位置 1 或清 0 来决定 32. CPU 访问内部 RAM 数据缓冲区可采用直接 寄存器 寄存器间接寻址方式 访问 R0 R7 可采用寄存器寻址方式 访问 SFR 寄存器采用直接寻址方式 单片机的中断源有 INT0 T0 INT1 T1 串行口等几个 中断源的自然优先 级顺序是 INT0 T0 INT1 T1 串行口 其中断标志位在 TCON 和 SCON 寄存器中 二. 判断与改错 1. 指出下列指令中, 哪些是正确的哪些是错误的指令, 并将错误的指令改正过来 (12 分 ( )5MOV R7,@R1 ( )2MOV 20H,@R0 ( ) 6MOV R1,#0100H ( )3CPL R4 ( )7SETB R7.0 ( )4MOV 20H,21H ( )8ORL A,R5 ( ) 2. 下面的说法是否正确? 若有错, 则改正过来 ( 每小题 5 分, 共 20 分 )1 当 8051 的引脚 EA=1 时,CPU 正在访问外部 64KB 的程序存储器 答 : 说法不正确 应是 : CPU 正在访问外部 60KB 的程序存储器 2MCS-51 单片机外扩 I/O 接口与外部 RAM 是统一编址 64KB 的 答 : 说法正确 3 当 CPU 要访问可编程接口 8155 或 8255 时, 必须先对 8155 或 8255 初始化 答 : 说法正确 4 指令 INC A 执行后, 将影响 PSW 寄存器的内容 答 : 说法不正确 应是 : 将不会影响 PSW 寄存器的内容 3. 判断以下说法的正误 并将错误的改正过来 ( 每题 3 分, 共 12 分 )1 访问 8155 的指令可以是读指令, 也可以是写指令 答 : 此说法正确 2 访问 ADC0809 的读指令

19 是获取模拟量信息, 写指令是将数据写入 ADC0809 答 : 此说法错误 3 访问 DAC0832 的指令可以是读指令, 也可以是写指令 答 : 此说法错误 单片机有 18 个 SFR 寄存器占有 18 个地址 答 : 此说法错误 4. 判断以下指令的正误 并将错误的改正过来 ( 每题 2 分, 共 12 分 ( )2MOV F0H,C ( )3MOVX A,2000H ( )4MOV R1,R7 ( )5XRL P1,#31H ( )6ANL 90H,A ( ) 5. 指出下列指令中, 哪些是正确的哪些是错误的, 并将错误指令改正过来 1MOVX A,1000H ( )4INC R7( )2MOV 20H,30H ( ( )3ADD A,DPL ( )6MOV RN,P1( ) 6. 执行一条指令的快 慢取决于这条指令的长度 这句话是否正确? 若有错, 则改正 过来 (5 分 ) 答 : 这句话是错误的 7. 寄存器 A 只能进行字节操作 这句话是否正确? 若有错, 则改正过来 (5 分 ) 答 : 这句话是错误的 三. 答案选择 ( 每小题 4 分, 共 20 分 ) 1. 下面哪一个部件不属于 CPU 的部件答案 :(C) (A)PC (B)ALU (C)IP (D)PSW 2. 要把 P0 口高 4 位变为 0, 低 4 位不变, 应使用下列哪条指令? 答案 :(D) (A)ORL P0#0FH (B)ORL P0,#0F0H (C)ANL P0,#0F0H (D)ANL P0, #0FH 3. 计算机在使用中断方式与外界交换信息时, 保护现场的数据应该由下面哪 一条来完成? 答案 :(C)(A) 由 CPU 自动完成 (B) 在中断响应中完成 (C) 应由中 断服务程序完成 (D) 在主程序中完成 4. 某种存储器芯片的容量是 16KB/ 片, 那么它的地址线根数是 : 答案 :(D) (A)11 根 (B)12 根 (C)13 根 (D)14 根 (E)15 根

20 5. 可以为 CPU 访问程序存储器提供地址的寄存器有 : 答案 :(C) (A) 只有程序计数器 PC (B) 只有 PC 和累加器 A (C) 有 PC A 和数据指针 DPTR (D)PC A DPTR 和堆栈指针 SP 6. 执行下面哪条指令要产生 WR 信号? 答 :(D) (A)MOVX A,@DPTR (B)MOVC A,@A+PC (C)MOVC A,@A+DPTR (D) 7. 下面哪些指令执行后, 能够对 PSW 寄存器产生影响? 答 :(B)(C)(D) (A)DEC 3FH (B)ADD A,3FH(C)SUBB A,R0(D)CJNE A,3FH,rel 8. 要访问 MCS-51 单片机的特殊功能寄存器应使用的寻址方式是答 :(C) (A) 寄存器间接寻址 (B) 变址寻址 (C) 直接寻址 (D) 相对寻址 9. MCS-51 单片机 P1 口工作在输入方式或输出方式, 下面说法正确的是 :(A) (A) 由编程决定 (B) 由输入 / 输出设备决定 (C) 由读引脚或写引脚指令决定 (D) 由 CPU 读写命令决定 四. 问答 ( 共 18 分 ) 1. 什么是总线?51 单片机的外部三总线是怎样形成的?(6 分 ) 2. 执行指令 LJMP addr16 的操作与执行指令 LCALL addr16 的操作有何异 同?(6 分 ) 3. 若 (A)= 0, 指令 JZ rel 的地址是 1000H, 执行该指令后 (PC)=? 4.51 单片机中的运算器由哪些主要硬件组成?(6 分 ) 5. 执行指令 RET 和 RETI 的操作有何异 同?(6 分 )5 6.CPU 响应中断后, 将要作哪些操作?(6 分 ) 7. 为什么 MCS 51 单片机的程序存储器和数据存储器的地址不会发生总线冲突?(6 分 ) 8.MCS 51 单片机的堆栈有什么用途? 它的物理空间位置应在哪里?

21 9. 属于 MCS 51 单片机 CPU 的 SFR 寄存器有哪几个? 它们各具有哪些功能? 10.MCS 51 单片机有哪几个并行 I/O 口? 各有哪些功能? 它们的 I/O 状态由什么来决定? 11.CPU 访问片外 RAM 时, 需要通过哪些引脚发出哪些信息? 12.CPU 访问并行 I/O 端口的 读 修改 写 操作的指令是输出指令还是输入指令? 试列举出三种这样的指令 13. 执行一条相对转移指令后, PC 的内容将作何改变? 14. 在哪些情况下单片机 CPU 在作数据运算操作时会产生溢出? 15. 什么叫立即数? 什么叫立即寻址? 16.MCS 51 单片机为什么只能访问外部 64KB 存储器? 17. 寄存器间接寻址指令中的 R 寄存器一般作何使用? 单片机的 P0~P3 四个 I/O 口作输入口还是作输出口由哪个来决定?CPU 读 I/O 端口引脚时, 为什么先要给口锁存器写入 1?(8 分 ) 19.CLR D0H 这条指令是正确指令还是错误指令? 为什么?(8 分 ) 20. 可编程 I/O 接口 8155 芯片内部主要由哪几部分组成? 与 51 单片机地址总线连接的端子有哪些?(8 分 ) 21. 若 (SP)= 60H,(A)= B8H,(B)= 30H, 问 A B 进栈后,B8H 30H 两个数分别存入到哪个单元?(8 分 ) 五. 编程 (10 分 ) 1. 将累加器 A 中的高 4 位数与 B 中的低 4 位数拼成一个新的 8 位数存放到片内 30H 单元 要求 30H 单元低 4 位存放 A 中的高 4 位数,30H 单元高 4 位存放 B 中的低 4 位数

22 2. 编一个求 50H 单元中有符号数的补码子程序 3. 已知 60H 61H 中存放了两个数 编写一个比较这两个数的大小, 大数放到 61H 单 元, 小数放到 60H 单元的程序 4. 求片内 RAM 30H 单元 8 位数据中含 1 的个数, 将结果存入 31H 单元中去 5. 由 R1 R2 组成一个 16 位单元, 将其中存放的 16 位二进制数加 1 后送回原单元 ( 高位在 R1 中 ) 6. 将 20H~2FH 单元中的奇数存放到首地址为 40H 的单元中 ; 偶数存放到首地址为 30H 的单元中 7. 将外部 RAM 中 6001H~6010H 单元中能被 3 整除的数存放到片内 RAM 首地址 30H 开始的单元内 考研模拟题 一 填空 单片机的内部硬件结构包括了 : 和 以及并行 i/o 口 串行口 中断控制系统 时钟电路 位处理器等部件, 这些部件通过 相连接 2 mcs-51 的堆栈只可设置在, 堆栈寄存器 sp 是位寄存器 3 mcs-51 单片机的 p0~p4 口均是 i/o 口, 其中的 p0 口和 p2 口除了可以进行数据的输入 输出外, 通常还用来构建系统的 和, 在 p0~p4 口中, 为真正的双相口, 为准双向口 ; 口具有第二引脚功能 4 定时器/ 计数器的工作方式 3 是指得将 拆成两个独立的 8 位计数器 而另一个定时器 / 计数器此时通常只可作为 使用 5 假定(sp)=40h,(39h)=30h,(40h)=60h. 执行下列指令 : pop dph pop dpl 后,dptr 的内容为,sp 的内容是. 二 选择

23 1 mcs-96 单片机的片内 a/d 转换器是 () 的转换器件 A 4 通道 8 位 B 8 通道 8 位 C 8 通道 10 位 D 8 通道 16 位 2 mcs-51 单片机的堆栈区应建立在 () A 片内数据存储区的低 128 字节单元 B 片内数据存储区 C 片内数据存储区的高 128 字节单元 D 程序存储区 3 mcs-51 单片机定时器工作方式 0 是指的 () 工作方式 A 8 位 B 8 位自动重装 C 13 位 D 16 位 4. 当需要从 mcs-51 单片机程序存储器取数据时, 采用的指令为 () A mov a,@r1 B movc a,@a+dptr C movx a,@r0 D movx a,@dptr 三 简答题 1 想将中断服务程序放置在程序存储区的任意区域, 在程序中应该作何种设置? 请举例加以说明 2 51 系列单片机具有几个中断源, 分别是如何定义的? 其中哪些中断源可以被定义为高优先级中断, 如何定义? 四 参数计算已知一 mcs51 单片机系统外接晶体振荡器频率为 mhz, 计算 : 单片机系统的拍节 p 状态 s 机器周期所对应的时间是多少? 指令周期中的单字节双周期指令的执行时间是多少? 五 改错请判断下列各条指令的书写格式是否有错, 如有错说明原因 : 1 mul r0r1 2 mov a,@r7 3 mov a,#3000h 4 5 ljmp #1000h 六 使用简单指令序列完成以下操作 1 请将片外 ram20h-25h 单元清零 2 请将 rom3000 单元内容送 r7 七 编程题 (20) 已知 mcs-51 单片机系统片内 ram20h 单元存放乐一个 8 位无符号数 7ah, 片外扩展 ram 的 8000h 存放了一个 8 位无符号数 86h, 试编程完成以上两个单元中的无符号数相加, 并将和值送往片外 ram 的 01h 00h 单元中, 同时将所编写程序运行完成后的数据和状态添入下表中给出的 psw 的有关位以及寄存器 a dptr 和 ram 单元中 cy a dptr 片外 01h 片外 00h 片外 8000h

24

DPJJX1.DOC

DPJJX1.DOC 8051 111 2K 1 2 3 ' ' 1 CPU RAM ROM / A/D D/A PC CPU 40 68 10 20 8 51 PIII 8051 2 MCS51 8051 8031 89C51 8051 8031 89C51? MCS51 INTEL INTEL 8031 8051 8751 8032 8052 8752 8051 8051 8051 MCS51 8031 8031

More information

CH559指令周期.doc

CH559指令周期.doc CH55X 汇编指令周期表 CH55X 汇编指令概述 : 型号包含 : a. 指令单周期型号 :CH557 CH558 CH559; b. 指令 4 周期型号 :CH551 CH552 CH553 CH554; c. 非跳转指令的指令周期数与指令字节数相同 ; d. 跳转指令含 MOVC/RET/CALL 通常比字节数多若干个周期 ; e.movc 指令多 4 或 5 个周期 ( 下条指令地址为奇数时多

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

图 内部结构图 8251 的外部引脚如图 所示, 共 28 个引脚, 每个引脚信号的输入输出方式如图中的箭 头方向所示

图 内部结构图 8251 的外部引脚如图 所示, 共 28 个引脚, 每个引脚信号的输入输出方式如图中的箭 头方向所示 实验题目 : 串行接口芯片 8251 实验目的 : 1. 掌握 8251 的工作方式及应用 2. 了解有关串口通讯的知识 串行接口芯片 8251 3. 掌握使用 8251 实现双机通讯的软件编程和电路连接 实验设备 : IA-32 架构的微机系统及应用教学平台两套 实验原理 : 1. 8251 的基本性能 性能 : 8251 是可编程的串行通信接口, 可以管理信号变化范围很大的串行数据通信 有下列基本

More information

目 录

目 录 1 Quick51...1 1.1 SmartSOPC Quick51...1 1.2 Quick51...1 1.3 Quick51...2 2 Keil C51 Quick51...4 2.1 Keil C51...4 2.2 Keil C51...4 2.3 1 Keil C51...4 2.4 Flash Magic...9 2.5 ISP...9 2.6...10 2.7 Keil C51...12

More information

專題最終版.doc

專題最終版.doc The Principle and Application of the Electric Combination Lock The Principle and Application of the Electric Combination Lock Abstract Recently, there are more and more burglaries in the society. It's

More information

HD61202 HD HD61203 HD61202, HY HY HD61202 HD61202 HD61203 HD =4096 RAMRAM LCD 2HD HD HD /32--

HD61202 HD HD61203 HD61202, HY HY HD61202 HD61202 HD61203 HD =4096 RAMRAM LCD 2HD HD HD /32-- HD61202 C-7 1 HD61202 HD61202 8 HD61203 HD61202, HY-12864 HY-19264 HD61202 HD61202 HD61203 HD61202 1 6464=4096 RAMRAM LCD 2HD61202 64 3HD61202 68 68 4HD61202 1/32--1/64 HD61202 HD61202 2 CS1,CS2,CS3 CS1

More information

Microsoft Word - 專題封面.doc

Microsoft Word - 專題封面.doc 逢 甲 大 學 資 訊 工 程 學 系 專 題 研 究 報 告 8051 小 遊 戲 -21 點 指 導 教 授 : 陳 德 生 學 生 : 許 博 益 中 華 民 國 九 十 六 年 六 月 I 目 錄 第 一 章 緒 論 1 1-1 研 究 背 景 1 1-2 研 究 動 機 2 1-3 研 究 目 的 3 1-3-1 21 點 源 起 3 1-3-2 21 點 規 則 3 第 二 章 微 電

More information

» n ¹ú KS0108 x ÍÍÙ q n KS0108 KS KS0107 KS0108 KS *32 128*64 192*64 KS0108 KS0108 KS0107 KS =4096 RAM RAM LCD 2 KS

» n ¹ú KS0108 x ÍÍÙ q n KS0108 KS KS0107 KS0108 KS *32 128*64 192*64 KS0108 KS0108 KS0107 KS =4096 RAM RAM LCD 2 KS KS0108 KS0108 8 KS0107 KS0108 KS0108 128*32128*64 192*64 KS0108 KS0108 KS0107 KS0108 1 6464=4096 RAMRAM LCD 2KS0108 64 3KS0108 68 68 4KS0108 1/48--1/64 KS0108 CS1,CS2,CS3 CS1 CS2 CS3 E E KS0108 E R/W R/W=1

More information

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File 51 C 51 51 C C C C C C * 2003-3-30 pnzwzw@163.com C C C C KEIL uvision2 MCS51 PLM C VC++ 51 KEIL51 KEIL51 KEIL51 KEIL 2K DEMO C KEIL KEIL51 P 1 1 1 1-1 - 1 Project New Project 1 2 Windows 1 3 N C test

More information

untitled

untitled EDM16080-01 Model No.: Editor: 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3

More information

W77E58中文手册

W77E58中文手册 W77E58 77E58 8051 8051 8051 77E58 8051 1.5 3 COMS 32K EEPROM 1K SRAM 1 8 2 40M 4 3 8051 4 8051 5 4 8 I/O 6 4 I/O 44 PLCC QFP 7 16 / 8 12 9 10 11 1K 12 13 16 DPTR 14 15 DIP40 W77E58 25/40 PLCC44 W77E58P

More information

Ps22Pdf

Ps22Pdf ( ) ( 150 ) 25 15 20 40 ( 25, 1, 25 ), 1. A. B. C. D. 2. A. B. C. D. 3., J = 1 H = 1 ( A B, J', J, H ) A. A = B = 1, J' =0 B. A = B = J' =1 C. A = J' =1, B =0 D. B = J' = 1, A = 0 4. AB + AB A. AB B. AB

More information

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0 SH79F6431 1. SH79F6431 1T 8051 FLASH SH79F JET51 Keil µ vision JTAG 1.1. SH79F6431 LQFP64 1.2. (Target Board) SH79F6431 1 V 1.0 1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F6431 1 2 1 B. 1(VCC/GND)

More information

<4D F736F F D20B3E6B4B9A4F930365F32A443AC71C5E3A5DCBEB9B1B1A8EE2E646F63>

<4D F736F F D20B3E6B4B9A4F930365F32A443AC71C5E3A5DCBEB9B1B1A8EE2E646F63> 七段顯示器控制電路四位數 _ 使用解碼器驅動 +5 V 10 uf 8.2 k 12 MHz 20 pf 1 2 3 4 5 6 7 8 9 P1.0 P1.1 P1.2 P1.3 P1.4 P1.5 P1.6 P1.7 RESET 10 P3.0 11 12 13 14 15 16 17 18 19 20 P3.1 P3.2 P3.3 P3.4 P3.5 P3.6 P3.7 XTAL2 XTAL1

More information

Microsoft Word - P3.doc

Microsoft Word - P3.doc ;P3.3 警报器 ;* ( 程序转载请著明出处, 未声明可适应本站腾龙 /isp 开发套件 / 倚天版开发套件 * ;* E-mail:sxj1974@163.com (51c51 test web) 51 测试网, 自学单片机的网站 * ;* Create by : 石学军 www.51c51.com www.8951.com 更多例程请登陆网站 * org 00h ajmp dlv org 0030h

More information

Microsoft Word - 第1章 MCS-51单片机基础.docx

Microsoft Word - 第1章 MCS-51单片机基础.docx 51 单片机应用开发实战手册 作者 : 华清远见 第 1 章 MCS-51 单片机基础 本章目标 单片机作为嵌入式微控制器在工业测控系统 智能仪器和家用电器中得到广泛 应用 虽然单片机的品种很多, 但 MCS-51 系列单片机仍不失为单片机中的主流机 型 本章主要介绍 MCS-51 单片机的基础知识和学习要点, 以目前应用最为广泛的 AT89SXX 系列单片机为例, 介绍单片机的历史发展 应用领域

More information

PowerPoint Presentation

PowerPoint Presentation 7.2 并行接口 二. 可编程并行接口芯片 8255A 的功能及其应用 与 CPU 一侧相连的引脚 D0 PA0 ~ ~ ~ ~ ~ D7 PA7 RESET PC7 WR RD PC4 PC3 PC0 A0 PB0 A1 PB7 CS PA 口 PC 口上半部 PC 口下半部 PB 口 A 组 B 组 与 I/O 设备相连的引脚 7.2 并行接口二. 可编程并行接口芯片 8255A 的功能及其应用

More information

单片机原理及应用实验指导书.doc

单片机原理及应用实验指导书.doc 1 2 3 4...2...4...9...9 AEDK598 MCS51...9 MCS51...10...10...10...10...10...10...11 P1...12...12...12....12...12...13 P3...14...14...14...14...14...14 I/O...15...15...15...15...15...16...17...17...17...17...17...18...19...19

More information

另外,AT89S52 可降至 0Hz 静态逻辑操作, 支持 2 种软件可选择节电模式 空闲模式下,CPU 停止工作, 允许 RAM 定时器/ 计数器 串口 中断继续工作 掉电保护方式下,RAM 内容被保存, 振荡器被冻结, 单片机一切工作停止, 直到下一个中断或硬件复位为止 8 位微控制器 8K 字

另外,AT89S52 可降至 0Hz 静态逻辑操作, 支持 2 种软件可选择节电模式 空闲模式下,CPU 停止工作, 允许 RAM 定时器/ 计数器 串口 中断继续工作 掉电保护方式下,RAM 内容被保存, 振荡器被冻结, 单片机一切工作停止, 直到下一个中断或硬件复位为止 8 位微控制器 8K 字 AT89C52 中文资料 AT89C52 的中文资料 AT89C52 是美国 Atmel 公司生产的低电压 高性能 CMOS 8 位单片机, 片内含 8KB 的可反复檫写的程序存储器和 12B 的随机存取数据存储器 (RAM), 器件采用 Atmel 公司的高密度 非易失性存储技术生产, 兼容标准 MCS- 51 指令系统, 片内配置通用 8 位中央处理器 (CPU) 和 Flash 存储单元, 功能强大的

More information

Microsoft PowerPoint - 第一章(07版).PPT

Microsoft PowerPoint - 第一章(07版).PPT 单片机原理及综合设计 嵌入式微控制器的开发入门 微机及单片机原理原理实验室综合楼 419 室 大连理工大学电信学院陈育斌 1 ( 理论课 ) 上课时间表 (10~17 周 ) 周次 星期 一 二 三 四 五 六 日 1 至 6 时间地点 大五节综 309 (18:00) 大连理工大学电信学院陈育斌 2 课程总体安排 总学时 : 理论课 + 实验课 =36 学时 其中 : 理论课 :24: 学时 ;

More information

D/A DAC ( 1us) (10~20 ) DAC0832 1

D/A DAC ( 1us) (10~20 ) DAC0832 1 D/A DAC0832 8 ( 1us) (10~20 ) DAC0832 1 1. 20 DI7~DI0 ILE 8 8 DAC 8 D/A LE LE & RFB VREF IOUT2 IOUT1 RFB CS WR1 XFER WR2 & & AGND VCC DGND 2 DI7~DI0 ILE & 8 LE 8 DAC LE 8 D/A RFB V REF IOUT2 IOUT1 R FB

More information

51 C 51 isp 10 C PCB C C C C KEIL

51 C 51 isp 10   C   PCB C C C C KEIL http://wwwispdowncom 51 C " + + " 51 AT89S51 In-System-Programming ISP 10 io 244 CPLD ATMEL PIC CPLD/FPGA ARM9 ISP http://wwwispdowncom/showoneproductasp?productid=15 51 C C C C C ispdown http://wwwispdowncom

More information

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe   Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L 1 SH88F516 8051 64K FLASH 1024 EEPROM SH88F516 JET51 S-Lab Keil µ vision ISP51 Keil µ vision ISP51 ISP51 PC RS232 MCU SH88F516 SH88F516 1.1 SH88F516 1.1 SH88F516 SH88Fxx: QFP44, DIP40 RAM Flash E2 ADC

More information

µPSD3000 系列

µPSD3000 系列 8032 FLASH MCU µpsd3251f-40t6 µpsd3251 8032 FlashPSD Flash SRAM I/O I 2 C, ADC and PWM 8032, 16 / FlashPSD µpsd32 JTAG ISP 2K SRAM Flash - 64K Flash - 16K Flash - Flash 8032 12 I2C ADC 6 I/O 37 I/O 16

More information

Microsoft PowerPoint - 第01章 基础知识.pptx

Microsoft PowerPoint - 第01章 基础知识.pptx 微处理器与微计算机系统 教材 : 单片机原理与应用及 C51 程序设计 ( 第 3 版 ) 清华大学出版社 主讲 : 谢维成 http://xweicheng.ys168.com scxweicheng@mail.xhu.edu.cn 西华大学电气与电子信息学院 第 1 章计算机基础知识 主要内容 : 1 有符号数的表示 2 微型计算机工作原理 3 单片机的概念及特点 A Historical Background

More information

微處理機期末專題

微處理機期末專題 微 處 理 機 期 末 專 題 自 動 鋼 琴 組 員 :b92611004 羅 鈞 瑋 b92611008 吳 妍 儂 b92611038 吳 韋 靜 b92611042 林 佳 穎 一 簡 介 本 組 的 主 題 是 自 動 鋼 琴 在 播 放 音 樂 的 同 時, 鋼 琴 會 自 動 按 下 琴 鍵, 被 按 下 的 琴 鍵 所 對 應 到 的 音 階, 就 是 正 在 撥 放 的 樂 曲 的

More information

<4D6963726F736F667420576F7264202D203130B5A5C6ACBBFACAB5D1E9D6B8B5BCCAE92E646F63>

<4D6963726F736F667420576F7264202D203130B5A5C6ACBBFACAB5D1E9D6B8B5BCCAE92E646F63> 单 片 机 及 接 口 技 术 实 验 指 导 书 张 勇 编 计 算 机 与 通 信 工 程 学 院 信 息 与 通 信 工 程 系 2004.12 1 - 前 言 单 片 机 及 接 口 技 术 是 通 信 工 程 专 业 的 专 业 技 能 课 程, 在 专 业 知 识 结 构 体 系 中 具 有 十 分 重 要 的 地 位, 课 程 的 实 践 性 很 强, 学 习 必 须 理 论 和 实

More information

PowerPoint 簡報

PowerPoint 簡報 微算機原理與實驗 (UEE 2301/1071 ) Chap 5. MCS-51 Addressing Modes 宋開泰 Office:EE709 Phone:5731865( 校內分機 :31865) E-mail:ktsong@mail.nctu.edu.tw URL:http://isci.cn.nctu.edu.tw 1 Addressing Modes Addressing mode is

More information

单片机学习教程

单片机学习教程 . 89S51 1. 2.p1 3.8 3 4.8 3. 1. 0-F 2. 0000 0255. 1. : BB 2. : ( --- ) : :. 1. 2..232.I 2 C 1. X24C02 MCS-51 2. : 22H 24C02 50H 3. : ; 8 4. :I2C. / 1. 1 2. 2. 1. 1. 2. 2.. 1. 1: 2. 2: 3. 3 1 LED 1 89S51

More information

12232A LED LED LED EL EL CCFL EL CCF

12232A LED LED LED EL EL CCFL EL CCF 12232A 0 50-20 +70-30 +85 LED LED LED EL EL CCFL EL CCF 122 x 32 1/32Duty 1/5Bias 6:00 STN( ), EL LED EL/100VAC 400HZ LED/4.2VDC 1 / VDD-VSS 0 6.5 V Ta=25 LCD VDD-V0 0 12.0 V V1 0 VDD V VDD-VSS - 4.75

More information

128K Flash EPROM 的程序?\(Bank=64K\) 切?

128K Flash EPROM 的程序?\(Bank=64K\) 切? 应用说明 华邦 8 位单片机 (8051 内核 ) 应用说明 华邦 8 位单片机 (8051 内核 ) ANSC-UC08-0007 目录 - 1. 应用说明 :...4 1.1...4 1.2 相关寄存器介绍...4 1.2.1 串行口控制寄存器 (SCON)... 4 1.2.2 串行数据缓冲寄存器 (SBUF)... 5 1.2.3 串行口控制 1 (SCON1)... 5 1.2.4 串行数据接收缓冲

More information

CA24064B LED LED LED EL EL CCFL EL CCF /

CA24064B LED LED LED EL EL CCFL EL CCF / CA24064B 0 50-20 +70-30 +85 LED LED LED EL EL CCFL EL CCF 39 2 6 0755-81995643/27890716 0 13713911853 0755-27890716 1 : VDD-VSS 0 6 V LCD VDD-V0 Ta=25 0 28.0 V VI 0 VDD V : VDD-VSS --- 4.75 5.0 5.25 V

More information

*_* IAP In Applications Program, *_* ROM RAM A/D D/A KELL C51 2 0531-86213622 Micro Controller Unit( ) INTEL MCS-48 MCS-51 MCS-96 51 MCS-51 8 MCS-96 16 INTEL / 51 87 LPC W78L DS87 GSM97 ATMEL 89C51

More information

untitled

untitled EDM12832-08 : 25-1 : 116600 : (0411)7612956 7632020 7631122 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

Microsoft Word - 新1-3.doc

Microsoft Word - 新1-3.doc 模块三 80C51 的指令系统和程序设计 (1) 了解 80C51 指令系统的构成 (2) 掌握 80C51 单片机的寻址方式 (3) 掌握 80C51 单片机的各种指令及其应用 (4) 掌握汇编语言程序设计方法, 为以后实际控制系统的程序设计奠定基础 3.1 80C51 指令系统概述 对于任何一台计算机, 如果只有硬件 ( 称为裸机 ), 而没有软件 ( 即程序 ) 的支持是不能工作的 单片机也不例外,

More information

1.1 ML_ONOFF = 1 Q 3 Q 8 C 0.3V M 2 L 1 ML_ONOFF = 0 Q 3 Q 8 C 1. + R31 VCC R21 10K ML_ONOFF R15 0:off 1:on 1K Green Light VCC=5V L1 Q VDD=12V C

1.1 ML_ONOFF = 1 Q 3 Q 8 C 0.3V M 2 L 1 ML_ONOFF = 0 Q 3 Q 8 C 1. + R31 VCC R21 10K ML_ONOFF R15 0:off 1:on 1K Green Light VCC=5V L1 Q VDD=12V C AUTOMATIC TROLLEY H K Hwang K K Chen J-S Lin S-C Wang M-L Li C-C Lin W-B Lin Dept. Of Electrical Engineering Far East College ABSTRACT This paper proposes an automatic trolley which can move automatically

More information

逢 甲 大 學

逢    甲    大    學 論 車 Auto Vehicle 立老 磊 年 老 立老 了 見 老 了不 料 利 了 識 更了 力量! i 車. 車 利 89c51 來 流. 令. 車 8051 類 車利 車 ii Abstract The goal of this thesis is to design a small auto vehicle by using IC as its control center. Our auto

More information

R/W

R/W (HD44780 KS0066 ) 3 3 5 6 10 14 HD44780/KS0066 16 2 LCM 8 1 40 4 LCD HD44780/KS0066 HD44100 IC PCB 0.1 CMOS 1. 2. 3. 4. 5. RH60% 6. 1. 2. 3. PCB 3 4. 5. 6. 1. 280 C 2. 3 4s 3. 4. 5. 3 5 1. 2. IC 3. DC-DC

More information

6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C C C51 C51 ANSI C MCS-51 C51 ANSI C C C51 bit Byte bit sbit

6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C C C51 C51 ANSI C MCS-51 C51 ANSI C C C51 bit Byte bit sbit 6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C51 6.1 C51 6.1.1 C51 C51 ANSI C MCS-51 C51 ANSI C C51 6.1 6.1 C51 bit Byte bit sbit 1 0 1 unsigned char 8 1 0 255 Signed char 8 11 128

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

1 TPIS TPIS 2 2

1 TPIS TPIS 2 2 1 1 TPIS TPIS 2 2 1. 2. 3. 4. 3 3 4 5 4 TPIS TPIS 6 5 350 Mark Coil F3/F6 350 M 150 M 25 M 7.12M 8 M F3 F6 F4 F7 F8 8M AA 7 350 28V 5V IC HCPL2731 0.5mA 6 8 (TPIS) TPIS 9 7 IC AT89C2051 AT89C2051 CMOS8

More information

第5章:汇编语言程序设计

第5章:汇编语言程序设计 第 5 章 : 汇编语言程序设计 程 汇编语言指令格式 系统伪指令 存储器选择方式 常用子程序 1 汇编语言程序设计 PIC 指令系统 语言系统 指 CPU 编 器语言 器语言 器语言 设计 用 语言 设计 语言 汇编语言 2 汇编语言指令格式 汇编语言指令格式 ( 指令 ) label opcode operand comment 指令 用 存 指令 指令语 3 汇编语言指令格式 1 指令 用 指令

More information

TSINGTEK DISPLAY CO.,LTD LCD CONTROLLER & DRIVER ST7920 OR EQUIVALENT (f) 639 2A f B1

TSINGTEK DISPLAY CO.,LTD LCD CONTROLLER & DRIVER ST7920 OR EQUIVALENT (f) 639 2A f B1 TSINGTEK DISPLAY CO.,LTD LCD CONTROLLER & DRIVER ST7920 OR EQUIVALENT 588 4 1 0571-85121224 85121742 85121304(f) 639 2A095 0571-88256346 89902095 f B1618 010-62051209 62000662 62568913 82036512 f http://www.tsingtek.com

More information

1

1 W7E65 1. W7E65 ISP Flash EPROM ISP Flash EPROM 52 W7E65 64K Flash EPROM 4K Flash EPROM 4K Flash EPROM loader 64K ROM 1K RAM 4 I/O 4 I/O P4 3 16 / 2 W7E65 Flash EPROM W7E65 2 2 2. CMOS 12 64K ISP Flash

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6B0B8C0FDB5BCD1A75FD1F9D5C22E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6B0B8C0FDB5BCD1A75FD1F9D5C22E646F63> 因 为 路 过 你 的 路, 因 为 苦 过 你 的 苦, 所 以 快 乐 着 你 的 快 乐, 追 逐 着 你 的 追 逐 内 容 简 介 本 书 根 据 2005 年 下 半 年 实 施 的 全 国 计 算 机 技 术 与 软 件 专 业 技 术 资 格 ( 水 平 ) 考 试 嵌 入 式 系 统 设 计 师 级 考 试 大 纲 精 神, 在 深 入 研 究 历 年 计 算 机 技 术 与 软

More information

Microsoft PowerPoint - chap4.ppt

Microsoft PowerPoint - chap4.ppt 定址方式 定址方式 格式 有效位址 立即資料定址 #data8 暫存器定址 Rn (R0 ~ R7) 直接定址 addr8 addr8 絕對定址 addr11 addr11 長程 ( 絕對 ) 定址 addr16 addr16 ( 暫存器 ) 間接定址 @Ri (@R0 @R1) 或 @DPTR R0 R1 或 DPTR ( 暫存器 ) 相對定址 disp8 PC+ 符號擴展之 disp8 ( 基底

More information

<4D F736F F D20C7B0CBC4D5C2D7F7D2B5CCE22E646F6378>

<4D F736F F D20C7B0CBC4D5C2D7F7D2B5CCE22E646F6378> 第一章第二章 Q2 1: 高序 : 最低位字节存储在字的最低位 ; 低序 : 最低位字节存储在字的最高位 ; Q2 2: 冯. 诺依曼结构 : 数据和指令都存储在同一存储器中 ; 哈佛结构 : 数据和程序存储在各自独立的存储器中 Q2 3: a) ARM 有 16 个通用寄存器,r0 r15, 其中 r15 还被用作程序计数器 b) CPSR 是程序状态寄存器, 包含了条件码标识 中断禁止位 当前处理器模式和其他状态

More information

Microsoft Word - IRFWX_A051_C_SyncMOS_with_STC_APN_SC_.doc

Microsoft Word - IRFWX_A051_C_SyncMOS_with_STC_APN_SC_.doc EEPROM 应用说明 1 适用产品 :SM59D03G2 SM59D04G2 series 2 应用范围 : 针对需使用 EEPORM 功能替换 STC 89C5X 的应用及汇编语言之范例程序 ( 使用内部扩充内存为暂存区 ) 3 功能说明 : 3.1 本公司上述产品 EEPORM 功能皆可替换 STC89C5X, 仅需对特殊功能缓存器定义 ( 详见表 1) 及 ISP 命令定义 ( 详见表 2)

More information

AT89C2051中文资料.doc

AT89C2051中文资料.doc 图形点阵液晶显示模块使用手册 TG12864C(L) 广州捷胜吉电子科技 地址 : 广州市天河区天河路 561# 新赛格电子城 B2226 电话 :(020)33550997 13829772038 网址 :WWW.GZJSJDZ.COM E-mail:CJKD@21CN.COM 目 录 ( 一 ) 概述 (1) ( 二 ) 外形尺寸图 (1) ( 三 ) 模块主要硬件构成说明 (2) ( 四 )

More information

Microsoft Word - em78 sub program.doc

Microsoft Word - em78 sub program.doc 一 二进制数转换为 ASCⅡ 码 将一个字节的二进制数转换为两位 16 进制数的 ASCⅡ 码 main: mov a,@0x9f ; 二进制数为 0x9f mov 0x30,a ; 二进制数存入 0x30 mov a,@0x02 mov 0x10,a ;0x10 中存放转换次数 mov a,@0x31 mov 0x04,a ;0x04 中为转换后数据存放地址 mov a,0x30 B1: ; 取

More information

微机原理与接口技术 郭玉洁

微机原理与接口技术 郭玉洁 微机原理与接口技术 郭玉洁 实验安排 汇编语言程序设计实验编程测验硬件接口应用实验综合应用实验 2 学时 1 学时 4 学时 4 学时 一 实验内容 二 实验目的 三 实验方法 实验报告要求 1 文字叙述设计思路 2 流程图 四 实验源程序 ( 必要的文字注释 ) 五 实验结果 六 实验中遇到的问题及解决方法 七 心得体会 ( 学会编程 调试等学习的方法 ) 汇编语言实验内容 1 学习并掌握 IDE86

More information

fgfdg

fgfdg CYGNAL 应用笔记 AN006 Cygnal C8051 IDT71V124 128Kx8 SRAM A[16:8] 地址总线 A[16:8] 74VHC573 8 位锁存器 ALE LE AD[7:0] 地址 / 数据总线 D Q A[7:0] I/O[7:0] CS CS /WR WE /RD OE 引言 图 1. 外部 SRAM 接口框图 本应用笔记的目的是介绍如何将一个通用 SRAM 芯片或一个按存储器寻址的外设部件与

More information

第十四章 STC单片机比较器原理及实现

第十四章 STC单片机比较器原理及实现 第 14 章 STC 单片机比较器 原理及实现 何宾 2015.02 1 本章主要内容 STC 单片机比较器结构 STC 单片机比较器寄存器组 STC 单片机比较器应用 2 STC 单片机比较器结构 STC15W 系列单片机内置了模拟比较器 对于 STC15W201S STC15W404S, 以及 STC15W1K16S 系 列单片机的比较器内部结构 3 STC 单片机比较器结构 S T C 15W

More information

内容简介 本书以 Proteus 为仿真软件, 以汇编语言和 C 语言为编程语言, 结合趣味应用实例, 系统介绍 MCS-51 单片机的组织结构 工作原理 指令系统 程序设计 中断 定时 / 计数器 串行通信 系统扩展 接口技术 应用系统设计等内容 每章的例题 习题都用 Proteus 仿真实现,

内容简介 本书以 Proteus 为仿真软件, 以汇编语言和 C 语言为编程语言, 结合趣味应用实例, 系统介绍 MCS-51 单片机的组织结构 工作原理 指令系统 程序设计 中断 定时 / 计数器 串行通信 系统扩展 接口技术 应用系统设计等内容 每章的例题 习题都用 Proteus 仿真实现, 普通高等教育电气信息类应用型规划教材 单片机原理与应用 基于实例驱动和 Proteus 仿真 ( 第二版 ) 李林功编著 北 京 内容简介 本书以 Proteus 为仿真软件, 以汇编语言和 C 语言为编程语言, 结合趣味应用实例, 系统介绍 MCS-51 单片机的组织结构 工作原理 指令系统 程序设计 中断 定时 / 计数器 串行通信 系统扩展 接口技术 应用系统设计等内容 每章的例题 习题都用

More information

dfgdafhdafhdafhdafh

dfgdafhdafhdafhdafh 逢 甲 大 學 自 動 控 制 工 程 學 系 專 題 製 作 專 題 論 文 數 位 式 電 子 秤 之 研 製 Design Study of Digital Electronic Weighing Sensor 指 導 教 授 : 賴 啟 智 學 生 : 劉 人 豪 俞 仲 維 中 華 民 國 九 十 八 年 四 月 二 十 二 日 ii . 誌 謝 本 文 承 蒙 賴 啟 智 老 師 指 導,

More information

学习MSP430单片机推荐参考书

学习MSP430单片机推荐参考书 MSP430 16 MSP430 C MSP430 C MSP430 FLASH 16 1 CPU 16 ALU 16 PC SP SR R4~R15 2 3 00-FFH 100-1FFH 4 5 1 2 51 24 27 6 1 2 3 4 5 6 4 12 SR SP SR CPU SR CPU C Z N GIE CPUOff CPU OscOff SCG0 SCG1 CPU EXIT SP

More information

<4D F736F F D20B5A5C6ACBBFAD4ADC0EDD3EBD3A6D3C3BCB B3CCD0F2C9E8BCC65FB5DA33B0E65F2DD6D5B8E52D4E65772DBFB1CEF3B1ED2DB3F6B0E6C9E72E646F6378>

<4D F736F F D20B5A5C6ACBBFAD4ADC0EDD3EBD3A6D3C3BCB B3CCD0F2C9E8BCC65FB5DA33B0E65F2DD6D5B8E52D4E65772DBFB1CEF3B1ED2DB3F6B0E6C9E72E646F6378> 单片机原理与应用及 C51 程序设计 ( 第 3 版 )- 终稿 -New 勘误表 1. P18 页 11 行原来 : 汇编语言 机器语言 功能 MOV AL,01H 1011 0000 0000 0011B ; 把 01 送入累加器 A ADD AL,02H 0000 0100 0000 0101B ;02 与 A 中内容相加, 结 果存入 A HLT 11110100B ; 停止操作 汇编语言

More information

微机第02章1(指令寻址)

微机第02章1(指令寻址) 微机原理及应用 主讲 : 谢维成 http://xweicheng.ys168.com scxweicheng@yahoo.com.cn 西华大学电气信息学院 1 2 第 2 章微机的组成及微处理器的功能结构 2.1 微型计算机的组成 2.2 80X86 系列微处理器的功能结构 3 教学重点 80X86 系列微处理器的功能结构 80X86 的存储器分段管理方式 4 2.1 微型计算机的组成 AB 运算器

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

华侨大学 2013 年硕士研究生入学考试专业课试卷 ( 答案必须写在答题纸上 ) 招生专业 精密仪器及机械 测试计量技术及仪器 检测技术与自动化装置 科目名称微计算机原理科目代码 853 一 填空 ( 每空 1 分, 共 30 分 ) 1 计算机的基本结构可分为 1, 2, 3, 4, 5 五个部分

华侨大学 2013 年硕士研究生入学考试专业课试卷 ( 答案必须写在答题纸上 ) 招生专业 精密仪器及机械 测试计量技术及仪器 检测技术与自动化装置 科目名称微计算机原理科目代码 853 一 填空 ( 每空 1 分, 共 30 分 ) 1 计算机的基本结构可分为 1, 2, 3, 4, 5 五个部分 华侨大学 2013 年硕士研究生入学考试专业课试卷 ( 答案必须写在答题纸上 ) 招生专业 精密仪器及机械 测试计量技术及仪器 检测技术与自动化装置 科目名称微计算机原理科目代码 853 一 填空 ( 每空 1 分, 共 30 分 ) 1 计算机的基本结构可分为 1, 2, 3, 4, 5 五个部分 2 八进制与二进制之间转换,(143) 8= 6 B,1 0000 0000.011B 的十进制为

More information

() () () () () () () () DDRAM () II

() () () () () () () () DDRAM () II 液晶模块说明书 SPEC NO YM2232A REV NO. 液晶显示模块产品说明书 产品类型 : 产品型号 : 产品描述 : 标准产品 YM2232A 22x32 图形点阵模块, 控制器 :SED52,LED 背光 客户名称 : 客户确认 : 编写 : Dexun Zou 审核 : HCC 批准 : Jingxi Yang 发行日期 : 22.8 大连佳显电子有限公司 地址 : 大连市沙河口区工华街

More information

学年本科教学和思政工作情况汇报

学年本科教学和思政工作情况汇报 微机原理与接口技术 第 0 章计算机基础知识 浙江大学 王晓萍 内容提要 计算机是计算数学与微电子学相结合的产物 微电子学的基本电 路及集成电路是计算机的硬件基础, 而计算数学的计算方法与数据结 构则是其软件基础 本章分为 3 个教学单元 补充介绍计算机的基础知识, 包括常用的数制与转换, 微机中数值的表示方法, 以及字母 字符的二进制编码 ; 微机的基本组成电路 (6 种逻辑电路 触发器 寄存器

More information

电子驿站技术文档

电子驿站技术文档 AT89C52 中文资料 电子驿站 http:// www.ourmpu.com E-mail: support@ourmpu.com AT89C52 是美国 ATMEL 公司生产的低电压, 高性能 CMOS 8 位单片机, 片内含 8k bytes 的可反复擦写的只读程序存储器 (PEROM) 和 256 bytes 的随机存取数据存储器 (RAM), 器件采用 ATMEL 公司的高密度 非易失性存储技术生产,

More information

第一章

第一章 单片机原理 STC15F2K60S2 微机原理与单片机接口技术复习要点 第一章微型计算机概述 ( 了解概念 ) 1.1.2 微型计算机基本构成 ( 基本结构由哪些部分构成 ) 1.1.3 单片微型计算机简介 ( 什么是单片机, 单片机的结构及各个部分的功能, 常见的单片机有哪些 ) 1>. 微型计算机基本构成 : 微处理器, 存储器 (ROM RAM) 与输入输出接口 (I/O 接口 ) 及外部设备及连接他们的系统总线组成

More information

第 卷 第 期 年 月 半 导 体 学 报! " # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% )

第 卷 第 期 年 月 半 导 体 学 报!  # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% ) 第 卷 第 期 年 月!"# $%&'%' $!&'#%#$1/#1 $'! /18103 2?/03101?/18103 /3 0,?/0301.13 )*+!!! '!,!! -.&' $!'! 4%%&1)/1(7%&)03(%)%&,%*(1&0)%$-0*,%30)17*1*)0(+1(1+&1*+*),)1; &113(%44(10&.0701&0-&00*/)%;()1%-1+%&0)0*1*)%

More information

习 题 一

习  题  一 第 1 页共 13 页 微机原理与接口技术 A 卷 一 填空题 ( 共计 20 分 每个空 2 分 ) 1 已知 [X] 补 =01100011B, 求 X=( ) ( 结果用十进制表示 ) [Y] 补 =11111001B, 求 Y=( ) ( 结果用十进制表示 ) 2 8088CPU 的地址总线为多少条 ( ); 直接寻址的内存空间为多少 ( ) 3 已知 DS=2000H, 内存 (20200H)=FFH,(20201H)=22H

More information

93C46串行EEPROM数据读写

93C46串行EEPROM数据读写 一 \ 实验目的 93C46 串行 EEPROM 数据读写 1 学会 93C46 的操作指令, 掌握 93C46 读 / 写程序的编写 2 掌握 93C46 与单片机的接口方式二 实验说明 93C46/56/66 是 1K/2K/4K 位的串行电可擦写的 EPROM 93C46 的 1K 位 EPROM, 可以按 128 字节排列, 也可以 64 字节排列 93C46 芯片有 8 脚, 当 ORG

More information

Microsoft Word - DPJBXZXKFJMKSJ01.doc

Microsoft Word - DPJBXZXKFJMKSJ01.doc 第 章 单片机基础知识. 概述.. 单片机发展历程单片机专业名称为 Micro Controller Unit( 微控制器件 ), 是由 Intel 公司发明的, 最早的系列是 MCS-48, 后来有了 MCS-5 常说的 5 系列单片机就是 MCS-5(Micro Controller System), 这是一种 8 位的单片机 后来 Intel 公司把它的核心技术转让给世界上很多小公司, 所以就有许多公司生产

More information

Microsoft PowerPoint - chap3.ppt

Microsoft PowerPoint - chap3.ppt MCS-51 CPU 的規劃模式 位元組位址 1F 18 17 10 0F 08 07 06 05 04 03 02 01 00 通用資料暫存器 暫存器庫 3 暫存器庫 2 暫存器庫 1 R7 R6 R5 R4 R3 R2 R1 R0 內部 RAM 位元組位址 暫存器庫 0 F0 F7 F6 F5 F4 F3 F2 F1 F0 B E0 E7 E6 E5 E4 E3 E2 E1 E0 ACC D0

More information

Microsoft Word - 第1章 MCS-51单片机概述.docx

Microsoft Word - 第1章 MCS-51单片机概述.docx 单片机 C 语言入门 ( 修订版 ) 作者 : 华清远见 第 1 章 MCS-51 单片机概述 本章目标 单片微型计算机简称单片机, 又称为微控制器 (MCU), 是微型计算机的一个重要分支 单片机是 20 世纪 70 年代中期发展起来的一种大规模集成电路芯片, 将 CPU RAM ROM I/O 接口和中断系统等集 成于同一硅片内 20 世纪 80 年代以来单片机发展迅速, 各类新产品不断涌现,

More information

MSP430X1XX 系列微控制器具有以下特征 结构框图 超低功耗结构体系 A 额定工作电流在 1MHz V 工作电压 C11X P11X 和 E11X 为 V 从备用模式唤醒为 6 S 丰富的中断能力减少了查询的需要灵活强大的处理能力源操作数有七种寻址模

MSP430X1XX 系列微控制器具有以下特征 结构框图 超低功耗结构体系 A 额定工作电流在 1MHz V 工作电压 C11X P11X 和 E11X 为 V 从备用模式唤醒为 6 S 丰富的中断能力减少了查询的需要灵活强大的处理能力源操作数有七种寻址模 新一代超低功耗 16 位单片机 TI MSP430 系列 美国 TI 公司的 MSP430 系列单片机可以分为以下几个系列 X1XX X3XX X4XX 等等 而且 在不断发展 从存储器角度 又可分为 ROM C 型 OTP P 型 EPROM E 型 Flash Memory F 型 系列的全部成员均为软件兼容 可以方便地在系列各型号间移植 MSP430 系列单片机 的 MCU 设计成适合各种应用的

More information

Microsoft Word - LMB402CBC-AppNote-V0.1.doc

Microsoft Word - LMB402CBC-AppNote-V0.1.doc LMB402CBC 液晶显示模块应用参考 深圳市拓普微科技开发有限公司 版本描述日期编者 0.1 新版本 2006-02-09 杨军 http://www.topwaysz.com 1 / 1 目录 1 概述 3 2 硬件接口 3 3 软件特性 3 3.1 控制器接口信号说明 3 3.2 模块指令系统 4 4 显示数据存储器的地址 4 5 字符发生器 CGRAM 的地址 5 6 应用举例 5 6.1

More information

相 关 知 识 1 计 算 机 工 作 原 理 1946 年 2 月, 世 界 上 第 一 台 电 子 计 算 机 ENIAC (Electronic Numerical Integrator And Computer, 电 子 数 字 积 分 计 算 机 ) 诞 生 于 美 国 宾 夕 法 尼 亚

相 关 知 识 1 计 算 机 工 作 原 理 1946 年 2 月, 世 界 上 第 一 台 电 子 计 算 机 ENIAC (Electronic Numerical Integrator And Computer, 电 子 数 字 积 分 计 算 机 ) 诞 生 于 美 国 宾 夕 法 尼 亚 第 二 章 认 识 我 的 计 算 机 从 办 公 打 字 到 电 脑 游 戏 从 信 息 检 索 到 手 机 娱 乐 从 社 交 网 络 到 电 子 商 务 从 电 影 大 片 到 航 天 飞 行, 到 处 都 有 计 算, 到 处 都 离 不 开 计 算 机 它 作 为 信 息 处 理 的 关 键 设 备, 已 成 为 现 代 社 会 人 们 生 产 与 生 活 的 基 本 工 具, 在 国 民

More information

<4D F736F F F696E74202D20B5DA35D5C2CEA2B4A6C0EDC6F7B9A4D7F7D4ADC0ED2E707074>

<4D F736F F F696E74202D20B5DA35D5C2CEA2B4A6C0EDC6F7B9A4D7F7D4ADC0ED2E707074> 第 5 章 微处理器工作原理 1 5.1 8086 处理器 2 1. 管脚定义 3 8086/88 管脚描述 8086:16 位微处理器, 16 位外部数据总线 8088:16 位微处理器, 8 位外部数据总线 GND AD14 AD13 AD12 AD11 AD10 AD9 AD8 AD7 AD6 AD5 AD4 AD3 AD2 AD1 AD0 NMI INTR CLK GND 1 2 3 4 5

More information

Microsoft PowerPoint - Ch CPU总线及其形成.ppt [兼容模式]

Microsoft PowerPoint - Ch CPU总线及其形成.ppt [兼容模式] 2018 版 微机原理与接口技术 第五章 总线及其形成 dminghao@xidian.edu.cn 董明皓 1 2 3 4 5 总线定义及分类几种常用芯片 8086 的引脚功能及时序系统总线的形成 8088 与 8086 的差异 1 2 3 4 5 总线定义及分类几种常用芯片 8086 的引脚功能及时序系统总线的形成 8088 与 8086 的差异 综述 本门课学习的内容 内存 外设 1 外存 1

More information

エスポラージュ株式会社 住所 : 東京都江東区大島 東急ドエルアルス大島 HP: ******************* * 关于 Java 测试试题 ******

エスポラージュ株式会社 住所 : 東京都江東区大島 東急ドエルアルス大島 HP:  ******************* * 关于 Java 测试试题 ****** ******************* * 关于 Java 测试试题 ******************* 問 1 运行下面的程序, 选出一个正确的运行结果 public class Sample { public static void main(string[] args) { int[] test = { 1, 2, 3, 4, 5 ; for(int i = 1 ; i System.out.print(test[i]);

More information

at89S52.doc

at89S52.doc 主要性能 与 MCS-51 单片机产品兼容 8K 字节在系统可编程 Flash 存储器 1000 次擦写周期 全静态操作 :0Hz~33Hz 三级加密程序存储器 32 个可编程 I/O 口线 三个 16 位定时器 / 计数器 八个中断源 全双工 UART 串行通道 低功耗空闲和掉电模式 掉电后中断可唤醒 看门狗定时器 双数据指针 掉电标识符 8 位微控制器 8K 字节在系统可编程 Flash R 功能特性描述

More information

第10章:CCP捕捉/比较/脉宽调制

第10章:CCP捕捉/比较/脉宽调制 第 10 章 :CCP 捕捉 / 比较 / 脉宽调制 CCP 模块功能 捕捉功能模式 比较功能模式 脉宽调制功能 1 CCP 模块功能 PIC 2 捕捉 比较 脉宽调制模块 CCP1 CCP2(Capture/Compare/PWM) 16 CCPR1 CCPR2 模块 功能 功能 TMR1 TMR2 2 CCP 模块功能 CCP 模块 3 模式 : 捕捉 式 比较 式 脉宽调制 式 捕捉功能 捕捉

More information

《微机接口技术》总复习题.doc

《微机接口技术》总复习题.doc 计算机接口技术 复习题 第 1 部分概述 本章知识 : 1 微机接口应具备哪些功能? 功能 : 信号转换 提供信号转换的应答信号 外部设备的寻址功能 数据缓冲功能 中断请求功能 可 编程功能 实现对设备的不同操作 2CPU 能直接与外设进行信息交换吗? 为什么? 3 计算机与接口连接的三类数据总线是什么? 哪些是单向传输, 哪些是双向传输的? DB AB CB 4 常见的接口芯片的功能 8254 8250/8251

More information

Microsoft Word - 单片机目录.doc

Microsoft Word - 单片机目录.doc MCS-51 单片机原理 李洁等编著 北京大学信息科学技术学院 2009 年 2 月 目 录 第一章 MCS-51 单片机 (1) 1.1 MCS-51 单片机的总体结构和信号引脚 (1) 1.2 MCS-51 单片机的存贮器组织 (6) 1.3 MCS-51 单片机的时序 (11) 第二章 MCS-51 单片机的指令系统以及汇编语言程序设计 (14) 2.1 寻址方式 (14) 2.2 指令系统

More information

第七章 中断

第七章 中断 计算机组成原理 总结 图说 COD llxx@ustc.edu.cn 计算科学 (Computing) 计算机组成 :how computers work 硬盘 电源导线和电缆 软驱 内存 扩展槽 扩展卡 主板 微处理器 (CPU) 各种外设接口, 包括 : 键盘 鼠标 显示器 USB 声卡 网卡 并行口等 CPU 风扇支架 PCI 扩展槽 IDE 内存 CPU 硬条插盘接插槽槽口 华硕 P4S533-MX

More information

´®ÐжàͨµÀ10λA/Dת»»Æ÷TLC1543¼°ÆäÔÚµçѹÊý¾Ý²É¼¯ÏµÍ³ÖеÄÓ¦ÓÃ

´®ÐжàͨµÀ10λA/Dת»»Æ÷TLC1543¼°ÆäÔÚµçѹÊý¾Ý²É¼¯ÏµÍ³ÖеÄÓ¦Óà 电子世界 杂志 串行 A/D 转换器 TLC1543 及其应用 严天峰 TLC1543 是美国 TI 公司生产的多通道 低价格的模数转换器 采用串行通信接口, 具有输入通道多 性价比高 易于和单片机接口的特点, 可广泛应用于各种数据采集系统 TLC1543 为 20 脚 DIP 封装的 CMOS 10 位开关电容逐次 A/D 逼近模数转换器, 引脚排列如图 1 所示 其中 A0~A10(1~9 11

More information

Microsoft Word - Ö¸Á.doc

Microsoft Word - Ö¸Á.doc 指令集说明 注 由于资源大小问题 其中以下几款 MCU 只有 62 条指令 其余均为 63 条指令 HT48CA0/HT48RA0A 无 RETI 指令 HT48R05A-1 无 TABRDL 指令 指令寻址方式有下面 5 种 立即寻址 此一寻址法是将立即的常数值紧跟在运算码 (opcode) 后 例如 MOV A, 33H ADD A, 33H 直接寻址 直接寻址的情況只允许在存储器之间作数据传送

More information

Microsoft Word - FG12232A.doc

Microsoft Word - FG12232A.doc 图形点阵液晶显示模块使用手册 FG2232A 广州市方舟电子有限公司 公司网站 :www.arkteck.com 地址 : 广州市天河区东圃桃园路 33 号 5 楼电话 :2-82574878 82574677 8854485 传真 :82574878 82574677 分机 88 目 录 ( 一 ) 基本特性 () ( 二 ) 原理图 (2) ( 三 ) 限定参数 (2) ( 四 ) 直流特性 (2)

More information

微机第02章1(指令寻址)

微机第02章1(指令寻址) 微机原理及应用 主讲 : 谢维成 http://xweicheng.ys168.com scxweicheng@yahoo.com.cn 西华大学电气信息学院 1 第 03 章 80X86 的寻址方式和指令 ( 本章内容 ) 3.1 指令的格式 3.2 8086/8088 的寻址方式 3.3 指令系统 3.3.1 数据传送指令 3.3.2 算术运算指令 3.3.3 逻辑运算与移位指令 3.3.4 串操作指令

More information

指令系统 可分成如下 6 类 : 数据传送指令 算术运算指令 逻辑运算和移位指令 串操作指令 程序控制指令 处理器控制指令 2015 年 3 月 26 日星期四 8 时 41 分 27 秒 2

指令系统 可分成如下 6 类 : 数据传送指令 算术运算指令 逻辑运算和移位指令 串操作指令 程序控制指令 处理器控制指令 2015 年 3 月 26 日星期四 8 时 41 分 27 秒 2 第 3 章 8086 的寻址方式和指令系统 (2) 2015 年 3 月 26 日星期四 8 时 41 分 27 秒 1 3.3 8086 指令系统 可分成如下 6 类 : 数据传送指令 算术运算指令 逻辑运算和移位指令 串操作指令 程序控制指令 处理器控制指令 2015 年 3 月 26 日星期四 8 时 41 分 27 秒 2 3.3.1 数据传送指令 可实现 存储器 立即数 段寄存器 CS DS

More information

杨欣王玉凤刘湘黔编著清华大学出版社 2008 年 1 月 ISBN 单片机应用从零开始 8051Microcontroller: An Applications Based Introduction 第 1 章 身边的单片机 欢迎访问电路飞翔网

杨欣王玉凤刘湘黔编著清华大学出版社 2008 年 1 月 ISBN 单片机应用从零开始 8051Microcontroller: An Applications Based Introduction 第 1 章 身边的单片机 欢迎访问电路飞翔网 第 1 章 身边的单片机 欢迎访问电路飞翔网 http://www.circuitfly.com 获取更多信息 1.1 单片机在哪里 1.2 单片机是什么样子的 1.3 单片机如何控制其他器件 1.4 如何使用单片机 1.5 有哪些单片机 1.6 实例点拨 电子万年历 例 1: 空调机 1.1 单片机在哪里 制冷功能模块 温度监控功能模块 如何协调工作? 遥控器接收功能模块 1.1 单片机在哪里 数码管指示灯功能按键

More information

数据库系统概论

数据库系统概论 所谓寻址方式, 就是指令中用于说明操 作数所在地或者所在地地址的方法 8088/8086 的寻址方式分为两类 : 关于寻找数据的寻址方式 关于寻找转移地址的寻址方式 下面讲关于数据的寻址方式时, 均以数 据传送指令 MOV 为例讲解 MOV 指令格式如下 : MOV DST, SRC 助记符 目的操作数 指令完成的功能 : (DST) 源操作数 (SRC) 一. 关于寻找数据的寻址方式 ( 共 8

More information

电 阻 应 变 式 传 感 器 是 将 被 测 量 的 力, 通 过 它 产 生 的 金 属 弹 性 变 形 转 换 成 电 阻 变 化 的 元 件 由 电 阻 应 变 片 和 测 量 线 路 两 部 分 组 成 电 阻 应 变 片 产 生 的 误 差, 主 要 来 源 于 温 度 的 影 响, 本

电 阻 应 变 式 传 感 器 是 将 被 测 量 的 力, 通 过 它 产 生 的 金 属 弹 性 变 形 转 换 成 电 阻 变 化 的 元 件 由 电 阻 应 变 片 和 测 量 线 路 两 部 分 组 成 电 阻 应 变 片 产 生 的 误 差, 主 要 来 源 于 温 度 的 影 响, 本 AT89C51 体 重 称 电 路 图 原 理 本 文 设 计 一 种 利 用 电 阻 应 变 式 压 力 传 感 器 和 MCS-51 单 片 机 等 器 件 制 作 的 体 重 秤 该 体 重 秤 的 量 程 为 100kg, 能 实 现 称 重 数 码 显 示 调 零 等 功 能 该 体 重 秤 利 用 电 桥 测 量 原 理, 将 压 力 应 变 传 感 器 阻 值 转 换 为 电 压 值,

More information

Microsoft PowerPoint - 05-第五讲-寻址方式.pptx

Microsoft PowerPoint - 05-第五讲-寻址方式.pptx 第五讲 授课教师 : 陆俊林王箫音 2012 年春季学期 主要内容 一 寻址方式概述 二 数据的寻址方式 三 转移地址的寻址方式 教材相关章节 : 微型计算机基本原理与应用 ( 第二版 ) 第 4 章寻址方式与指令系统 1 主要内容 一 寻址方式概述 二 数据的寻址方式 三 转移地址的寻址方式 2 指令的组成 指令由操作码和操作数两部分组成 操作码操作数 MOV AX, 8726H ADD AX,

More information

Ⅰ Ⅱ 2 Ⅲ 2 Ⅳ 耀

Ⅰ Ⅱ 2 Ⅲ 2 Ⅳ 耀 面 向 2 世 纪 高 等 学 校 计 算 机 类 专 业 十 一 五 规 划 教 材 单 片 机 原 理 及 接 口 技 术 ( 第 二 版 ) 余 锡 存 曹 国 华 编 著 西 安 电 子 科 技 大 学 出 版 社 2 0 0 7 Ⅰ Ⅱ 2 Ⅲ 2 Ⅳ 耀 .,, 0,.., : ()0 :0 2 9; (2), 555.54 5 500 50 5 0.5, 555.5=50 2

More information

§4 数控系统

§4 数控系统 4 数控系统 2) 液晶模块的软件接口程序 液晶模块 LCD 的口地址定义如下 : CMD_RD_LCD EQU 0D001H ; 读状态口 CMD_WR_LCD EQU 0D000H ; 写命令口 DATA_RD_LCD EQU 0D003H ; 读状态口 DATA_WR_LCD EQU 0D002H ; 写数据口 部分软件接口程序如下, 程序框图略 ; 液晶屏初始化子程序 ; 占用寄存器 : DPTR,R2,A

More information

Microsoft PowerPoint - 微原-第3章2.ppt [兼容模式]

Microsoft PowerPoint - 微原-第3章2.ppt [兼容模式] 本教案内容 第 3 章 8086CPU 指令系统 1. 汇编语言指令 9. 转移指令 10. 2. 8086 指令分类循环控制指令 11. 子程序调用返回 3. 数据与转移地址的指令寻址方式 12. 中断调用返回指 4. 数据传送类指令令 5. 算术运算类指令 13. 字符串操作指令 6. 逻辑运算类指令 14. I/O 输入输出指令 7. 移位类指令 15. 其它指令 8. 标志位操作指令 16.

More information

<4D F736F F F696E74202D DB4E6B4A2C6F7C9E8BCC62E BBCE6C8DDC4A3CABD5D>

<4D F736F F F696E74202D DB4E6B4A2C6F7C9E8BCC62E BBCE6C8DDC4A3CABD5D> 2018 版 微机原理与接口技术 第六章 存储器设计 dminghao@xidian.edu.cn 董明皓 准备知识 存储器的性能指标 - 存储容量 ( 常用单位 ) 存储容量的表示 Bit 用二进制位定义存储容量 Byte 用二进制字节定义存储容量 存储容量的常用单位 字 节 B (Byte) 千字节 KB(Kilo Byte) 兆字节 MB(Mega Byte) 吉字节 GB (Giga Byte)

More information

四、通 讯 规 约

四、通 讯 规 约 HY-ML2000 RS232 RS422 RS-485 9 RS232 1----GND 3---RXD 4---TXD RS422 6--T+,7--T-,8--R-, 9--R+ 00 0FFH 256 0# 1# 2# 3# 4# 5# 6# 7# 8# 14# 18# HY-ML2000 ( ) 0# ( BJ DISA ) 1 EB 90 EB 90 02...( )... (L) (H)

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg 1 1 a. 0.5V 5V 1Hz 1MHz b. 0.1% 2 : a. 0.5V 5V 1Hz 1MHz b. 0.1% (3) a. 0.5V 5V 100 s b. 1% 4 1 10 5 1MHz 6 1 2 1 0.1Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15

More information

Microsoft Word - 部分习题参考答案.doc

Microsoft Word - 部分习题参考答案.doc 部分习题参考答案 第 1 章 1.1 (1) U ab 5 (2) U ab 5 () I 2 () I 2 1.2 (a)-10w(b)-8w,0w(c)-50w,25w 1. () P 560 W, P 50 W, P 600 W, P 20 W, P 180 W 1. I 2 m U 60 1.5 P 50 W 1.6 U 26, U 7 ac bd 1.7 U ab 11, I, I 2,

More information

STC90C51RC-cn.pdf

STC90C51RC-cn.pdf STC90.STC90C5RC/RD+ STC90C5RC/RD+ 805 5.5V - 3.3V 3.6V - 2.0V V 040MHz080MHz48MHz 4K / 8K / 3K / 6K / 32K / 40K/ 48K/ 56K/ 6K 28052RAM I/O35/39P/P2/P3/P4805I/OP0 I/O ISP/ IAP RxD/P3.0, TxD/P3. EEPROM MAX80

More information