模拟与数字外设的广泛性能 推出电容式触摸感测 I/O 端口 MSP430G2553 中集成的外设包括 GPIO 定时器 ADC 比较器 USCI 串行通信 电容触 摸等 我们将在后面分别介绍各个外设的使用 1.2 MSP430G2 LaunchPad 介绍 MSP430G2 LaunchPad 是基

Size: px
Start display at page:

Download "模拟与数字外设的广泛性能 推出电容式触摸感测 I/O 端口 MSP430G2553 中集成的外设包括 GPIO 定时器 ADC 比较器 USCI 串行通信 电容触 摸等 我们将在后面分别介绍各个外设的使用 1.2 MSP430G2 LaunchPad 介绍 MSP430G2 LaunchPad 是基"

Transcription

1 1.1 MSP430 简介 单片机是一个集成电路芯片, 是包括了 CPU 随机存储器 RAM 只读存储器 ROM 多种 I/O 口和中断系统 定时器 / 计数器等功能并将其集成到一块硅片上构成的一个小而完善的微型计算机系统 一般把单片机也称为 Microcontroller, 或 MCU 单片机的应用极其广泛, 大到汽车 工业, 小到家电 个人消费电子品, 里面都有单片机的身影 可以说凡是要进行控制和运算的应用, 都有单片机的用武之地 MSP430 是 16 位的单片机, 发展到现在 MSP430 已有多个系列共 500 多种型号 不同的 MSP430 系列集成了不同的外设, 主要包括有 Flash RAM 定时器 GPIO ADC 串行通信模块等 MSP430 以低功耗而闻名, 其低功耗水平在业界领先, 非常适用于电池供电设备等对于功耗要求较高的领域 本教程中我们将用到的是 MSP430G2553 这个型号, 属于 MSP430G2xx 系列 这个系列在 MSP430 产品线中具有很高的性价比, 最高主频可到 16MHz, 并集成了多种外设 MSP430G2553 的主要特性如下 : 高达 16MHz 的 CPU 速度 1.8V 至 3.6V 工作电压 16KB Flash,512 字节 RAM

2 模拟与数字外设的广泛性能 推出电容式触摸感测 I/O 端口 MSP430G2553 中集成的外设包括 GPIO 定时器 ADC 比较器 USCI 串行通信 电容触 摸等 我们将在后面分别介绍各个外设的使用 1.2 MSP430G2 LaunchPad 介绍 MSP430G2 LaunchPad 是基于 TI MSP430G2553 单片机的口袋实验板 此板麻雀虽小, 五 脏俱全 除了排针扩展芯片引脚之外, 板上还有两个按键 两个 LED, 另外板上带有板载仿真器, 只需要一根 USB 线就可以直接连接电脑进行编程和仿真

3 拿到 MSP430G2 LaunchPad 之后, 首先看到板子分为上下两个区域 上边区域是板载仿真 器, 用于向单片机下载程序以及进行在线仿真 ; 下边区域包括单片机主芯片 MSP430G2553, 左 右两排插针分别对应单片机的 20 个引脚 左下方按钮连接单片机的 P1.3 口, 可以由用户自定义 编程, 两个 LED 分别通过跳线帽连接 P1.0 和 P1.6, 如需断开 LED 只要拔掉跳线帽即可 芯片 旁边还留出了外部晶振的焊盘, 如果需要使用外部晶振, 只要将包装中的晶振焊接到焊盘上即可 MSP430G2 LaunchPad 相关文档下载 LaunchPad User s Guide: MSP430x2xx User s Guide: C Compiler User s Guide MSP430G2xx code examples: 新建 CCS 工程 首先我们需要理解什么是一个 CCS 工程 CCS 工程中包含所有程序源文件 头文件和库文 件, 另外工程文件中还会记录程序编译的设置

4 CCS 中还有一个 workspace 工作空间的概念, 一个 workspace 中会包含一个用户编写的多 个工程, 类似于 windows 的登录用户,workspace 中会将用户的设定存储下来, 这样每次打开一 个 workspace, 上次用户关闭时的界面将原封不动的恢复出来 Workspaces and Projects Workspace Project 1 Project 2 Project 3 Settings and preferences Link Project Project Project Link Source files Source files Header Source files files Header Files Link Library Header filesfiles Library files Build Library and tool filesettings Build and tool settings Build and tool settingslink Source files Code and Data Header files Declarations/Defines Library files Code and Data A workspace contains your settings and preferences, as well as links to your projects. Deleting projects from the workspace deletes the links, not the files A project contains your build and tool settings, as well as links to your input files. Deleting files from the workspace deletes the links, not the files Project Wizard 首次使用 CCS 1) 打开 CCS, 会弹出一个对话框请用户选择 workspace 的路径, 可以选择任意一个文件夹, 但请注意路径必须为全英文, 不能有中文字符 下方的 Use this as the default and do not ask again 不建议勾选, 如果勾选 CCS 之后将把此路径作为默认 workspace 路径不再更改 选择好 workspace 之后单击 OK 进入 CCS

5 Workspace 文件夹中包含所有的 CCS 设置, 包括工程的设置和视图, 这样 workspace 再次打开时可以看到上一次关闭时的工程 Workspace 中还包含所有工程的列表, 当 CCS 关闭时 workspace 会被自动保存 2) 第一次打开 CCS 时, 会弹出 License Setup Wizard 对话框 针对 MSP430 单片机, 请选择 CODE SIZE LIMITED(MSP430) 这个选项即可正常使用 3) 接下来应该可以看到 TI Resource Explorer 窗口出现在 CCS 中 TI Resource Explorer 中包含了各种文档和例程, 我们将会在后面的章节讨论 现在请先点击 TI Resource Explorer 标签上的 X 关闭此窗口 4) 现在应该可以看到一个空白的 CCS 工作区窗口, 如下图

6 请注意右上角的 CCS Edit 按钮,CCS 提供了两种工作区模式, 现在我们看到的工作区是在 CCS Edit 模式下的, 此时各功能区布局 工具栏 菜单等都如上图所示, 是为了方便创建 编写 及编译程序所设的 另外还有一个 CCS Debug 模式, 当用户点击 debug 按钮时,CCS 会自动进入此模式, 此时会出现一排 debug 工具栏, 方便用户进行调试 创建一个新工程 (Project) 在 CCS 工程中包含了所有源文件以及输出的可执行文件 (.out 文件 ) 要创建一个 CCS 工程, 请点击 :File -> New -> CCS Project 出现如下对话框 Project name 中填写项目名称 Lab1,Device 菜单中选择芯片型号 MSP430G2553, 项目模板选择 Empty Project (with main.c), 然后点击 Finish

7 CCS 的 workspace 中会出现刚刚新建的工程, 单击工程名称, 会出现 active 字样, 代表正 在对当前工程进行操作 编辑源代码 接下来, 我们在 main.c 文件中加入源代码 在 main.c 中手动敲入以下代码 : #include <msp430.h> int main(void) {

8 WDTCTL = WDTPW + WDTHOLD; P1DIR = 0x01; // Stop watchdog timer // Set P1.0 to output direction for (;;) { volatile unsigned int i; P1OUT ^= 0x01; // Toggle P1.0 using exclusive-or } } i = 50000; do (i--); while (i!= 0); // Delay 或者打开 Labs 文件夹, 在 Lab1.txt 中也能找到上述源代码, 将代码拷贝到 main.c 中 编译并运行程序 1) 点击 Debug 按钮,CCS 会自动保存当前源代码, 完成程序编译, 并将程序下载到 LaunchPad 中 如果出现 Ultra-Low-Power Advisor (ULP Advisor) 的提示窗口, 点击 Proceed 即可 程序下载结束后,CCS 会自动进入 Debug 视图 2) 在 Debug 视图下, 可以看到下图所示的调试工具按钮 点击运行按钮, 程序将开始运 行 如一切正常, 将会看到 LaunchPad 上的红色 LED 灯以一定频率闪烁 如果想暂停程序, 可以点击暂停按钮 点击停止按钮, 程序会停止运行且 CCS 将退出 Debug 视图, 但请注意程序将会保持在单片机的 flash 存储器中, 下次上电时程序仍将从头开始 执行

9 3) 点击停止按钮退出 Debug 视图之后, 右键单击工程名, 在菜单中选择 Delete, 从当前 workspace 中删除 Lab1 工程 注意在确认对话框中有选项 Delete project contents on disk, 请不要勾选此选项, 否则将删除硬盘中的工程文件 我们下一节还将用到刚才的工程文件 1.4 如何导入现有 CCS 工程 本节将介绍如何导入一个现有的工程 上一节最后一步我们从 workspace 中删除了 Lab1 工程, 但工程文件其实还保存在 workspace 所在的文件夹中 ( 默认路径是 C:\Users\ 用户名 \workspace_v5_x), 下面我们就把此工程再导入到 CCS 中 在 CCS 菜单中选择 Project->Import Existing CCS Eclipse Project, 点击 Browse 找到 CCS workspace 所在文件夹, 点击 OK,CCS 会自动将所选文件夹及其子文件夹下所有的 CCS Projects 列出 从列表中找到 Lab1, 点击 Finish 即可导入此工程 在导入工程时有一个选项是 Copy projects into workspace, 请不要勾选, 因为 Lab1 本身已经在 workspace 文件夹中 如果是从其他位置导入, 勾选此选项将会将工程复制到 workspace 中

10 为何有些工程无法导入? 在导入工程时, 有时会发现有些工程是灰色的, 无法勾选 ( 如下图所示 ) 这是因为 workspace 中已有同名的工程了 ( 无论内容是否完全一致 ) 如果是自己重复导入工程, 把之前的工程文件删除即可 ; 如果确实重名了, 解决办法只能是修改其中一个工程的名称 导入 MSP430ware 例程 在 CCS 中有一个非常实用的资源库叫做 MSP430ware, 其中有 MSP430 系列所有芯片的文 档资料, 以及丰富的例程 用好这个资源库可以让我们的 MSP430 学习之旅事半功倍

11 在 CCSv5.x 中打开 View->TI Resource Explorer 菜单, 在 package 处选择 MSP430ware, 即可打开资源库主界面 在主菜单中共有 3 个功能, 分别是 Device Development Tools 和 Libraries 在最新的 CCSv7 版本中,Resource Explorer 已经改为在线版, 需要联网才能使用 另外也可以直接访问 TI 的 Resource Explorer 网站来浏览其中的内容 : 下面还是先介绍 CCSv5 中的 MSP430Ware 的 3 个主要功能 : 1) Device: 包含 MSP430 系列所有芯片的 Datasheet User s Guide 等文档, 以及针对芯片的例程库, 还有图形化工具 Grace 例程 ;

12 2) Development Tools: 包含所有 MSP430 开发套件的 User s Guide 以及硬件文档, 同时还 有针对板卡的例程 ;

13 3) Libraries: 有 TI 官方提供的针对 MSP430 的代码库, 包括外设驱动库 Driverlib 图形处 理库 Graphics Library USB 开发库 电容触摸库等等 这些库为用户提供了封装好的函数, 使 用这些库可以在不关心芯片具体寄存器的情况下编程, 也方便了程序在不同芯片之间进行移植 使用 Driverlib 虽然方便, 但是针对单片机进行编程还是直接对寄存器进行操作能够真正从底层优化程序, 另外从学习角度来讲也还是需要了解单片机的结构和寄存器 因此这里推荐大家最经常使用的还是 Device 中的 Code Examples 下面我们就举例说明如何将 MSP430ware 中的例程导入 workspace 展开 Device 菜单, 找到要编程的目标芯片 (MSP430G2xx), 再展开 Code Examples 并找到 MSP430G2x53, 单击芯片名称后右侧会出现例程的列表 其中包括工程名称和描述 仔细看一下, 工程名称是以 芯片名 + 外设名 + 序号 来命名的, 也就是说每一个外设都有多个例程 为什么会有这么多例程呢? 看一下右边的描述就会发现一个外设下不同的例程是根据外设的不同模式来编写的, 例如 GPIO 例程有 4 个, 分别是针对输出 输入 中断等不同的模式

14 下面用一个简单的例子来说明怎么把例程导入到 workspace 中 请在例程列表中找到 msp430g2xx3_1 这个工程, 看右边的描述就知道这个例程是一个简单的切换 I/O 口状态的例程, 可以实现 P1.0 对应的 LED 闪烁 点击工程名, 在出现的菜单中选择芯片 MSP430G2553, 然后单击 OK, 左边的工程列表中就会出现对应的工程了 MSP430ware 中的例程不仅数量多, 而且程序规范 注释完整, 是我们学习单片机编程的好 帮手 在学习单片机的各个外设时, 不妨先到 MSP430ware 的例程库中看一看对应的例程, 对于 我们理解和掌握 MSP430 单片机大有帮助 1.5 单片机 C 语言入门 单片机的寄存器在前面的示例程序中我们发现对单片机进行编程实际上就是改写寄存器的值 单片机各个外设的功能其实是预先固定的, 而寄存器就像是这些功能的控制接口, 通过改变寄存器的值就可以在这些功能中进行选择 还有一些寄存器直接对应引脚的状态, 例如 GPIO 的输入 / 输出寄存器 像以下语句 : P1OUT = 0x01; 这句话其实就是赋值给 P1OUT 这个寄存器, 这个寄存器是 GPIO 的输出寄存器, 赋值之后对应引脚的输出状态就会发生改变 P1OUT 寄存器共有 8 位, 分别是从 P1.0-P1.7 要给这个寄存器赋值, 其实就是写入一个 8 位的二进制数值, 例如 在 C 语言中, 为了尽量精简代码, 一般把这个 8 位二进制数

15 换算成 2 位 16 进制数, 前面加上 0x 表示这是一个 16 进制的值 这样二进制的 也可 以表示为 0x01, 那么上面一句 P1OUT = 0x01 实际上是把 P1.0 赋值为 1,P1.1-P1.7 赋值为 0 每次上电之后, 寄存器都会回到它们的初始值 MSP430G2 系列单片机中所有寄存器的说明 都在 MSP430x2xx Family User's guide 这篇文档中 使用哪个外设, 就到文档对应章节中去 查找寄存器的定义 MSP430 的位操作上一节的例子中我们发现 MSP430 单片机的寄存器赋值都是直接给整个寄存器赋值, 如 P1OUT = 0x01; 那如果只想给寄存器其中的一位赋值, 能否直接对某位进行操作呢? 答案是不可以 因为 MSP430 属于 RISC 精简指令集单片机, 它有一个特点就是不能进行位操作 那么如果只想操作某一位, 而不关心其它位, 例如我只想把 P1.0 赋值为 1, 但我不知道也不想改变 P1.1-P1.7 的状态, 该怎么办呢? 下面就来介绍 MSP430 中进行位操作的办法 1) 写位操作在对某字节使用 = 进行写操作时, 所有位的值都将被改变 如果先将原字节读出来, 再使用按位操作符对原字节进行赋值, 则可 等效 实现对单个位的写操作 下面举例来说明 MSP430 中最重要的 3 个写位操作语句 例 : 将 P1.0 置 1,P1.1 置 0,P1.2 取反, 不影响其他位 P1OUT = 0x01; // 按位 或, 相当于置 1 P1OUT &= ~0x02; // 取反后再按位 与, 相当于置 0 P1OUT ^= 0x04; // 按位 异或, 相当于取反在 CCS 新建工程时, 都会包含 MSP430.h, 这个头文件其实相当于一个目录, 会根据当前工程所选的芯片型号链接到对应的头文件, 例如 MSP430G2553 芯片就会链接到 MSP430G2553.h 这些头文件都在 C:\ti\ccsv5\ccs_base\msp430\include 目录下, 其中包含了许多宏定义, 利用这个宏定义可以帮助我们用更直观的方式来写寄存器, 不用每次都把要写的值换算成 16 进制了 例如 MSP430G2553.h 中有如下宏定义 : #define BIT0 (0x0001)

16 #define BIT1 #define BIT2 #define BIT3 #define BIT4 #define BIT5 #define BIT6 #define BIT7 #define BIT8 #define BIT9 #define BITA #define BITB #define BITC #define BITD #define BITE #define BITF (0x0002) (0x0004) (0x0008) (0x0010) (0x0020) (0x0040) (0x0080) (0x0100) (0x0200) (0x0400) (0x0800) (0x1000) (0x2000) (0x4000) (0x8000) 有了 BIT0-BITF 的宏定义, 上面例子中的语句就可以改写为 P1OUT = BIT0; // 按位 或, 相当于置 1 P1OUT &= ~BIT1; // 取反后再按位 与, 相当于置 0 P1OUT ^= BIT2; // 按位 异或, 相当于取反 另外, 也可以用加号对多位同时操作 例如要将 P1.0 P1.1 P1.2 均置 1, 不影响其他位, 可以写 P1OUT = BIT0 + BIT1 + BIT2; 请注意, 采用按位操作并不意味着 MSP430 具备了位操作能力, 按位操作实际上对整个字节 的 8 位都进行了操作, 只不过对其中 7 位的值没影响而已 2) 读位操作 读位操作主要是通过 if 语句判断的方式得到的 同样, 这种变通的方法不意味着 MSP430 单 片机可以对位进行读取, 这种方法同样需要对 1 个字节的 8 位都操作 例 : 将 P2.0 的输出设置成 P1.1 输入相反, 读取 P1.0 输入状态到变量 temp unsigned char temp = 0; if((p1in&bit1) == 0) P2OUT = BIT0; // 读 P1.1 写 P2.0 else P2OUT &= ~BIT0; if(p1in&bit0) temp = 1; // 读 P1.0 写 temp else temp = 0;

17 1.5.3 MSP430 寄存器的宏定义配置方法上一节提到了 MSP430G2553.h 这个头文件, 实际上里面除了 BIT0-BITF 的宏定义之外还有很多的宏定义 这是因为 MSP430 的片上外设极为丰富, 因此也有大量的寄存器来配置功能 MSP430 上有数百个寄存器和近千个控制位, 如果靠记忆这些寄存器的每一位的定义是不现实的, 因此头文件的宏定义能帮助我们以更直观的方式来写程序 例如下图中给出了 BCSCTL2 寄存器中 DIVMx 控制位的位置, 下方代码中给出了 MSP430 头文件中两种 DIVMx 的宏定义, 即 DIVM0/1 和 DIVM_0/1/2/3 图中 rw-0 表示该位可读可写, 复位后初始值为 0 #define DIVM_0 (0x00) /* MCLK Divider 0: /1 */ #define DIVM_1 (0x10) /* MCLK Divider 1: /2 */ #define DIVM_2 (0x20) /* MCLK Divider 2: /4 */ #define DIVM_3 (0x30) /* MCLK Divider 3: /8 */ 这个 8 位寄存器中的 DIVMx 是用来控制 MCLK 的分频系数的, 分频系数依次为 1/2/4/8 例 如我们要设置 MCLK 的时钟 8 分频, 下列 3 条代码均可实现该功能 : BCSCTL2 = 0x30; BCSCTL2 = BIT5 + BIT4; BCSCTL2 = DIVM_3; // 人脑记忆 + 数脚趾头, 最笨的方式 // 需记忆 DIVMx 在寄存器中的位置, 不推荐 // 简洁明了, 力荐

18 参考文献 1. 从零开启大学生电子设计之路-- 基于 MSP430 LaunchPad 口袋实验平台, 杨艳, 傅强编著, 北京航空航天大学出版社,2014 年 8 月第 1 版 2. MSP Design Workshop by Texas Instruments, Version 4.60, May 2016, 3. Getting Started with the MSP430G2553 Value-Line LaunchPad Workshop by Texas Instruments, Version 2.22, July 2013, Line_LaunchPad_Workshop 4. MSP430G2553 datasheet (Rev.J), May 2013, mber=slas735j&doccategoryid=2&familyid= MSP430x2xx Family User's Guide, SLAU144J, 6. MSP-EXP430G2 LaunchPad Evaluation Kit User's Guide, SLAU318G, March 2016,

Microsoft Word - MSP430 Launchpad 指导书.docx

Microsoft Word - MSP430 Launchpad 指导书.docx Contents 3... 9... 14 MSP430 LAUNCHPAD 指导书 3 第一部分第一个工程 New Project File > New > CCS Project Project name: ButtonLED Device>Family: MSP430 Variant: MSP430G2553 Project templates and examples : Empty Project

More information

EK-STM32F

EK-STM32F STMEVKIT-STM32F10xx8 软 件 开 发 入 门 指 南 目 录 1 EWARM 安 装... 1 1.1 第 一 步 : 在 线 注 册... 1 1.2 第 二 步 : 下 载 软 件... 2 1.3 第 三 步 : 安 装 EWARM... 3 2 基 于 STMEVKIT-STM32F10xx8 的 示 例 代 码 运 行... 6 2.1 GPIO Demo... 6 2.2

More information

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File 51 C 51 51 C C C C C C * 2003-3-30 pnzwzw@163.com C C C C KEIL uvision2 MCS51 PLM C VC++ 51 KEIL51 KEIL51 KEIL51 KEIL 2K DEMO C KEIL KEIL51 P 1 1 1 1-1 - 1 Project New Project 1 2 Windows 1 3 N C test

More information

目 录

目 录 1 Quick51...1 1.1 SmartSOPC Quick51...1 1.2 Quick51...1 1.3 Quick51...2 2 Keil C51 Quick51...4 2.1 Keil C51...4 2.2 Keil C51...4 2.3 1 Keil C51...4 2.4 Flash Magic...9 2.5 ISP...9 2.6...10 2.7 Keil C51...12

More information

学习MSP430单片机推荐参考书

学习MSP430单片机推荐参考书 MSP430 16 MSP430 C MSP430 C MSP430 FLASH 16 1 CPU 16 ALU 16 PC SP SR R4~R15 2 3 00-FFH 100-1FFH 4 5 1 2 51 24 27 6 1 2 3 4 5 6 4 12 SR SP SR CPU SR CPU C Z N GIE CPUOff CPU OscOff SCG0 SCG1 CPU EXIT SP

More information

第 1 章 MSP430 快速入门 因为最近转入 MPS430 的技术支持工作, 所以现在开始学习 430 的开发 由于之前用过 51, 也用过 TI 的 ARM CORTEX-M3, 但是就是没有用过 TI 的 430, 所以将 我学习 430 的过程写出来, 给像我一样之前没有 430 开发经验

第 1 章 MSP430 快速入门 因为最近转入 MPS430 的技术支持工作, 所以现在开始学习 430 的开发 由于之前用过 51, 也用过 TI 的 ARM CORTEX-M3, 但是就是没有用过 TI 的 430, 所以将 我学习 430 的过程写出来, 给像我一样之前没有 430 开发经验 目录 第 1 章 MSP430 快速入门...1 1.1 准备工作... 1 1.2 MSP430 的时钟系统... 3 1.3 DCO 时钟校准...3 1.4 硬件最小系统...4 1.5 在 IAR 下新建工程...4 i/11 第 1 章 MSP430 快速入门 因为最近转入 MPS430 的技术支持工作, 所以现在开始学习 430 的开发 由于之前用过 51, 也用过 TI 的 ARM CORTEX-M3,

More information

ICD ICD ICD ICD ICD

ICD ICD ICD ICD ICD MPLAB ICD2 MPLAB ICD2 PIC MPLAB-IDE V6.0 ICD2 usb PC RS232 MPLAB IDE PC PC 2.0 5.5V LED EEDATA MPLAB ICD2 Microchip MPLAB-IDE v6.0 Windows 95/98 Windows NT Windows 2000 www.elc-mcu.com 1 ICD2...4 1.1 ICD2...4

More information

51 C 51 isp 10 C PCB C C C C KEIL

51 C 51 isp 10   C   PCB C C C C KEIL http://wwwispdowncom 51 C " + + " 51 AT89S51 In-System-Programming ISP 10 io 244 CPLD ATMEL PIC CPLD/FPGA ARM9 ISP http://wwwispdowncom/showoneproductasp?productid=15 51 C C C C C ispdown http://wwwispdowncom

More information

Oracle Solaris Studio makefile C C++ Fortran IDE Solaris Linux C/C++/Fortran IDE "Project Properties" IDE makefile 1.

Oracle Solaris Studio makefile C C++ Fortran IDE Solaris Linux C/C++/Fortran IDE Project Properties IDE makefile 1. Oracle Solaris Studio 12.2 IDE 2010 9 2 8 9 10 11 13 20 26 28 30 32 33 Oracle Solaris Studio makefile C C++ Fortran IDE Solaris Linux C/C++/Fortran IDE "Project Properties" IDE makefile 1. "File" > "New

More information

epub83-1

epub83-1 C++Builder 1 C + + B u i l d e r C + + B u i l d e r C + + B u i l d e r C + + B u i l d e r 1.1 1.1.1 1-1 1. 1-1 1 2. 1-1 2 A c c e s s P a r a d o x Visual FoxPro 3. / C / S 2 C + + B u i l d e r / C

More information

AL-M200 Series

AL-M200 Series NPD4754-00 TC ( ) Windows 7 1. [Start ( )] [Control Panel ()] [Network and Internet ( )] 2. [Network and Sharing Center ( )] 3. [Change adapter settings ( )] 4. 3 Windows XP 1. [Start ( )] [Control Panel

More information

目 錄 版 次 變 更 記 錄... 2 原 始 程 式 碼 類 型 之 使 用 手 冊... 3 一 安 裝 軟 體 套 件 事 前 準 備... 3 二 編 譯 流 程 說 明... 25 1

目 錄 版 次 變 更 記 錄... 2 原 始 程 式 碼 類 型 之 使 用 手 冊... 3 一 安 裝 軟 體 套 件 事 前 準 備... 3 二 編 譯 流 程 說 明... 25 1 科 技 部 自 由 軟 體 專 案 原 始 程 式 碼 使 用 手 冊 Source Code Manual of NSC Open Source Project 可 信 賴 的 App 安 全 應 用 框 架 -App 應 用 服 務 可 移 轉 性 驗 證 Trusted App Framework -Transferability Verification on App MOST 102-2218-E-011-012

More information

C语言的应用.PDF

C语言的应用.PDF AVR C 9 1 AVR C IAR C, *.HEX, C,,! C, > 9.1 AVR C MCU,, AVR?! IAR AVR / IAR 32 ALU 1KBytes - 8MBytes (SPM ) 16 MBytes C C *var1, *var2; *var1++ = *--var2; AVR C 9 2 LD R16,-X ST Z+,R16 Auto (local

More information

audiogram3 Owners Manual

audiogram3 Owners Manual USB AUDIO INTERFACE ZH 2 AUDIOGRAM 3 ( ) * Yamaha USB Yamaha USB ( ) ( ) USB Yamaha (5)-10 1/2 AUDIOGRAM 3 3 MIC / INST (XLR ) (IEC60268 ): 1 2 (+) 3 (-) 2 1 3 Yamaha USB Yamaha Yamaha Steinberg Media

More information

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0 SH79F6431 1. SH79F6431 1T 8051 FLASH SH79F JET51 Keil µ vision JTAG 1.1. SH79F6431 LQFP64 1.2. (Target Board) SH79F6431 1 V 1.0 1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F6431 1 2 1 B. 1(VCC/GND)

More information

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor 1 4.1.1.1 (Load) 14 1.1 1 4.1.1.2 (Save) 14 1.1.1 1 4.1.2 (Buffer) 16 1.1.2 1 4.1.3 (Device) 16 1.1.3 1 4.1.3.1 (Select Device) 16 2 4.1.3.2 (Device Info) 16 2.1 2 4.1.3.3 (Adapter) 17 2.1.1 CD-ROM 2 4.1.4

More information

Chapter 2

Chapter 2 2 (Setup) ETAP PowerStation ETAP ETAP PowerStation PowerStation PowerPlot ODBC SQL Server Oracle SQL Server Oracle Windows SQL Server Oracle PowerStation PowerStation PowerStation PowerStation ETAP PowerStation

More information

C PICC C++ C++ C C #include<pic.h> C static volatile unsigned char 0x01; static volatile unsigned char 0x02; static volatile unsigned cha

C PICC C++ C++ C C #include<pic.h> C static volatile unsigned char 0x01; static volatile unsigned char 0x02; static volatile unsigned cha CYPOK CYPOK 1 UltraEdit Project-->Install Language Tool: Language Suite----->hi-tech picc Tool Name ---->PICC Compiler Executable ---->c:hi-picinpicc.exe ( Command-line Project-->New Project-->File Name--->myc

More information

USB解决方案.ppt

USB解决方案.ppt USB USB? RS232 USB USB HID U modem ADSL cable modem IrDA Silabs USB CP210x USB UART USB RS-232 USB MCU 15 USB 12 FLASH MCU 3 USB MCU USB MCU C8051F32x 10 ADC 1.5%, Vref CPU 25MIPS 8051 16KB Flash -AMUX

More information

手册 doc

手册 doc 1. 2. 3. 3.1 3.2 3.3 SD 3.4 3.5 SD 3.6 3.7 4. 4.1 4.2 4.3 SD 4.4 5. 5.1 5.2 5.3 SD 6. 1. 1~3 ( ) 320x240~704x288 66 (2G SD 320x2401FPS ) 32M~2G SD SD SD SD 24V DC 3W( ) -10~70 10~90% 154x44x144mm 2. DVR106

More information

untitled

untitled niosii H:\DB2005\project\niosDK\Example\NiosSmall QuartusII4.2 File -> New Project Wizard Diectory,Name,Top-Level Entity Add Files EDA Tools Setting Finish, OK H:\DB2005\project\niosDK\Example\NiosSmall

More information

201406002+大学计算机基础B.doc

201406002+大学计算机基础B.doc 目 录. 大 学 计 算 机 基 础 B( 非 独 立 设 课 ).... 计 算 机 操 作 基 础 ( 独 立 设 课 )...3 3. 程 序 设 计 基 础 ( 非 独 立 设 课 )...5 4. 面 向 对 象 程 序 设 计 ( 非 独 立 设 课 )...8 5. 数 据 库 原 理 ( 非 独 立 设 课 )...0 6. 算 法 设 计 与 分 析 ( 非 独 立 设 课 )...

More information

一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页

一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页 第 1 页共 32 页 crm Mobile V1.0 for IOS 用户手册 一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页 二 crm Mobile 界面介绍 : 第 3 页共 32 页 三 新建 (New) 功能使用说明 1 选择产品 第 4 页共 32 页 2 填写问题的简要描述和详细描述 第 5 页共

More information

言1.PDF

言1.PDF MSP430 WINDOWS WORKBENCH MSP430 Flash Green MCU Flash Flash MCU MSP430 16 RISC 27 125ns 1.8V~3.6V A/D 6 s MSP430 10 ESD MSP430 MSP430 10 MSP430 2001 MSP430 Windows Workbench Interface Guide Windows Workbench

More information

Microsoft Word zw

Microsoft Word zw 第 1 章 Android 概述 学习目标 : Android Android Android Studio Android Android APK 1.1 1. 智能手机的定义 Smartphone 2. 智能手机的发展 1973 4 3 PC IBM 1994 IBM Simon PDA PDA Zaurus OS 1996 Nokia 9000 Communicator Nokia 9000

More information

WinMDI 28

WinMDI 28 WinMDI WinMDI 2 Region Gate Marker Quadrant Excel FACScan IBM-PC MO WinMDI WinMDI IBM-PC Dr. Joseph Trotter the Scripps Research Institute WinMDI HP PC WinMDI WinMDI PC MS WORD, PowerPoint, Excel, LOTUS

More information

Oracle Oracle Solaris Studio IDE makefile C C++ Fortran makefile IDE Solaris Linux C/C++/Fortran Oracle IDE "P

Oracle Oracle Solaris Studio IDE makefile C C++ Fortran makefile IDE Solaris Linux C/C++/Fortran Oracle IDE P Oracle Solaris Studio 12.3 IDE 2011 12 E26461-01 2 7 8 9 9 Oracle 10 12 14 21 26 27 29 31 32 33 Oracle Solaris Studio IDE makefile C C++ Fortran makefile IDE Solaris Linux C/C++/Fortran Oracle IDE "Project

More information

NT 4

NT 4 NT 4.0 Windows 2003 : Microsoft Windows NT Server 4.0 2004 12 31 Microsoft Windows 2003 Microsoft Windows Server 2003 : 1. 2. 3. 4. Total Cost of Ownership 5. 6. 7. XML Web Services Microsoft Certified

More information

NEXT SDT2.51 C:\ARM251 SDT2.51 ARM SDT 2.51 ARM PROJECT MANAGER SDT 2

NEXT SDT2.51 C:\ARM251 SDT2.51 ARM SDT 2.51 ARM PROJECT MANAGER SDT 2 S3C44B0 SDT DRAGNBOY MICROSTAR ARM 51 ARM S3C44B0 ARM SDT2.51 IAR ADS SDT2.51 S3C44B0 LEDTEST SDT ARM 1 2 SDT embed.8800.org SDT2.51 SDT2.51 ARM ARM CPU ARM SDT ADS ADS MULTI-ICE SDT JTAG JTAG SDT SDT2.51

More information

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input 06 6 6 HR7PPSC HR7PPSD SOP SOP6 +input 06 6 +input 06 6 6 6 HR7PERB SSOP0 7+input 06 6 6 HR7PESC

More information

ARM JTAG实时仿真器安装使用指南

ARM JTAG实时仿真器安装使用指南 ARM JTAG Version 1.31 2003. 11. 12 ARM JTAG ARM JTAG.3 ARM 2.1.4 2.2.4 ARM JTAG 3.1 18 3.2 18 3.2.1 Multi-ICE Server.18 3.2.2 ADS..21 ARM JTAG 4.1 Multi-ICE Server 33 4.1.1 Multi-ICE Server..... 33 4.1.2

More information

目次 

目次  軟 體 工 程 期 末 報 告 網 路 麻 將 91703014 資 科 三 黃 偉 嘉 91703024 資 科 三 丘 祐 瑋 91703030 資 科 三 江 致 廣 1 目 次 壹 前 言 (Preface) P.4 貳 計 畫 簡 述 及 預 期 效 益 (Project Description and Expected Results) P.4 參 系 統 開 發 需 求 (System

More information

2/14 Buffer I12, /* x=2, buffer = I 1 2 */ Buffer I243, /* x=34, buffer = I 2 43 */ x=56, buffer = I243 Buffer I243I265 code_int(int x, char *buffer)

2/14 Buffer I12, /* x=2, buffer = I 1 2 */ Buffer I243, /* x=34, buffer = I 2 43 */ x=56, buffer = I243 Buffer I243I265 code_int(int x, char *buffer) 1/14 IBM Rational Test RealTime IBM, 2004 7 01 50% IBM Rational Test RealTime IBM Rational Test RealTime 1. 50% IBM Rational Test RealTime IBM Rational Test RealTime 2. IBM Rational Test RealTime Test

More information

目录

目录 ALTERA_CPLD... 3 11SY_03091... 3 12SY_03091...4....5 21 5 22...8 23..10 24..12 25..13..17 3 1EPM7128SLC.......17 3 2EPM7032SLC.......18 33HT46R47......19..20 41..20 42. 43..26..27 5151DEMO I/O...27 52A/D89C51...28

More information

Using STM32 Software Library

Using STM32 Software Library 如何使用 STM32 的软件库在 IAR 的 EWARM 下 进行应用开发 上海 步骤一 : 创建项目目录, 拷贝公共文件 1 将 STM32 软件库中 FWlib 目录中的 library 目录拷贝到所建项目的目录中 2 将软件库的 Examples 目录里的任一例程的 stm32f10x_conf.h stm32f10x_it.c stm32f10x_it.h 和 main.c 拷贝到项目的目录中

More information

ebook140-9

ebook140-9 9 VPN VPN Novell BorderManager Windows NT PPTP V P N L A V P N V N P I n t e r n e t V P N 9.1 V P N Windows 98 Windows PPTP VPN Novell BorderManager T M I P s e c Wi n d o w s I n t e r n e t I S P I

More information

Cadence SPB 15.2 VOICE Cadence SPB 15.2 PC Cadence 3 (1) CD1 1of 2 (2) CD2 2of 2 (3) CD3 Concept HDL 1of 1

Cadence SPB 15.2 VOICE Cadence SPB 15.2 PC Cadence 3 (1) CD1 1of 2 (2) CD2 2of 2 (3) CD3 Concept HDL 1of 1 Cadence SPB 15.2 VOICE 2005-05-07 Cadence SPB 15.2 PC Cadence 3 (1) CD1 1of 2 (2) CD2 2of 2 (3) CD3 Concept HDL 1of 1 1 1.1 Cadence SPB 15.2 2 Microsoft 1.1.1 Windows 2000 1.1.2 Windows XP Pro Windows

More information

Microsoft Word - AVR32 UC3 isp下载.doc

Microsoft Word - AVR32 UC3 isp下载.doc AVR32 UC3 ISP 下载 2008 年 4 月 1 AVR32 UC3 系列控制器在出厂时在内部 Flash 里已经固化了一个 USB DFU bootloader, 可以通过 AVR32 UC3 系列控制器的 USB 接口, 利用内部固化的 USB bootloader 进行 ISP(In-System Programming) 下载 一 Bootloader 环境 图 1 Bootloader

More information

untitled

untitled 2006 6 Geoframe Geoframe 4.0.3 Geoframe 1.2 1 Project Manager Project Management Create a new project Create a new project ( ) OK storage setting OK (Create charisma project extension) NO OK 2 Edit project

More information

Microsoft Word - CX1000-HMI_程序开发_PLC通讯

Microsoft Word - CX1000-HMI_程序开发_PLC通讯 用 VB.Net 开发 CX1000 的 HMI 第二部分和 TwinCAT PLC 通讯 一 TwinCAT 动态库 TwinCAT.Ads.dll The TwinCAT.Ads.dll 是一个.NET 类库, 它提供和 ADS 设备通讯的类 如果 TwinCAT PLC 运行在 IPC 上, 则需要添加的类库是路径 \TwinCAT\ADS Api\.NET\v1.1.4322 下的 TwinCAT.Ads.dll

More information

Microsoft Word - MAN2011A_CH_RTT.doc

Microsoft Word - MAN2011A_CH_RTT.doc 基于 SAM7X EK 和 IAR EWARM 文档编号 文档版本 Rev. A 文档摘要 基于 AT91SAM7X EK 开发板的代码解读,RTT 代码解读 关键词 AT91SAM7X256 SAM7X EK IAR EWARM J LINK RTT 创建日期 2010 06 08 创建人员 Cust126 审核人员 Robin 文档类型 公开发布 / 开发板配套文件 版权信息 Mcuzone 原创文档,

More information

6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C C C51 C51 ANSI C MCS-51 C51 ANSI C C C51 bit Byte bit sbit

6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C C C51 C51 ANSI C MCS-51 C51 ANSI C C C51 bit Byte bit sbit 6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C51 6.1 C51 6.1.1 C51 C51 ANSI C MCS-51 C51 ANSI C C51 6.1 6.1 C51 bit Byte bit sbit 1 0 1 unsigned char 8 1 0 255 Signed char 8 11 128

More information

Converting image (bmp/jpg) file into binary format

Converting image (bmp/jpg) file into binary format RAiO Image Tool 操作说明 Version 1.0 July 26, 2016 RAiO Technology Inc. Copyright RAiO Technology Inc. 2013 RAiO TECHNOLOGY INC. www.raio.com.tw Revise History Version Date Description 0.1 September 01, 2014

More information

PIC16F F MPLAB 08 16F LED 15 LED

PIC16F F MPLAB 08 16F LED 15 LED PIC16F877 PIC16F877 03 16F877 05 06 MPLAB 08 16F877 13 LED 15 LED 17 20 24 2 PIC16F877 PIC16F877 DIP VDD VSS CLOCK CPU :,AND,OR,XOR ROM: CPU ROM RAM: CPU,CPU I/O:CPU, CPU,, 16F877 RAM 512 128 Bank Bank

More information

KT-SOPCx开发套件简明教程

KT-SOPCx开发套件简明教程 V2.03 2005-9-1 FPGA SOC FPGA/SOPC IT QuartusII NiosII IDE FPGA/SOPC FPGA/SOPC FPGA/SOPC CT-SOPCx FPGA/SOPC CPLD/FPGA www.fpga.com.cn CPLD/FPGA FPGA QuartusII NiosII CPU SOPC SOPC Builder NiosII IDE 1 www.21control.com

More information

JLX

JLX PRODUCT:LCD MODULE. Model No.: JLX177-006 Product Type: 1.77 inch QVGA TFT Modoule. 产品规格书 晶联讯研发研发部 : Written By Checked By Approved By 客户名称 : 结构电子核准 地址 : 深圳市宝安区西乡宝安大道东华工业区 A3 栋 6 楼电话 :0755-29784961 Http://www.jlxlcd.cn

More information

MSP430单片机简介

MSP430单片机简介 . September 14, 2012 . 1 简介 MSP430 单片机特点超低功耗 2 MSP430 时钟系统 3 MSP430 的端口 4 定时器看门狗定时器定时器 A 5 示例 MSP430 单片机特点. 简介 MSP430 单片机是美国德州仪器 (TI) 公司 1996 年开始推向市场的一种 16 位超低功耗 具有精简指令集的混合信号处理器 它将多个不同功能的模拟电路 数字电路模块和微处理器集成在了一个芯片上

More information

基于UML建模的管理管理信息系统项目案例导航——VB篇

基于UML建模的管理管理信息系统项目案例导航——VB篇 PowerBuilder 8.0 PowerBuilder 8.0 12 PowerBuilder 8.0 PowerScript PowerBuilder CIP PowerBuilder 8.0 /. 2004 21 ISBN 7-03-014600-X.P.. -,PowerBuilder 8.0 - -.TP311.56 CIP 2004 117494 / / 16 100717 http://www.sciencep.com

More information

MSP430 Launchpad 指导书

MSP430 Launchpad 指导书 MSP430 Launchpad 指导书 徐珺 2013.12 Contents 第一部分第一个工程... 3 第二部分中断和计时器... 10 第三部分 UART... 16 MSP430 LAUNCHPAD 指导书 3 第一部分第一个工程 在这一部分中, 我们将介绍 CCS 集成开发环境的一些基本 使用方法, 并试着编写一个简单的小程序, 实现按键打开 LED TI 的 Launchpad 板本身是完整的

More information

专注于做最好的嵌入式计算机系统供应商

专注于做最好的嵌入式计算机系统供应商 专注于做最好的嵌入式计算机系统供应商 基于 ARMSYS2440/2410 开发应用程序 (EVC&VS2005) Rev 1.0 2008 年 3 月 24 日 杭州立宇泰电子有限公司 HangZhou LiYuTai Elec.Co.,Ltd 开发应用程序的过程一般分两步, 第一步在 PC 机开发环境下设计和编译应用程序, 第二步将它下载到 目标系统, 也就是我们的 ARMSYS2440 平台上运行

More information

SDK 概要 使用 Maven 的用户可以从 Maven 库中搜索 "odps-sdk" 获取不同版本的 Java SDK: 包名 odps-sdk-core odps-sdk-commons odps-sdk-udf odps-sdk-mapred odps-sdk-graph 描述 ODPS 基

SDK 概要 使用 Maven 的用户可以从 Maven 库中搜索 odps-sdk 获取不同版本的 Java SDK: 包名 odps-sdk-core odps-sdk-commons odps-sdk-udf odps-sdk-mapred odps-sdk-graph 描述 ODPS 基 开放数据处理服务 ODPS SDK SDK 概要 使用 Maven 的用户可以从 Maven 库中搜索 "odps-sdk" 获取不同版本的 Java SDK: 包名 odps-sdk-core odps-sdk-commons odps-sdk-udf odps-sdk-mapred odps-sdk-graph 描述 ODPS 基础功能的主体接口, 搜索关键词 "odpssdk-core" 一些

More information

TPM BIOS Infineon TPM Smart TPM Infineon TPM Smart TPM TPM Smart TPM TPM Advanced Mode...8

TPM BIOS Infineon TPM Smart TPM Infineon TPM Smart TPM TPM Smart TPM TPM Advanced Mode...8 Smart TPM Rev. 1001 Smart TPM Ultra TPM Smart TPM TPM...3 1. BIOS... 3 2. Infineon TPM Smart TPM... 4 2.1. Infineon TPM...4 2.2. Smart TPM...4 3. TPM... 5 3.1. Smart TPM TPM...5 3.2. Advanced Mode...8

More information

USB - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - DES Module FSM CONTROLLER 8 6 8 Key ROM 8 8 Data_in RAM Data_out RAM 8 USB Board - 8 - - 9 - - 10 - - 11 - - 12 - USB device INF Windows INF Device Function

More information

F515_CS_Book.book

F515_CS_Book.book /USB , ( ) / L R 1 > > > 2, / 3 L 1 > > > 2 + - 3, 4 L 1 了解显示屏上显示的图标 Wap 信箱收到一条 Wap push 信息 ( ) GSM 手机已连接到 GSM 网络 指示条越多, 接收质量越好 2 ...........................4.............................. 4 Micro SD (

More information

1 SQL Server 2005 SQL Server Microsoft Windows Server 2003NTFS NTFS SQL Server 2000 Randy Dyess DBA SQL Server SQL Server DBA SQL Server SQL Se

1 SQL Server 2005 SQL Server Microsoft Windows Server 2003NTFS NTFS SQL Server 2000 Randy Dyess DBA SQL Server SQL Server DBA SQL Server SQL Se 1 SQL Server 2005 DBA Microsoft SQL Server SQL ServerSQL Server SQL Server SQL Server SQL Server SQL Server 2005 SQL Server 2005 SQL Server 2005 o o o SQL Server 2005 1 SQL Server 2005... 3 2 SQL Server

More information

Spyder Anaconda Spyder Python Spyder Python Spyder Spyder Spyder 開始 \ 所有程式 \ Anaconda3 (64-bit) \ Spyder Spyder IPython Python IPython Sp

Spyder Anaconda Spyder Python Spyder Python Spyder Spyder Spyder 開始 \ 所有程式 \ Anaconda3 (64-bit) \ Spyder Spyder IPython Python IPython Sp 01 1.6 Spyder Anaconda Spyder Python Spyder Python Spyder Spyder 1.6.1 Spyder 開始 \ 所有程式 \ Anaconda3 (64-bit) \ Spyder Spyder IPython Python IPython Spyder Python File

More information

LSI U320 SCSI卡用户手册.doc

LSI U320 SCSI卡用户手册.doc V1.0 Ultra320 SCSI SCSI 2004 7 PentiumIntel MS-DOS Windows Novell Netware Novell Sco Unix Santa Cruz Operation LSI U320 SCSI SCSI SCSI Integrated Mirroring/Integrated Striping BIOS Firmware LSI U320 SCSI

More information

ebook111-4

ebook111-4 Flash 4 Flash 4 F l a s h 5 Flash 4 Flash Flash 4 Flash 4 Flash 4 4.1 Flash 4 Flash 4 Flash 4 Flash Flash 4 Flash 4 4.2 Flash 4 Flash 4 A Flash 4 S h i f t F i l e P r e f e r e n c e s > > Flash 4 Flash

More information

DVK530/531扩展板

DVK530/531扩展板 DVK720 扩展板 驱动移植手册 2014.04.03 V1.0 版权声明 本手册所有权由深圳市微雪电子有限公司独家持有 未经本公司的书 面许可, 不得以任何方式或形式进行修改 分发或复制本文档的任何 部分, 否则一切后果由违者自负 版本更新记录 版本日期说明 V1.0 2014.04.03 初始发布 深圳市微雪电子有限公司 www.waveshare.net I 目录 版权声明... I 版本更新记录...

More information

目 录 如 何 阅 读 本 说 明 书! 感 谢 您 惠 购 先 锋 产 品 请 务 必 阅 读 本 册 子 和 本 机 附 带 的 使 用 说 明 书 ( 基 本 版 ) 它 们 都 包 含 使 用 本 产 品 之 前 必 须 充 分 了 解 的 重 要 信 息! 在 本 说 明 书 中, 产 品

目 录 如 何 阅 读 本 说 明 书! 感 谢 您 惠 购 先 锋 产 品 请 务 必 阅 读 本 册 子 和 本 机 附 带 的 使 用 说 明 书 ( 基 本 版 ) 它 们 都 包 含 使 用 本 产 品 之 前 必 须 充 分 了 解 的 重 要 信 息! 在 本 说 明 书 中, 产 品 DDJ-SP http://pioneerdj.com/support/ http://serato.com/ 目 录 如 何 阅 读 本 说 明 书! 感 谢 您 惠 购 先 锋 产 品 请 务 必 阅 读 本 册 子 和 本 机 附 带 的 使 用 说 明 书 ( 基 本 版 ) 它 们 都 包 含 使 用 本 产 品 之 前 必 须 充 分 了 解 的 重 要 信 息! 在 本 说 明 书 中,

More information

Microsoft Word - template.doc

Microsoft Word - template.doc HGC efax Service User Guide I. Getting Started Page 1 II. Fax Forward Page 2 4 III. Web Viewing Page 5 7 IV. General Management Page 8 12 V. Help Desk Page 13 VI. Logout Page 13 Page 0 I. Getting Started

More information

典型自编教材

典型自编教材 河 南 科 技 大 学 计 算 机 实 验 教 学 中 心 1. 计 算 机 文 化 基 础 实 验 指 导 书 2. 数 据 结 构 实 验 指 导 书 3. 操 作 系 统 实 验 指 导 书 4. 面 向 对 象 程 序 设 计 实 验 指 导 书 5. 数 据 库 原 理 实 验 指 导 书 6. 编 译 原 理 实 验 指 导 书 7. JAVA 程 序 设 计 实 验 指 导 书 8.

More information

RUN_PC連載_12_.doc

RUN_PC連載_12_.doc PowerBuilder 8 (12) PowerBuilder 8.0 PowerBuilder PowerBuilder 8 PowerBuilder 8 / IDE PowerBuilder PowerBuilder 8.0 PowerBuilder PowerBuilder PowerBuilder PowerBuilder 8.0 PowerBuilder 6 PowerBuilder 7

More information

會 議 紀 錄 各 業 務 部 門 工 作 報 告 第 10 屆 第 2 次 定 期 大 會 教 育 委 員 會 第 1 次 工 作 報 告 會 議 紀 錄 時 間 : 中 華 民 國 96 年 10 月 2 日 ( 星 期 二 ) 上 午 10 時 16 分 至 12 時 35 分 地 點 : 本

會 議 紀 錄 各 業 務 部 門 工 作 報 告 第 10 屆 第 2 次 定 期 大 會 教 育 委 員 會 第 1 次 工 作 報 告 會 議 紀 錄 時 間 : 中 華 民 國 96 年 10 月 2 日 ( 星 期 二 ) 上 午 10 時 16 分 至 12 時 35 分 地 點 : 本 \/\/\/\/\/\/\/\/\/\/\/\/\/\/\/ \/\/\/\/\/\/\/\/\/\/\/\/\/\/\/ \ \/\/\/\/\/\/\/\/\/\/\/\/\/\/\/ \/\/\/\/\/\/\/\/\/\/\/\/\/\/\/ \ 要 目 會 議 紀 錄 ( 各 業 務 部 門 工 作 報 告 ) 第 10 屆 第 2 次 定 期 大 會 教 育 部 門 工 作 報 告 會

More information

res/layout 目录下的 main.xml 源码 : <?xml version="1.0" encoding="utf 8"?> <TabHost android:layout_height="fill_parent" xml

res/layout 目录下的 main.xml 源码 : <?xml version=1.0 encoding=utf 8?> <TabHost android:layout_height=fill_parent xml 拓展训练 1- 界面布局 1. 界面布局的重要性做应用程序, 界面是最基本的 Andorid 的界面, 需要写在 res/layout 的 xml 里面, 一般情况下一个 xml 对应一个界面 Android 界面布局有点像写 html( 连注释代码的方式都一样 ), 要先给 Android 定框架, 然后再在框架里面放控件,Android 提供了几种框架,AbsoluteLayout,LinearLayout,

More information

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键 官 方 淘 宝 地 址 :http://metech.taobao.com/ MeTech verilog 典 型 例 程 讲 解 V1.0 笔 者 :MeTech 小 芯 技 术 支 持 QQ : 417765928 1026690567 技 术 支 持 QQ 群 :207186911 China AET 讨 论 组 http://group.chinaaet.com/293 笔 者 博 客 :http://blog.csdn.net/ywhfdl

More information

MSP430X1XX 系列微控制器具有以下特征 结构框图 超低功耗结构体系 A 额定工作电流在 1MHz V 工作电压 C11X P11X 和 E11X 为 V 从备用模式唤醒为 6 S 丰富的中断能力减少了查询的需要灵活强大的处理能力源操作数有七种寻址模

MSP430X1XX 系列微控制器具有以下特征 结构框图 超低功耗结构体系 A 额定工作电流在 1MHz V 工作电压 C11X P11X 和 E11X 为 V 从备用模式唤醒为 6 S 丰富的中断能力减少了查询的需要灵活强大的处理能力源操作数有七种寻址模 新一代超低功耗 16 位单片机 TI MSP430 系列 美国 TI 公司的 MSP430 系列单片机可以分为以下几个系列 X1XX X3XX X4XX 等等 而且 在不断发展 从存储器角度 又可分为 ROM C 型 OTP P 型 EPROM E 型 Flash Memory F 型 系列的全部成员均为软件兼容 可以方便地在系列各型号间移植 MSP430 系列单片机 的 MCU 设计成适合各种应用的

More information

bingdian001.com

bingdian001.com TSM12M TSM12 STM8L152C6, STM8L152R8 MSP430F5325 whym1987@126.com! /******************************************************************************* * : TSM12.c * : * : 2013/10/21 * : TSM12, STM8L f(sysclk)

More information

untitled

untitled MeetingPlaza Version6.1 License Package NTT IT 2012 11 20 I 1... 4 1-1 Web...4 1-2 MeetingPlaza...4 1-3...4 1-4...5 2... 6 2-1...6 2-2...7 3... 9 3-1...10 3-2...13 3-3...16 3-3-1... 17 3-3-2... 18 3-3-3...

More information

untitled

untitled 5.1 5.2 5.3 45 45 6 1: http://www.cmbchina.com/ [] 2: http://www.icbc.com.cn [] http://www.icbc.com.cn/view/gerenbanindex/html/index.htm 3 http://www.ccb.com.cn/ [] 4 http://www.abchina.com/ [] 5 63 6

More information

STEP-MXO2 V2硬件手册

STEP-MXO2 V2硬件手册 小脚丫 STEP FPGA STEP 2016/8/28 目录 1. 概述... 2 2.STEP-MXO2 V2 硬件简介 :... 3 2.1 STEP-MXO2 V2 开发板... 3 2.2 FT232 编程器... 3 2.3 FPGA... 3 2.4 供电... 4 2.5 时钟... 4 2.6 七段数码管... 4 2.7 三色 LED... 4 2.8 拨码开关... 4 2.9

More information

WebSphere Studio Application Developer IBM Portal Toolkit... 2/21 1. WebSphere Portal Portal WebSphere Application Server stopserver.bat -configfile..

WebSphere Studio Application Developer IBM Portal Toolkit... 2/21 1. WebSphere Portal Portal WebSphere Application Server stopserver.bat -configfile.. WebSphere Studio Application Developer IBM Portal Toolkit... 1/21 WebSphere Studio Application Developer IBM Portal Toolkit Portlet Doug Phillips (dougep@us.ibm.com),, IBM Developer Technical Support Center

More information

AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING

AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING 前言 - Andrew Payne 目录 1 2 Firefly Basics 3 COMPONENT TOOLBOX 目录 4 RESOURCES 致谢

More information

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe   Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L 1 SH88F516 8051 64K FLASH 1024 EEPROM SH88F516 JET51 S-Lab Keil µ vision ISP51 Keil µ vision ISP51 ISP51 PC RS232 MCU SH88F516 SH88F516 1.1 SH88F516 1.1 SH88F516 SH88Fxx: QFP44, DIP40 RAM Flash E2 ADC

More information

f2.eps

f2.eps 前 言, 目 录 产 品 概 况 1 SICAM PAS SICAM 电 力 自 动 化 系 统 配 置 和 使 用 说 明 配 置 2 操 作 3 实 时 数 据 4 人 机 界 面 5 SINAUT LSA 转 换 器 6 状 态 与 控 制 信 息 A 版 本 号 : 08.03.05 附 录, 索 引 安 全 标 识 由 于 对 设 备 的 特 殊 操 作 往 往 需 要 一 些 特 殊 的

More information

IC芯片自主创新设计实验

IC芯片自主创新设计实验 IC 芯片自主创新设计实验 设计报告 设计题目 : 格雷码计数器芯片设计 设计学生 : 吴东生 ( 集成电路 ) 景国新 ( 固体电子 ) 林道明 ( 集成电路 ) 连维重 ( 集成电路 ) 施望 ( 集成电路 ) 刘锦秀 ( 集成电路 ) 刘中伟 ( 集成电路 ) 李梦宁 ( 集成电路 ) 指导教师 : 阮爱武 杜涛 指导单位 : 电子设计自动化技术 课程组 一 格雷码计数器芯片设计概述 功能描述

More information

目录 利用 CCS5.1 开发 MSP-EXP430G2 LaunchPad 实验板样例程序 MSP-EXP430G2 LaunchPad 实验板简介 概述 安装 MSP-EXP430G2 LaunchPad 实验板结构... 4

目录 利用 CCS5.1 开发 MSP-EXP430G2 LaunchPad 实验板样例程序 MSP-EXP430G2 LaunchPad 实验板简介 概述 安装 MSP-EXP430G2 LaunchPad 实验板结构... 4 CCS5.1 及 MSP430 应用实例研究 利用 CCS5.1 开发 MSP-EXP430G2 LaunchPad 实验板样例程序 成员名单 : 姚文捷提高 0901 班 U200914972 许铖提高 0901 班 U200913784 指导老师 : 左芷蘅提高 0901 班 U200913637 汪小燕 美国德州仪器半导体技术上海 ( 有限 ) 公司 华中科技大学 Analog&MSP430

More information

Symantec™ Sygate Enterprise Protection 防护代理安装使用指南

Symantec™ Sygate Enterprise Protection 防护代理安装使用指南 Symantec Sygate Enterprise Protection 防 护 代 理 安 装 使 用 指 南 5.1 版 版 权 信 息 Copyright 2005 Symantec Corporation. 2005 年 Symantec Corporation 版 权 所 有 All rights reserved. 保 留 所 有 权 利 Symantec Symantec 徽 标 Sygate

More information

Microsoft Word - Codewarrior IDE快速入门.docx

Microsoft Word - Codewarrior IDE快速入门.docx Codewarrior IDE 快速入门 本章我们以 Codewarrior HC08 V3.1 为例, 创建一个工程, 编辑源文件, 编译后进行调试 1 创建新项目 打开 codewarrior IDE 后, 出现如图 2.1 的界面 在 File 菜单中选择 New 图 2.1 codewarrior 在弹出的新窗口中, 选择 HC(S)08 New Project Wizard, 在 Project

More information

Guide to Install SATA Hard Disks

Guide to Install SATA Hard Disks SATA RAID 1. SATA. 2 1.1 SATA. 2 1.2 SATA 2 2. RAID (RAID 0 / RAID 1 / JBOD).. 4 2.1 RAID. 4 2.2 RAID 5 2.3 RAID 0 6 2.4 RAID 1.. 10 2.5 JBOD.. 16 3. Windows 2000 / Windows XP 20 1. SATA 1.1 SATA Serial

More information

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC LCD PIC 单片机解决方案 集成 LCD 驱动器模块的 PIC 单片机 www.microchip.com/lcd ,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC,

More information

<4D F736F F D203034CAB5D1E9CBC D20B5C4494F20BDD3BFDACAB5D1E92E646F63>

<4D F736F F D203034CAB5D1E9CBC D20B5C4494F20BDD3BFDACAB5D1E92E646F63> 实验四 ARM 的 I/O 接口实验 一 实验目的 1. 了解 S3C44B0X 的通用 I/O 接口 2. 掌握 I/0 功能的复用并熟练的配置, 进行编程实验二 实验设备 1. EL-ARM-830 教学实验箱,PentiumII 以上的 PC 机, 仿真器电缆 2. PC 操作系统 WIN98 或 WIN2000 或 WINXP,ARM SDT2.5 或 ADS1.2 集成开发环境, 仿真器驱动程序

More information

Ioncube Php Encoder 8 3 Crack 4. llamaba octobre traslado General Search colony

Ioncube Php Encoder 8 3 Crack 4. llamaba octobre traslado General Search colony Ioncube Php Encoder 8 3 Crack 4 ->>->>->> DOWNLOAD 1 / 5 2 / 5 Press..the..General..Tools..category4Encrypt..and..protect..files..with..PHP..encoding,..encryption,..ob fuscation..and..licensing... 2016

More information

PCM-3386用户手册.doc

PCM-3386用户手册.doc PCM-3386 BBPC-4x86 10/100M PC/104 (Lanry technology Co. Ltd. Zhuhai) 38 1012836 (Address: Room 1012,Linhai Building,No. 38,west of Shihua Road,Zhuhai City,Guangdong Province,China) (post code)519015 (phone)0756-3366659

More information

Microsoft Word - SAM-BA.doc

Microsoft Word - SAM-BA.doc ATMEL SAM-BA and SAM-PROG 用户手册 译者 :www.mcuzone.com 版本 :VER1.0 日期 :2005-08 SAM-BA and SAM-PROG: Atmel's Flasher Tools 术语 : SAM-BA GUI(SAM-BA 图形用户界面 ) 和 SAM-PROG 为 PC 端应用程序 SAM-BA BOOT 为固化于微控制器端的应用程序 SAM-BA

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

IP505SM_manual_cn.doc

IP505SM_manual_cn.doc IP505SM 1 Introduction 1...4...4...4...5 LAN...5...5...6...6...7 LED...7...7 2...9...9...9 3...11...11...12...12...12...14...18 LAN...19 DHCP...20...21 4 PC...22...22 Windows...22 TCP/IP -...22 TCP/IP

More information

// HDevelopTemplateWPF projects located under %HALCONEXAMPLES%\c# using System; using HalconDotNet; public partial class HDevelopExport public HTuple

// HDevelopTemplateWPF projects located under %HALCONEXAMPLES%\c# using System; using HalconDotNet; public partial class HDevelopExport public HTuple halcon 与 C# 混合编程之 Halcon 代码调用 写在前面 完成 halcon 与 C# 混合编程的环境配置后, 进行界面布局设计构思每一个按钮所需要实现 的功能, 将 Halcon 导出的代码复制至相应的 C# 模块下即可 halcon 源程序 : dev_open_window(0, 0, 512, 512, 'black', WindowHandle) read_image (Image,

More information

Microsoft Word - 正文.doc

Microsoft Word - 正文.doc 1 2 1 2 3 4 5 6 7 8 9 10 3 1 150 2 150 1 1 1.1 1.1.1 1.2 1.2.1 1.2.2 1.2.3 1.3 1.3.1 1.3.2 1.4 1.4.1 CPU 1.4.2 I/O 1.4.3 I/O 1.5 1.5.1 CISC RISC 1.5.2 1.5.3 1.6 1.6.1 1.6.2 N 1.6.3 2 2.1 2.1.1 2.1.2 2.1.3

More information

2_dvdr3380_97_CT_21221b.indd

2_dvdr3380_97_CT_21221b.indd 64 65 66 ALL 3 67 a STANDBY-ON 2 a b c d e f g h i j k l b TIMER c SYSTEM-MENU d e SELECT f REC g. > h TOP MENU i ANGLE j RETURN k SUBTITLE l REC MODE 68 m n REC SOURCE o DISC-MENU p OK q EDIT r PLAYÉ

More information

InstallShield InstallShield InstallShield Windows Installer ISWI ISWI InstallShield InstallShield InstallShield Windows Installer WI In

InstallShield InstallShield InstallShield Windows Installer ISWI ISWI InstallShield InstallShield InstallShield Windows Installer WI In InstallShield 1 InstallShield InstallShield InstallShield Windows Installer ISWI ISWI InstallShield InstallShield5 2000 InstallShield2000 2002 Windows Installer WI InstallShield Professional Version 6

More information

Cadence Poqi

Cadence Poqi Cadence Poqi055 2002-7-10 1 Allegro SI PCB 1 Cadence SI Allegro PCB *.brd SpecctreQuest *.brd SigXplore SigXplore 2 PowerPCB Aleegro PowerPCb PCB Export File Export ASCII *.asc 1.1 1.1 PowerPCB ASC 1.2

More information

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica CP Chip Power ARM Cortex-M3 (STM32F) ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplication and hardware

More information

技 术 支 持 电 话 1.800.283.5936 1.801.974.3760 传 真 1.801.977.0087 电 子 邮 件 网 址 CONVERGE PRO 880/880T/840T/8i, CON

技 术 支 持 电 话 1.800.283.5936 1.801.974.3760 传 真 1.801.977.0087 电 子 邮 件 网 址  CONVERGE PRO 880/880T/840T/8i, CON CONVERGE PRO 880 880T 840T 8i TH20 CONVERGE SR 1212 专 业 会 议 系 统 安 装 和 操 作 手 册 技 术 支 持 电 话 1.800.283.5936 1.801.974.3760 传 真 1.801.977.0087 电 子 邮 件 tech.support@clearone.com 网 址 www.clearone.com CONVERGE

More information

C++ 程序设计 告别 OJ1 - 参考答案 MASTER 2019 年 5 月 3 日 1

C++ 程序设计 告别 OJ1 - 参考答案 MASTER 2019 年 5 月 3 日 1 C++ 程序设计 告别 OJ1 - 参考答案 MASTER 2019 年 月 3 日 1 1 INPUTOUTPUT 1 InputOutput 题目描述 用 cin 输入你的姓名 ( 没有空格 ) 和年龄 ( 整数 ), 并用 cout 输出 输入输出符合以下范例 输入 master 999 输出 I am master, 999 years old. 注意 "," 后面有一个空格,"." 结束,

More information

RX Trial Kit

RX Trial Kit RX Trial Kit 面 向 RX610 群 单 片 机 的 简 易 开 发 套 件 瑞 萨 电 子 ( 中 国 ) 有 限 公 司 R&D Center 2011 Renesas Electronics (China) Co., Ltd. All rights reserved. 目 录 1. RX Trial Kit 套 件 2. 使 用 RX Trial Kit 需 要 安 装 的 软 件

More information

.------------------------------------------------------------------1. DSP --------------------------------2. ----------------3 3.1 -------------------

.------------------------------------------------------------------1. DSP --------------------------------2. ----------------3 3.1 ------------------- ICETEK-5100PP ICETEK-5100USB1.1/2.0 DSP 118 A 1004 010-82671912/13/14/15 E-mailwelcome@realtimedsp.com.cn 100086 010-82671916 www.realtimedsp.com.cn .------------------------------------------------------------------1.

More information

Microsoft Word - 在VMWare-5.5+RedHat-9下建立本机QTopia-2.1.1虚拟平台a.doc

Microsoft Word - 在VMWare-5.5+RedHat-9下建立本机QTopia-2.1.1虚拟平台a.doc 在 VMWare-5.5+RedHat-9 下建立 本机 QTopia-2.1.1 虚拟平台 张大海 2008-5-9 一 资源下载 1. 需要以下安装包 : tmake-1.13.tar.gz qtopia-free-source-2.1.1.tar.gz qt-embedded-2.3.10-free.tar.gz qt-x11-2.3.2.tar.gz qt-x11-free-3.3.4.tar.gz

More information

连接计算器软件 (Traktor) 说明

连接计算器软件 (Traktor) 说明 连接计算器软件 (Traktor) 说明 目录 运行环境 ------------------------------------------------------------------------------------- 3 声明 -------------------------------------------------------------------------------------------

More information