目录 利用 CCS5.1 开发 MSP-EXP430G2 LaunchPad 实验板样例程序 MSP-EXP430G2 LaunchPad 实验板简介 概述 安装 MSP-EXP430G2 LaunchPad 实验板结构... 4

Size: px
Start display at page:

Download "目录 利用 CCS5.1 开发 MSP-EXP430G2 LaunchPad 实验板样例程序 MSP-EXP430G2 LaunchPad 实验板简介 概述 安装 MSP-EXP430G2 LaunchPad 实验板结构... 4"

Transcription

1 CCS5.1 及 MSP430 应用实例研究 利用 CCS5.1 开发 MSP-EXP430G2 LaunchPad 实验板样例程序 成员名单 : 姚文捷提高 0901 班 U 许铖提高 0901 班 U 指导老师 : 左芷蘅提高 0901 班 U 汪小燕 美国德州仪器半导体技术上海 ( 有限 ) 公司 华中科技大学 Analog&MSP430 联合实验室 2012 年 6 月

2 目录 利用 CCS5.1 开发 MSP-EXP430G2 LaunchPad 实验板样例程序 MSP-EXP430G2 LaunchPad 实验板简介 概述 安装 MSP-EXP430G2 LaunchPad 实验板结构 实验板组成基本介绍 仿真器与相连目标器件之间的连接简单介绍 LaunchPad 与扩展板的连接简单介绍 连接晶体振荡器 MSP-EXP430G2 LaunchPad 实验板使用说明 ( 简单的走马灯实验 ) 开始使用 演示程序 ( 走马灯 )

3 1. MSP-EXP430G2 LaunchPad 实验板简介 1.1 概述 名为 LaunchPad 的 MSP-EXP430G2 低成本试验板是一款适用于 TI 最新 MSP430G2xx 系列产品的完整开发解决方案 其基于 USB 的集成型仿真器可提供为全系列 MSP430G2xx 器件开发应用所必需的所有软 硬件 LaunchPad 具有集成的 DIP 目标插座, 可支持多达 20 个引脚, 从而使 MSP430 Value Line 器件能够简便地插入 LaunchPad 电路板中 此外, 其还可提供板上 Flash 仿真工具, 以直接连接至 PC 轻松进行编程 调试和评估 LaunchPad 试验板还能够对 ez430-rf2500t 目标板 ez430-chronos 手表模块或 ez430-f2012t/f2013t 目标板进行编程 此外, 它还提供了从 MSP430G2xx 器件到主机 PC 或相连目标板的 9600 波特 UART 串行连接 MSP-EXP430G2 采用 IAR Embedded Workbench 集成开发环境 (IDE) 或 Code Composer Studio (CCS) 编写 下载和调试应用 调试器是非侵入式的, 这使用户能够借助可用的硬件断点和单步操作全速运行应用, 而不耗用任何其他硬件资源 MSP-EXP430G2 LaunchPad 特性 : USB 调试与编程接口无需驱动即可安装使用, 且具备高达 9600 波特的 UART 串行通信速度 支持所有采用 PDIP14 或 PDIP20 封装的 MSP430G2xx 和 MSP430F20xx 器件 分别连接至绿光和红光 LED 的两个通用数字 I/O 引脚可提供视觉反馈 两个按钮可实现用户反馈和芯片复位 器件引脚可通过插座引出, 既可以方便的用于调试, 也可用来添加定制的扩展板 高质量的 20 引脚 DIP 插座, 可轻松简便地插入目标器件或将其移除 1.2 安装 安装 MSP-EXP430G2 LaunchPad 时包含三个简单步骤 : 先下载所需软件, 市面上有多种不同的开发软件工具都适用于 MSP-EXP430G2 LaunchPad 开发板 IAR EmbeddedWorkbench KickStart 和 Code Composer Studio (CCS) 都具有免费的限制版本 IAR EmbeddedWorkbench 允许编译 4kB 的 C 代码 CCS 仅限于处理 16kB 的代码 用于 MSP430 的众多其他编译器和集成开发环境 (IDE), 如 Rowley Crossworks 和 MSPGCC 等也可与 MSP-EXP430 LaunchPad 协同使用 然后安装一个集成开发环境 (IDE) IAR KickStart 和 CCS 可提供与 MSP-EXP430 LaunchPad 板上仿真功能协同使用时所需的驱动程序支持 完成安装后,IDE 应该会发现作为 USB:HID 调试接口的 MSP-EXP430G2LaunchPad 现在, 已为在 LaunchPad 上开发基于 MSP430G2xx 的应用完成了所有设置 最后将硬件连接至 PC 将附带 USB 线缆的 EXP430G2 LaunchPad 目标板连接至 PC 将自动开始安装驱动 如果出现提示, 要求提供软件, 则允许 Windows 自动安装该软件 仅当已安装 IAR KickStart 或 Code Composer Studio 后才能这样做 3

4 2. MSP-EXP430G2 LaunchPad 实验板结构 本小节主要介绍实验板结构 实验板如下图所示 : Get started in minutes 1. Integrated Flash Emulation tool 2. USB-powered(cable incl.) 3. Program any MSP430 Value Line device,ez430 Target board, or Spy Bi-Wire enabled MSP430 device Easily evaluable & program devices 1. Up to 20-pin DIP socketed target board 2. Drop-in any MSP430 Vaule Line device 3. Pre-programmed MSP430 Value Line MCU included Rapid Prototyping 1. Access to all MSP430 Value Line pins 2. Easy interface for External components Boards 3. 2 programmable Pushbuttons&LEDs 2.1 实验板组成基本介绍 图 1.MSP-EXP430G2launchpad Launchpad 实验板分成两个部分, 一部分为仿真器另一部分为 MSP-EXP430G2 开发板 如图 1, 其中仿真器部分重要的是 USB 仿真接口, 而在 MSP-EXP430G2 开发板区域, 从左上角开始逆时针观察, 分别为芯片输出引脚接口,P1.3 按钮, 两盏 LED 灯, 跳线 P1.0 和 P1.6, 复位按钮, 电源接口, 晶振以及用于连接其它 4

5 目标板的 6 引脚 ez430 接口, 开发板正中间为芯片插槽, 它适用于 14 或 20 引脚两种类型的 MSP430G2 芯片 开发板上 MSP430G2 系列芯片的所有引脚都被外置, 分布在开发板的两边, 方便外接设备 与此同时, 每个引脚旁边都有对应的数字标号, 而且部分引脚标号旁会有其功能的简单介绍 例如 P1.0 与 LED1 相连,P1.1 与 UART 接收数据输入线相连 P1.2 与 UART 传输数据输出线相连 而 P1.3 与开关 S2 相连 在另一边,P1.6 与 LED2 相连,RST 与复位按钮相连 图 2.MSP-EXP430G2 LaunchPad 概览 1 图 3.MSP-EXP430G2 LaunchPad 概览 2 5

6 2.2 仿真器与相连目标器件之间的连接简单介绍 MSP-EXP430G2 仿真器与相连目标器件之间的连接可通过跳线组 J3 来断开 通过断开 Spi-Bi-Wire JTAG 线路 RST 和 TEST, 可以很方便的把 JTAG 线路用于其 他应用, 方便地连接其他 ez430 目标板 此外, 跳线 J3 还可用于测量 LaunchPad 应用的功耗 为此, 必须断开所有跳线, 且通过万用表连接 VCC 以测量 MSP-EXP430G2 目标器件及其外设的功耗 若 LaunchPad 板使用外部电源通过 J6 供电, 则通过 J6 的 VCC 引脚测量电压 表 1. 仿真器和目标之间的跳线连接 J3 跳线 信号 说明 1 TEST JTAG 的测试引脚 /Spy-Bi-Wire 测试时钟输入 2 RST 复位 /Spy-Bi-Wire 测试数据输入 / 输出 3 RXD UART 接收数据输入 4 TXD UART 传输数据输出 5 VCC 目标插座电源电压 ( 功耗测试跳线 ) 2.3 LaunchPad 与扩展板的连接简单介绍 图 4. 已连接 ez430-rf2500 目标板的 MSP-EXP430G2 LaunchPad MSP-EXP430G2 LaunchPad 可对 ez430-rf2500t 目标板 ez430-chronos 手表模块或 ez430-f2012t/f2013t 进行编程 要连接某一 ez430 目标, 必须在连接器 J4 中插入一个 英寸 (1.27 毫米 ) 间距的排针以连接目标板 若要在不干扰 LaunchPad 目标板的情况下为相连的目标板进行编程, 必须断开 J3 的跳线连接的 TEST 和 RST, 将 ez430 目标板的接口与 MSP-EXP430G2 仿真器相连接 只有在未同时连接 ez430 目标板的情况下, 才有可能对连接的 LaunchPad 目标器件进行编程和调试 另一方面, 将应用 UART 直接连接到 LaunchPad 目标器件, 而且可闭合跳线 J3 以监控从 LaunchPad 目标到所连接 ez430 的传输情况 通过这种方法, 可以在不更改 UART 引脚方向的情况下建立两种可能的连接, 即从器件到 PC 以及从器件到 ez430 6

7 J1/ J2 和 J6 处预留 0.1 英寸 (2.54 毫米 ) 排针焊接脚位, 能够方便且以极低成本实现电路试验板的扩展 附属电路板可通过 J1 J2 和 J6 访问 LaunchPad 目标器件的所有信号引脚 附属板既可以自带芯片且将 LaunchPad 用作纯粹的编程接口, 也可与插入到 LaunchPad 插座中的芯片协同工作 MSP-EXP430G2 LaunchPad 套件包括四个 10 引脚的 PCB 连接器 ( 两个插头和两个插座 ), 能够快速使用第一个扩展板启动工作 2.4 连接晶体振荡器 MSP-EXP430G2 LaunchPad 为各种晶体振荡器提供焊接脚位 LFXT1 振荡器的 XIN 和 XOUT 信号能够支持低频振荡器, 如 Hz 的手表晶振或范围不超过相关联数据表中规格的标准晶振 另外, 信号线路 XIN 和 XOUT 还可用作通用 I/O 或数字频率输入 如欲了解有关低频振荡器及晶振选择的更多信息, 敬请查阅 MSP430x2xx 系列用户指南 (SLAU144) 或器件专用的产品说明书 振荡器信号被连接到连接器 J2 以便在相连的应用电路板上使用这些信号 若因振荡器信号的信号失真导致基本时钟模块中的指示出现故障, 可使用电阻 R29 和 R28 将排针 J2 从振荡线路上断开 如欲了解有关 MSP-EXP430G2 LaunchPad 的最新信息及所有必要文件, 敬请访问 MSP430 LaunchPadWiki 页面 此外, 该页面还提供软件范例 有关所支持软件的更多详情以及如何订购 MSP-EXP430G2 LaunchPad 等信息 3. MSP-EXP430G2 LaunchPad 实验板使用说明 ( 简单的走马灯实 验 ) 3.1 开始使用 首次使用 MSP-EXP430G2 LaunchPad 试验板时, 演示应用将在该板从 USB 主机获得供电时立即自动启动 要启动演示, 请使用附带的 Mini USB 线缆将 MSP-EXP430G2 LaunchPad 连接至空闲的 USB 端口 演示应用启动后,LED 将交替变亮以指明器件启动 板上仿真线路将产生供电电压, 而且所有必需的信号都启动 3.2 演示程序 ( 走马灯 ) 实验环境 :Windows 7,Code Composer Studio v 实验硬件 :MSP-EXP430G2 LaunchPad,MSP430G2553 芯片 实验步骤 : 7

8 1. 进行实验我们首先需要在电脑上安装 Code Composer Studio v5 如果没有, 可以在 TI 官网上下载的到 : 2. 相应 IDE 安装好后, 运行 Code Composer Studio v5 它的初始界面如下 : 图 5. Code Composer Studio V5 初始界面 3. 运行 File > New > CCS Project 开始新建工程 填入工程名如 : msp430lanuchpad-demo 然后在 Device 下选择 MSP430 Family,MSP430Gxxx Family,MSP430G2553 芯片 最后在工程中选空工程, 并点击 Finish 如下图所示 : 8

9 图 6. 新建指定工程 4. 建立工程后, 我们需要修改源文件名后缀为 cpp, 以使用部分 C++ 特性 按如下方式进行 : 在左侧 Project Explorer 栏, 右键点选 main.c, 选择 Rename 并在弹出的对话框中将文件名修改为 main.cpp 图 7. 修改文件后缀为 cpp 5. 在 main.cpp 文件中敲入如下代码, 并保存 #include <msp430g2553.h> void setled1(bool light); 9

10 void setled2(bool light); void toggleled1(); void toggleled2(); volatileboolison; // Store whether LED is ON int main(void){ WDTCTL = WDTPW + WDTHOLD;// Stop watchdog timer P1DIR = BIT0;// Set P1.0 to output direction P1DIR = BIT6;// Set P1.6 to output direction setled1(true);// Turn on LED1 setled2(false);// Turn off LED2 P1IE = BIT3;// Enable interrupt from P1.3 ison=true; enable_interrupt(); for(;;){ if(ison){ toggleled1();// Change LED1 toggleled2();// Change LED2 delay_cycles(100000); // SW Delay of cycles at 1Mhz return0; void setled1(bool light){ light? P1OUT = BIT0:P1OUT &=~BIT0; void setled2(bool light){ light? P1OUT = BIT6:P1OUT &=~BIT6; void toggleled1(){ P1OUT ^= BIT0;// Toggle P1.0 using exclusive-or void toggleled2(){ P1OUT ^= BIT6;// Toggle P1.6 using exclusive-or // Port 1 interrupt service routine #pragma vector=port1_vector interrupt void Port_1(void){ if(ison){ setled1(false); setled2(false); else{ setled1(true); setled2(false); ison=!ison; P1IFG &=~BIT3;// P1.3 IFG cleared 6. 点击按钮进行编译, 得到如下结果 : 10

11 图 8. 编译成功 7. 点击右上角按钮进入 Debug 模式 如图所示 : 图 9. 进入 debug 模式 8. 点击将程序上载到目标板 MSP-EXP430G2 LaunchPad, 再点击取消 断点调试 可见目标板上的两个 LED 灯来回闪烁, 通过按钮 S2 可以切换开始闪烁或停止闪烁两种模式 图 10. 实验结果 11

Microsoft Word - MSP430 Launchpad 指导书.docx

Microsoft Word - MSP430 Launchpad 指导书.docx Contents 3... 9... 14 MSP430 LAUNCHPAD 指导书 3 第一部分第一个工程 New Project File > New > CCS Project Project name: ButtonLED Device>Family: MSP430 Variant: MSP430G2553 Project templates and examples : Empty Project

More information

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File 51 C 51 51 C C C C C C * 2003-3-30 pnzwzw@163.com C C C C KEIL uvision2 MCS51 PLM C VC++ 51 KEIL51 KEIL51 KEIL51 KEIL 2K DEMO C KEIL KEIL51 P 1 1 1 1-1 - 1 Project New Project 1 2 Windows 1 3 N C test

More information

目 录

目 录 1 Quick51...1 1.1 SmartSOPC Quick51...1 1.2 Quick51...1 1.3 Quick51...2 2 Keil C51 Quick51...4 2.1 Keil C51...4 2.2 Keil C51...4 2.3 1 Keil C51...4 2.4 Flash Magic...9 2.5 ISP...9 2.6...10 2.7 Keil C51...12

More information

EK-STM32F

EK-STM32F STMEVKIT-STM32F10xx8 软 件 开 发 入 门 指 南 目 录 1 EWARM 安 装... 1 1.1 第 一 步 : 在 线 注 册... 1 1.2 第 二 步 : 下 载 软 件... 2 1.3 第 三 步 : 安 装 EWARM... 3 2 基 于 STMEVKIT-STM32F10xx8 的 示 例 代 码 运 行... 6 2.1 GPIO Demo... 6 2.2

More information

51 C 51 isp 10 C PCB C C C C KEIL

51 C 51 isp 10   C   PCB C C C C KEIL http://wwwispdowncom 51 C " + + " 51 AT89S51 In-System-Programming ISP 10 io 244 CPLD ATMEL PIC CPLD/FPGA ARM9 ISP http://wwwispdowncom/showoneproductasp?productid=15 51 C C C C C ispdown http://wwwispdowncom

More information

C语言的应用.PDF

C语言的应用.PDF AVR C 9 1 AVR C IAR C, *.HEX, C,,! C, > 9.1 AVR C MCU,, AVR?! IAR AVR / IAR 32 ALU 1KBytes - 8MBytes (SPM ) 16 MBytes C C *var1, *var2; *var1++ = *--var2; AVR C 9 2 LD R16,-X ST Z+,R16 Auto (local

More information

学习MSP430单片机推荐参考书

学习MSP430单片机推荐参考书 MSP430 16 MSP430 C MSP430 C MSP430 FLASH 16 1 CPU 16 ALU 16 PC SP SR R4~R15 2 3 00-FFH 100-1FFH 4 5 1 2 51 24 27 6 1 2 3 4 5 6 4 12 SR SP SR CPU SR CPU C Z N GIE CPUOff CPU OscOff SCG0 SCG1 CPU EXIT SP

More information

第 1 章 MSP430 快速入门 因为最近转入 MPS430 的技术支持工作, 所以现在开始学习 430 的开发 由于之前用过 51, 也用过 TI 的 ARM CORTEX-M3, 但是就是没有用过 TI 的 430, 所以将 我学习 430 的过程写出来, 给像我一样之前没有 430 开发经验

第 1 章 MSP430 快速入门 因为最近转入 MPS430 的技术支持工作, 所以现在开始学习 430 的开发 由于之前用过 51, 也用过 TI 的 ARM CORTEX-M3, 但是就是没有用过 TI 的 430, 所以将 我学习 430 的过程写出来, 给像我一样之前没有 430 开发经验 目录 第 1 章 MSP430 快速入门...1 1.1 准备工作... 1 1.2 MSP430 的时钟系统... 3 1.3 DCO 时钟校准...3 1.4 硬件最小系统...4 1.5 在 IAR 下新建工程...4 i/11 第 1 章 MSP430 快速入门 因为最近转入 MPS430 的技术支持工作, 所以现在开始学习 430 的开发 由于之前用过 51, 也用过 TI 的 ARM CORTEX-M3,

More information

目 录 1. 简介 概览 CM 仿真器特性 CM 仿真器外观 入门指南 CM 仿真器虚拟端口功能 安装 CM 仿真器 USB CDC 驱动软件 测试 CM 仿真器虚拟端

目 录 1. 简介 概览 CM 仿真器特性 CM 仿真器外观 入门指南 CM 仿真器虚拟端口功能 安装 CM 仿真器 USB CDC 驱动软件 测试 CM 仿真器虚拟端 Cortex-M 仿真器用户手册 适用产品 本仿真器支持芯片型号 : 系列 华大半导体 (HDSC) Cortex-M 系列 MCU 芯片 型号 - 目 录 1. 简介... 3 1.1 概览... 3 1.1.1 CM 仿真器特性... 3 1.1.2 CM 仿真器外观... 4 1.2 入门指南... 5 2. CM 仿真器虚拟端口功能... 6 2.1 安装 CM 仿真器 USB CDC 驱动软件...

More information

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica CP Chip Power ARM Cortex-M3 (STM32F) ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplication and hardware

More information

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe   Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L 1 SH88F516 8051 64K FLASH 1024 EEPROM SH88F516 JET51 S-Lab Keil µ vision ISP51 Keil µ vision ISP51 ISP51 PC RS232 MCU SH88F516 SH88F516 1.1 SH88F516 1.1 SH88F516 SH88Fxx: QFP44, DIP40 RAM Flash E2 ADC

More information

JTAG ICE PC JTAG ICE JTAG ISP... 5 IDE AVR STUDIO JTAGICE JTAGICE... 12

JTAG ICE PC JTAG ICE JTAG ISP... 5 IDE AVR STUDIO JTAGICE JTAGICE... 12 ... 3... 3... 3... 3... 4 1JTAG ICE PC... 4 2JTAG ICE... 4 3... 4 4... 4 5JTAG... 5 6ISP... 5 IDE... 6 1 AVR STUDIO... 6 2 JTAGICE... 6 3JTAGICE... 12 4.... 16 5.... 17 6. JTAG ICE... 19 ISP... 20 1PonyProg2000...

More information

bingdian001.com

bingdian001.com TSM12M TSM12 STM8L152C6, STM8L152R8 MSP430F5325 whym1987@126.com! /******************************************************************************* * : TSM12.c * : * : 2013/10/21 * : TSM12, STM8L f(sysclk)

More information

ICD ICD ICD ICD ICD

ICD ICD ICD ICD ICD MPLAB ICD2 MPLAB ICD2 PIC MPLAB-IDE V6.0 ICD2 usb PC RS232 MPLAB IDE PC PC 2.0 5.5V LED EEDATA MPLAB ICD2 Microchip MPLAB-IDE v6.0 Windows 95/98 Windows NT Windows 2000 www.elc-mcu.com 1 ICD2...4 1.1 ICD2...4

More information

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0 SH79F6431 1. SH79F6431 1T 8051 FLASH SH79F JET51 Keil µ vision JTAG 1.1. SH79F6431 LQFP64 1.2. (Target Board) SH79F6431 1 V 1.0 1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F6431 1 2 1 B. 1(VCC/GND)

More information

一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页

一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页 第 1 页共 32 页 crm Mobile V1.0 for IOS 用户手册 一 登录 crm Mobile 系统 : 输入 ShijiCare 用户名和密码, 登录系统, 如图所示 : 第 2 页共 32 页 二 crm Mobile 界面介绍 : 第 3 页共 32 页 三 新建 (New) 功能使用说明 1 选择产品 第 4 页共 32 页 2 填写问题的简要描述和详细描述 第 5 页共

More information

SST SPAC SST SoftICE SST89C5x/SST89x554RC /564RD /SST89x516/5xRD / SoftICE SoftICE MCU SoftICE SS

SST SPAC SST SoftICE SST89C5x/SST89x554RC /564RD /SST89x516/5xRD / SoftICE SoftICE MCU SoftICE SS SST SoftICE SST89C5x/SST89x554RC /564RD /SST89x516/5xRD2 1...2 1.1...2 1.2...2 1.3 /...2 2 SoftICE...2 3 SoftICE MCU...2 4 SoftICE...3 4.1 SST BootLoader SOFTICE...3 4.2 SoftICE SST MCU...6 5 SoftICE...7

More information

USB解决方案.ppt

USB解决方案.ppt USB USB? RS232 USB USB HID U modem ADSL cable modem IrDA Silabs USB CP210x USB UART USB RS-232 USB MCU 15 USB 12 FLASH MCU 3 USB MCU USB MCU C8051F32x 10 ADC 1.5%, Vref CPU 25MIPS 8051 16KB Flash -AMUX

More information

PIC16F F MPLAB 08 16F LED 15 LED

PIC16F F MPLAB 08 16F LED 15 LED PIC16F877 PIC16F877 03 16F877 05 06 MPLAB 08 16F877 13 LED 15 LED 17 20 24 2 PIC16F877 PIC16F877 DIP VDD VSS CLOCK CPU :,AND,OR,XOR ROM: CPU ROM RAM: CPU,CPU I/O:CPU, CPU,, 16F877 RAM 512 128 Bank Bank

More information

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor 1 4.1.1.1 (Load) 14 1.1 1 4.1.1.2 (Save) 14 1.1.1 1 4.1.2 (Buffer) 16 1.1.2 1 4.1.3 (Device) 16 1.1.3 1 4.1.3.1 (Select Device) 16 2 4.1.3.2 (Device Info) 16 2.1 2 4.1.3.3 (Adapter) 17 2.1.1 CD-ROM 2 4.1.4

More information

MSP430 Launchpad 指导书

MSP430 Launchpad 指导书 MSP430 Launchpad 指导书 徐珺 2013.12 Contents 第一部分第一个工程... 3 第二部分中断和计时器... 10 第三部分 UART... 16 MSP430 LAUNCHPAD 指导书 3 第一部分第一个工程 在这一部分中, 我们将介绍 CCS 集成开发环境的一些基本 使用方法, 并试着编写一个简单的小程序, 实现按键打开 LED TI 的 Launchpad 板本身是完整的

More information

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键 官 方 淘 宝 地 址 :http://metech.taobao.com/ MeTech verilog 典 型 例 程 讲 解 V1.0 笔 者 :MeTech 小 芯 技 术 支 持 QQ : 417765928 1026690567 技 术 支 持 QQ 群 :207186911 China AET 讨 论 组 http://group.chinaaet.com/293 笔 者 博 客 :http://blog.csdn.net/ywhfdl

More information

SPMC75F2413A_EVM_使用说明_V1.2.doc

SPMC75F2413A_EVM_使用说明_V1.2.doc SPMCFA EVM V. - Jan 0, 00 http://www.sunplusmcu.com ................ SPMCFA........... EEPROM.... I/O............ LED.... LED.... RS-........0............ EVM................ 0.....0..... SPMCFA EVM SPMCFA

More information

ARM JTAG实时仿真器安装使用指南

ARM JTAG实时仿真器安装使用指南 ARM JTAG Version 1.31 2003. 11. 12 ARM JTAG ARM JTAG.3 ARM 2.1.4 2.2.4 ARM JTAG 3.1 18 3.2 18 3.2.1 Multi-ICE Server.18 3.2.2 ADS..21 ARM JTAG 4.1 Multi-ICE Server 33 4.1.1 Multi-ICE Server..... 33 4.1.2

More information

言1.PDF

言1.PDF MSP430 WINDOWS WORKBENCH MSP430 Flash Green MCU Flash Flash MCU MSP430 16 RISC 27 125ns 1.8V~3.6V A/D 6 s MSP430 10 ESD MSP430 MSP430 10 MSP430 2001 MSP430 Windows Workbench Interface Guide Windows Workbench

More information

MSP430x11x1 T A -40 ~ SOWB 20 TSSOP (DW) (PW) MSP430F110IDW MSP430F110IPW MSP430F112IDW MSP430F112IPW + F11x 30kΩ

MSP430x11x1 T A -40 ~ SOWB 20 TSSOP (DW) (PW) MSP430F110IDW MSP430F110IPW MSP430F112IDW MSP430F112IPW + F11x 30kΩ MSP430F11x 1.8-3.6V 1.6uA@4KHz 200uA@1MHz 2.2V 5 ( 0.8uA RAM 0.1uA) 6us 16 RISC 125nS 32KHz / 16 A/D MSP430F110 1KB+128B 128B RAM MSP430F112 4KB+256B 256B RAM 20 (SOWB) 20 (TSSOP) MSP430 16 RISC CPU 16

More information

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input 06 6 6 HR7PPSC HR7PPSD SOP SOP6 +input 06 6 +input 06 6 6 6 HR7PERB SSOP0 7+input 06 6 6 HR7PESC

More information

目录

目录 ALTERA_CPLD... 3 11SY_03091... 3 12SY_03091...4....5 21 5 22...8 23..10 24..12 25..13..17 3 1EPM7128SLC.......17 3 2EPM7032SLC.......18 33HT46R47......19..20 41..20 42. 43..26..27 5151DEMO I/O...27 52A/D89C51...28

More information

.------------------------------------------------------------------1. DSP --------------------------------2. ----------------3 3.1 -------------------

.------------------------------------------------------------------1. DSP --------------------------------2. ----------------3 3.1 ------------------- ICETEK-5100PP ICETEK-5100USB1.1/2.0 DSP 118 A 1004 010-82671912/13/14/15 E-mailwelcome@realtimedsp.com.cn 100086 010-82671916 www.realtimedsp.com.cn .------------------------------------------------------------------1.

More information

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual Subject LD5515 Demo Board Model Name (5V/1.5A) Key Features Built-In Pump Express TM Operation Flyback topology with PSR Control Constant Voltage Constant Current High Efficiency with QR Operation (Meet

More information

1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.4... 6 2.5... 7 2.6... 7 3 2005... 8 3.1... 8 3.2... 9 3.3... 9 3.4 ( RMB100,000)... 9 3.5... 9 4...11 4.1.

1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.4... 6 2.5... 7 2.6... 7 3 2005... 8 3.1... 8 3.2... 9 3.3... 9 3.4 ( RMB100,000)... 9 3.5... 9 4...11 4.1. http://www.unsp.com.cn 1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.4... 6 2.5... 7 2.6... 7 3 2005... 8 3.1... 8 3.2... 9 3.3... 9 3.4 ( RMB100,000)... 9 3.5... 9 4...11 4.1... 11 4.2... 12 5... 13 5.1...

More information

untitled

untitled XILINX Platform Cbale USB www.hseda.com ...... Platform Cable USB Compatible.................. impact.........1 platform Cable USB Compatible.........1...1...1...1...1...1 Platform Cable USB Compatible

More information

MSP430_Day_3

MSP430_Day_3 MSP430 的主要价值 MSP430 简单易用 立即启动开发立即启动开发 易于使用的开发工具 直观的软件工具 完整的代码库 只需 4.30 美元即可启动开发工作! 2012 LaunchPad 和 BoosterPack 生态系统 ez430 启动套件 完整的开发套件售价 4.30 美元 MSP-EXP430G2 LaunchPad 可提供启动开发工作所需的全部硬件与软件! 支持 MSP430G2xx

More information

AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING

AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING 前言 - Andrew Payne 目录 1 2 Firefly Basics 3 COMPONENT TOOLBOX 目录 4 RESOURCES 致谢

More information

a b c d e f g C2 C1 2

a b c d e f g C2 C1 2 a b c d e f g C2 C1 2 IN1 IN2 0 2 to 1 Mux 1 IN1 IN2 0 2 to 1 Mux 1 Sel= 0 M0 High C2 C1 Sel= 1 M0 Low C2 C1 1 to 2 decoder M1 Low 1 to 2 decoder M1 High 3 BCD 1Hz clk 64Hz BCD 4 4 0 1 2 to 1 Mux sel 4

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

ControlSafe TM ControlSafe ControlSafe CSC CPU ControlSafe CPU CPU CPU CPU CPU I/O I/O I/O I/O I/O I/O CPU CPU CSC CPU CSC CSC /ControlSafe ControlSaf

ControlSafe TM ControlSafe ControlSafe CSC CPU ControlSafe CPU CPU CPU CPU CPU I/O I/O I/O I/O I/O I/O CPU CPU CSC CPU CSC CSC /ControlSafe ControlSaf COMPUTING TÜV SÜD COTS SIL4 99.9999% IEC 61373 EN 50155 15 25 ControlSafe SIL4 (COTS) 30 ControlSafe (RAMS) EN 50126 EN 50128 EN50129 SIL4 ControlSafe SIL4 ControlSafe ControlSafe 15 25 ControlSafe / ControlSafe

More information

KT-SOPCx开发套件简明教程

KT-SOPCx开发套件简明教程 V2.03 2005-9-1 FPGA SOC FPGA/SOPC IT QuartusII NiosII IDE FPGA/SOPC FPGA/SOPC FPGA/SOPC CT-SOPCx FPGA/SOPC CPLD/FPGA www.fpga.com.cn CPLD/FPGA FPGA QuartusII NiosII CPU SOPC SOPC Builder NiosII IDE 1 www.21control.com

More information

untitled

untitled V1.1-1 - ...4...4...4...5...5 2.1.1...5 2.1.2...5 2.1.2.1...6 2.1.2.2...6 2.1.2.3...6 2.1.2.4...6 2.1.3...7 2.1.3.1...7 2.1.3.2...7 2.1.3.3...8 2.1.3.4...9 2.1.3.5...9 2.1.3.6...10 2.1.3.7...11 2.1.3.8...13

More information

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x 115 AVR W.V. Awdrey ATMEL AVR PIC AVR PIC AVR RISC AVR PIC AVR AVR AVR AVR AVR ATtiny15 AVR AVR AVR RAM ROM 121 116 122 ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r31 3 16 X Y Z 6-1 118 7 0

More information

手册 doc

手册 doc 1. 2. 3. 3.1 3.2 3.3 SD 3.4 3.5 SD 3.6 3.7 4. 4.1 4.2 4.3 SD 4.4 5. 5.1 5.2 5.3 SD 6. 1. 1~3 ( ) 320x240~704x288 66 (2G SD 320x2401FPS ) 32M~2G SD SD SD SD 24V DC 3W( ) -10~70 10~90% 154x44x144mm 2. DVR106

More information

NORCO-740 CPU M/00M NORCO-740 NORCO-740E NORCO-740G NORCO-740GE Intel 845GL Intel 845G

NORCO-740 CPU M/00M NORCO-740 NORCO-740E NORCO-740G NORCO-740GE Intel 845GL Intel 845G 3. 4.2 4 2. 2.. 8 2..2 VGA 8 2..3 (J2,J3,J5) 9 2..4 9 2..5 USB 20 2..6 MS KB 20 2..7 (J) 20 2..8 2 2..9 2 2..0 22 2.. (IDE,2) 22 2..2 22 2..3 AC 97 23 2.2 2.2. FSB :JFS 24 2.2.2 Watchdog Timer :JWD 24

More information

MSP430F KB+256B flash 10KB RAM 64 Quad Flat Pack QFP MSP430x1xx SLAU049 MSP430 MSP RISC CPU 16 DCO 6 MSP430x15x/16x/161x A/D 12 D/A

MSP430F KB+256B flash 10KB RAM 64 Quad Flat Pack QFP MSP430x1xx SLAU049 MSP430 MSP RISC CPU 16 DCO 6 MSP430x15x/16x/161x A/D 12 D/A MSP430x15x, MSP430x16x MSP430x161x 1.8V 3.6V 1MHz 2.2V 280 A 1.6 A RAM 0.1 A 6 S 16 125 DMA 12 A/D 12 D/A / 16 A / 16 B USART1 UART SPI USART0 UART SPI I 2 C / Bootstrap Loader MSP430F155: 16KB+256B flash

More information

PROTEUS VSM

PROTEUS  VSM Proteus VSM-- 1/1 PROTEUS VSM Proteus VSM ISIS Prospice VSM Proteus PROSPICE ARM7 PIC AVR HC11 8051 CPU LCD RS232 LED IAR Keil Hitech C make 6000 SPICE SPICE DLL SPICE3F5 14 FM PROTEUS PCB LED/LCD / 300

More information

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi SPHE8202R Design Guide V2.0 JUN, 2007 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com SPHE8202R Design Guide Important Notice

More information

Microsoft Word - AVR32 UC3 isp下载.doc

Microsoft Word - AVR32 UC3 isp下载.doc AVR32 UC3 ISP 下载 2008 年 4 月 1 AVR32 UC3 系列控制器在出厂时在内部 Flash 里已经固化了一个 USB DFU bootloader, 可以通过 AVR32 UC3 系列控制器的 USB 接口, 利用内部固化的 USB bootloader 进行 ISP(In-System Programming) 下载 一 Bootloader 环境 图 1 Bootloader

More information

中文手册

中文手册 PCC-3428 PC/104 1. PCC-3428 1.1 PCC-3428 90mm 96mm ST CPU STPC Atlas Atlas CPU 486 DX/DX2 CPU DX2 133MHz Atlas 2D LCD/CRT 100MHz SDRAM 64MBytes PCC-3428 10/100Mbps DOC EIDE USB PC/104 ST STPC Atlas STPC

More information

Using STM32 Software Library

Using STM32 Software Library 如何使用 STM32 的软件库在 IAR 的 EWARM 下 进行应用开发 上海 步骤一 : 创建项目目录, 拷贝公共文件 1 将 STM32 软件库中 FWlib 目录中的 library 目录拷贝到所建项目的目录中 2 将软件库的 Examples 目录里的任一例程的 stm32f10x_conf.h stm32f10x_it.c stm32f10x_it.h 和 main.c 拷贝到项目的目录中

More information

Electrical and Optical Clock Data Recovery Solutions - Data Sheet

Electrical and Optical Clock Data Recovery Solutions - Data Sheet 32 GBd N1076A 32 GBd N1077A / 64 GBd N1076B 64 GBd N1078A / 64 GBd NRZ PAM4 O/E < 100 fs RMS JSA PLL ...3...4...4...5 /...6...8...11 N1076A...12 N1076B DCA-M...13 N1077A...15 N1078A DCA-M...17...21...

More information

宏电文档

宏电文档 GPRS DDN 〇〇 1. GPRS (General Packet Radio Service) GSM GSM GPRS GSM TDMA (BSS) GPRS GPRS GPRS DDN GSM/GPRS SMS CSD USSD GPRS (DTU) (Machine To Machine M2M) GPRS DDN H7112 GPRS DTU (Tel): +86-755-83890580

More information

NEXT SDT2.51 C:\ARM251 SDT2.51 ARM SDT 2.51 ARM PROJECT MANAGER SDT 2

NEXT SDT2.51 C:\ARM251 SDT2.51 ARM SDT 2.51 ARM PROJECT MANAGER SDT 2 S3C44B0 SDT DRAGNBOY MICROSTAR ARM 51 ARM S3C44B0 ARM SDT2.51 IAR ADS SDT2.51 S3C44B0 LEDTEST SDT ARM 1 2 SDT embed.8800.org SDT2.51 SDT2.51 ARM ARM CPU ARM SDT ADS ADS MULTI-ICE SDT JTAG JTAG SDT SDT2.51

More information

C PICC C++ C++ C C #include<pic.h> C static volatile unsigned char 0x01; static volatile unsigned char 0x02; static volatile unsigned cha

C PICC C++ C++ C C #include<pic.h> C static volatile unsigned char 0x01; static volatile unsigned char 0x02; static volatile unsigned cha CYPOK CYPOK 1 UltraEdit Project-->Install Language Tool: Language Suite----->hi-tech picc Tool Name ---->PICC Compiler Executable ---->c:hi-picinpicc.exe ( Command-line Project-->New Project-->File Name--->myc

More information

<4D6963726F736F667420576F7264202D20B9F9B0EABBCDBBAFAB48DEB3B4C1A5BDB3F8A7692E646F63>

<4D6963726F736F667420576F7264202D20B9F9B0EABBCDBBAFAB48DEB3B4C1A5BDB3F8A7692E646F63> 臺 北 市 立 松 山 高 級 工 農 職 業 學 校 資 訊 科 專 題 製 作 報 告 題 目 : 反 彈 空 間 指 導 老 師 : 余 耀 銘 學 生 : 廖 國 銓 趙 信 瑋 中 華 民 國 102 年 5 月 摘 要 在 這 高 速 科 技 的 起 飛 下, 科 技 都 建 立 起 於 基 礎, 有 些 人 把 這 基 礎 轉 為 理 論, 教 給 大 眾 學 習 ; 有 些 人 利

More information

untitled

untitled MSP430F22X4 :1.8V-3.6V -:1MHz 2.2V 250 ua -:0.7 ua -(RAM ):0.1uA 1us 16, 65ns -16MHz ±1% - - 32kHz - 16M - - - 3 / 16 Timer_A 3 / 16 Timer_B 10 A/D 200ksps (USCI) - UART LIN -IrDA - SPI -I2C Bootstrap

More information

untitled

untitled http://www.embedded-soc.com/ J-LINK J-Link Rev2.1 http://www.embedded-soc.com/ 2007-11-11 http://www.embedded-soc.com/ J-LINK J-Link ARM JTAG J-LINK J-LINKJLINK J-FLASH ARM F.A.Q jlink GDBserver J-Flash

More information

1 TPIS TPIS 2 2

1 TPIS TPIS 2 2 1 1 TPIS TPIS 2 2 1. 2. 3. 4. 3 3 4 5 4 TPIS TPIS 6 5 350 Mark Coil F3/F6 350 M 150 M 25 M 7.12M 8 M F3 F6 F4 F7 F8 8M AA 7 350 28V 5V IC HCPL2731 0.5mA 6 8 (TPIS) TPIS 9 7 IC AT89C2051 AT89C2051 CMOS8

More information

<4D6963726F736F667420576F7264202D2031303130315FB971BEF7BB50B971A46CB8735FB773A55FA5ABA5DFB7E7AADAB0AAAFC5A475B77EC2BEB77EBEC7AED55FA97EAE61C0F4B9D2B4BCBC7AB1B1A8EEA874B2CE2E646F63>

<4D6963726F736F667420576F7264202D2031303130315FB971BEF7BB50B971A46CB8735FB773A55FA5ABA5DFB7E7AADAB0AAAFC5A475B77EC2BEB77EBEC7AED55FA97EAE61C0F4B9D2B4BCBC7AB1B1A8EEA874B2CE2E646F63> 全 國 高 職 學 生 102 年 度 專 題 製 作 競 賽 報 告 書 居 家 環 境 智 慧 控 制 系 統 群 別 : 電 機 與 電 子 群 參 賽 作 品 名 稱 : 居 家 環 境 智 慧 控 制 系 統 關 鍵 詞 : 環 境 監 控 ZigBee 感 測 元 件 目 錄 壹 摘 要... 2 貳 研 究 動 機...2 參 研 究 方 法...3 一 研 究 器 材...3 二 研

More information

第七章 中断

第七章 中断 嵌入式系统 SOPC(SOC),A case study llxx@ustc.edu.cn 内容提要 本讲的目的 : 介绍嵌入式系统 ; 了解 SOPC 的开发过程 现代计算机系统 嵌入式系统的应用 llxx@ustc.edu.cn 4/87 嵌入式控制系统的软 / 硬件框架 llxx@ustc.edu.cn 5/87 基于 FPGA 的嵌入式系统硬件平台 基于 FPGA 的嵌入式系统结构 FPGA

More information

从MCS51向AVR的快速转换.PDF

从MCS51向AVR的快速转换.PDF MCS51 AVR MCS51 AVR ATMEL AVR RISC MCS51 AVR 1 MHZ 1MIPS 8MHZ AVR 224MHZ MCS51 FLASH EEPROM ISP WatchDog AVR MCS51 AT90S1200/2313 AT89C1051/2051 AT90S4414/8515 AT89C51/52 PCB / AVR C ICCAVR60 AVR C IAR

More information

3

3 User Guide - APAC SEED-XDS510PLUS 仿真器安装指南 适用于 CCS4.x&Above Version:C 2017.11 声明北京艾睿合众科技有限公司保留随时对其产品进行修正 改进和完善的权利, 同时也保留在不作任何通告的情况下, 终止其任何一款产品的供应和服务的权利 用户在下订单前应获取相关信息的最新版本, 并验证这些信息是当前的和完整的 版权 2017, 北京艾睿合众科技有限公司

More information

audiogram3 Owners Manual

audiogram3 Owners Manual USB AUDIO INTERFACE ZH 2 AUDIOGRAM 3 ( ) * Yamaha USB Yamaha USB ( ) ( ) USB Yamaha (5)-10 1/2 AUDIOGRAM 3 3 MIC / INST (XLR ) (IEC60268 ): 1 2 (+) 3 (-) 2 1 3 Yamaha USB Yamaha Yamaha Steinberg Media

More information

USB Debug Adapter用户手册.pdf

USB Debug Adapter用户手册.pdf USB Debug Adapter For C8051F MCU 用 户 手 册 目 录 USB Debug Adapter 简介...1 开发工具特点...1 产品标配附件...1 仿真器接口关系图...2 USB Debug Adapter 安装说明...3 USB Debug Adapter 在 Silabs IDE 中的设置说明...5 USB Debug Adapter 在 Keil 3

More information

微雪电子 Open103Z 实验手册 Open103Z 实验手册 目录 准备工作 BitIO-Pushbutton... 3 ADC+DMA... 4 CAN-LoopBack... 5 DAC... 6 DS18B GPIO_Key_L

微雪电子   Open103Z 实验手册 Open103Z 实验手册 目录 准备工作 BitIO-Pushbutton... 3 ADC+DMA... 4 CAN-LoopBack... 5 DAC... 6 DS18B GPIO_Key_L 目录 准备工作... 3 8BitIO-Pushbutton... 3 ADC+DMA... 4 CAN-LoopBack... 5 DAC... 6 DS18B20... 7 GPIO_Key_LED... 8 I2C... 9 LCD-HY32D_FSMC... 10 Nand Flash...11 NorFlash... 12 PS2... 13 RTC... 14 SD_FatFS... 15

More information

MSP430 MSP430 F149 MSP430F149 P USART MSP430F PWM 63#

MSP430 MSP430 F149 MSP430F149 P USART MSP430F PWM 63# MSP430 MSP430 0002 63# 710061 2003 7 63# 710061 1 MSP430 MSP430 F149 MSP430F149 P USART MSP430F149 485 232 PWM 63# 710061 2 MSP430 MSP430 16 FLASH,, 16, 64K,, 16 14 12 6 P USART DCO, 8M FLASH,, JTAG FET(FLASH

More information

CL-S10w

CL-S10w Data Management Software CL-S10w WindowsWindows XP Microsoft Windows XP Professional Operating System WindowsWindows 7 Microsoft Windows 7 Professional Operating System Excel Microsoft Excel MicrosoftWindowsWindows

More information

专注于做最好的嵌入式计算机系统供应商

专注于做最好的嵌入式计算机系统供应商 专注于做最好的嵌入式计算机系统供应商 基于 ARMSYS2440/2410 开发应用程序 (EVC&VS2005) Rev 1.0 2008 年 3 月 24 日 杭州立宇泰电子有限公司 HangZhou LiYuTai Elec.Co.,Ltd 开发应用程序的过程一般分两步, 第一步在 PC 机开发环境下设计和编译应用程序, 第二步将它下载到 目标系统, 也就是我们的 ARMSYS2440 平台上运行

More information

epub 61-2

epub 61-2 2 Web Dreamweaver UltraDev Dreamweaver 3 We b We b We Dreamweaver UltraDev We b Dreamweaver UltraDev We b We b 2.1 Web We b We b D r e a m w e a v e r J a v a S c r i p t We b We b 2.1.1 Web We b C C +

More information

\\Server\技術部結案計劃\已量產\

\\Server\技術部結案計劃\已量產\ ADLEEPOWER R PROG PROG REV FWD ADLEEPOWER F302 FUNC PROG STOP FUNC PROG STOP R AS IM (MC) ON - OFF AS ON - OFF IM (NFB) IM SW1 JP2 ARR RUN MET FT2 RST 1 2 3 4 JP1 VCC FA1 FA2 GND C A/B FWD REV

More information

untitled

untitled www.mcudriver.cn 1.1 / 1) WinAVR20070525 2) Source Insight 3) ISP 4) PonyProg ISP 5) USB 6) 1.2. MCU ATMEGA16 1.3. AVR8 1.4 LED0~LED7 1 1.5 #include // Program 1.1 LED.C #define uchar unsigned

More information

Microsoft Word zw

Microsoft Word zw 第 1 章 Android 概述 学习目标 : Android Android Android Studio Android Android APK 1.1 1. 智能手机的定义 Smartphone 2. 智能手机的发展 1973 4 3 PC IBM 1994 IBM Simon PDA PDA Zaurus OS 1996 Nokia 9000 Communicator Nokia 9000

More information

epub83-1

epub83-1 C++Builder 1 C + + B u i l d e r C + + B u i l d e r C + + B u i l d e r C + + B u i l d e r 1.1 1.1.1 1-1 1. 1-1 1 2. 1-1 2 A c c e s s P a r a d o x Visual FoxPro 3. / C / S 2 C + + B u i l d e r / C

More information

Outline USB Application Requirements Variable Definition Communications Code for VB Code for Keil C Practice

Outline USB Application Requirements Variable Definition Communications Code for VB Code for Keil C Practice 路 ESW 聯 USB Chapter 9 Applications For Windows Outline USB Application Requirements Variable Definition Communications Code for VB Code for Keil C Practice USB I/O USB / USB 3 料 2 1 3 路 USB / 列 料 料 料 LED

More information

<4D6963726F736F667420576F7264202D20D4D3D6BECEC4B8E5C4A3B0E52E646F63>

<4D6963726F736F667420576F7264202D20D4D3D6BECEC4B8E5C4A3B0E52E646F63> 1/8 文 / 杜 洋 自 从 我 的 爱 上 单 片 机 一 书 问 世, 爱 上 一 词 就 在 电 子 制 作 的 圈 子 里 小 火 了 一 把 随 后 又 出 现 了 爱 上 制 作 爱 上 Arduino, 还 有 一 大 堆 类 似 名 字 的 出 版 物 虽 然 每 一 本 的 内 容 都 很 精 彩, 但 总 这 么 爱 来 爱 去 的, 是 不 是 有 点 审 美 疲 劳 呢?

More information

Microsoft PowerPoint - XMC4000系列开发环境介绍(DAVE3).pptx

Microsoft PowerPoint - XMC4000系列开发环境介绍(DAVE3).pptx XMC4500 2012 XMC4000 6 11 6 13 6 15 6 18 6 20 6 22 XMC4000 IDE, C-Compilers, Debuggers, Analysis Utilities HW Debuggers Flash Programming Altium Atollic Keil IAR Systems Wind River Hitex PLS isystems Lauterbach

More information

X713_CS_Book.book

X713_CS_Book.book / / /USB ) ; ; C D ; ; B B 1 >> 2 3 B 1 ( > > ) 了解显示屏上显示的图标 Wap 信箱收到一条 Wap push 信息 GSM GPS ( ) 手机已连接到 GSM 网络 指示条越多, 接收质量越好 GPS 2 ...........................4.............................. 4 Micro SD (

More information

歡 迎 謝 謝 您 購 買 全 新 的 Jabra GO 6430 我 們 確 信 您 將 充 分 享 用 此 耳 機 的 一 系 列 強 大 功 能, 同 時 還 會 驚 喜 發 現 耳 機 佩 戴 舒 適, 易 於 使 用 Jabra GO 6430 產 品 包 裝 亦 包 含 Jabra LI

歡 迎 謝 謝 您 購 買 全 新 的 Jabra GO 6430 我 們 確 信 您 將 充 分 享 用 此 耳 機 的 一 系 列 強 大 功 能, 同 時 還 會 驚 喜 發 現 耳 機 佩 戴 舒 適, 易 於 使 用 Jabra GO 6430 產 品 包 裝 亦 包 含 Jabra LI Jabra GO 6430 使 用 者 手 冊 www.jabra.com 歡 迎 謝 謝 您 購 買 全 新 的 Jabra GO 6430 我 們 確 信 您 將 充 分 享 用 此 耳 機 的 一 系 列 強 大 功 能, 同 時 還 會 驚 喜 發 現 耳 機 佩 戴 舒 適, 易 於 使 用 Jabra GO 6430 產 品 包 裝 亦 包 含 Jabra LINK 350 USB 藍

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCAB5D1E9CAD2B7BDB0B82E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCAB5D1E9CAD2B7BDB0B82E646F63> 目 录 一 嵌 入 式 系 统 的 应 用 及 前 景... - 1 - 二 目 前 嵌 入 式 系 统 教 学 现 状 和 实 验 体 系 的 建 设... - 1 - 三 嵌 入 式 教 学 在 本 科 生 中 的 教 学 难 点... - 1 - 四 教 学 难 点 解 决 方 法... - 2-1 选 择 S+core7 核 学 习... - 2-2 选 择 ecos 操 作 系 统 进 行

More information

网上对外发布资料适用版本

网上对外发布资料适用版本 HDLC-LCM 嵌 入 式 低 功 耗 通 信 模 块 Rev.2016.0602 用 户 手 册 电 话 :400-025-5057 网 址 :www.yacer.cn 目 录 1 概 述... 1 1.1 简 介... 1 1.2 特 点... 1 1.3 应 用... 1 1.4 订 购 信 息... 1 1.5 技 术 规 格... 2 1.6 机 械 尺 寸 图... 3 2 硬 件 结

More information

行业

行业 PCL-818HD/HG/L PCL-818HD/HG/L 1.1...2 1.1.1 /...2 1.1.2 ID...2 1.2...3 1.3...3 2.1...3 2.2...3 2.2.1...4 2.2.2...4 2.2.3 DMA...5 2.2.4...5 2.2.5 D/A...5 2.2.6...6 2.2.7 EXE.trigger GATE0...6 2.2.8 FIFO

More information

r_09hr_practical_guide_kor.pdf

r_09hr_practical_guide_kor.pdf PRACTICAL GUIDE TO THE EDIROL R-09HR 3 4 PRACTICAL GUIDE TO THE EDIROL R-09HR 5 Situation 1 6 1 2 3 PRACTICAL GUIDE TO THE EDIROL R-09HR WAV MP3 WAV 24 bit/96 khz WAV 16 bit/44.1 khz MP3 128 kbps/44.1

More information

DVK530/531扩展板

DVK530/531扩展板 DVK720 扩展板 驱动移植手册 2014.04.03 V1.0 版权声明 本手册所有权由深圳市微雪电子有限公司独家持有 未经本公司的书 面许可, 不得以任何方式或形式进行修改 分发或复制本文档的任何 部分, 否则一切后果由违者自负 版本更新记录 版本日期说明 V1.0 2014.04.03 初始发布 深圳市微雪电子有限公司 www.waveshare.net I 目录 版权声明... I 版本更新记录...

More information

6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C C C51 C51 ANSI C MCS-51 C51 ANSI C C C51 bit Byte bit sbit

6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C C C51 C51 ANSI C MCS-51 C51 ANSI C C C51 bit Byte bit sbit 6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C51 6.1 C51 6.1.1 C51 C51 ANSI C MCS-51 C51 ANSI C C51 6.1 6.1 C51 bit Byte bit sbit 1 0 1 unsigned char 8 1 0 255 Signed char 8 11 128

More information

Tel:010-62981668-2930 1

Tel:010-62981668-2930  1 Access 93C46 with SPI function V1.0.0 Jan. 31, 2005 http://www.sunplusmcu.com Tel:010-62981668-2930 http://www.sunplusmcu.com E-mail:mcu@sunplus.com.cn 1 0 0...2 1...3 2...4 2.1...4 2.2...5 3...6 3.1 AT93C46...6

More information

Microsoft Word - Codewarrior IDE快速入门.docx

Microsoft Word - Codewarrior IDE快速入门.docx Codewarrior IDE 快速入门 本章我们以 Codewarrior HC08 V3.1 为例, 创建一个工程, 编辑源文件, 编译后进行调试 1 创建新项目 打开 codewarrior IDE 后, 出现如图 2.1 的界面 在 File 菜单中选择 New 图 2.1 codewarrior 在弹出的新窗口中, 选择 HC(S)08 New Project Wizard, 在 Project

More information

目 录

目      录 61 61 61 61 2004-2-23 Sunplus University Program http://www.unsp.com.cn E-mail:unsp@sunplus.com.cn 1 61 1... 5 1.1... 5 1.2 61... 5 2... 8 2.1... 8 2.2... 8 2.2.1... 8 2.2.2... 10 2.3... 10 2.3.1... 10

More information

G30

G30 I/O R TD Modbus RTU RS Modbus RTU TCP/IP MB MMI 0 I/O RTD A V W var VA PF Hz Wh varh LED L CD RS 9,00pbs BaseT Mbs ModBus TM RTU ModBus TM TCP/IP (DNP) EnerVista R TD 5 6 95 96 www.gemultilin.com/cn 69

More information

Unidrive M Unidrive M M200 I/O CommanderSK Unidrive M Unidrive M Discover Unidrive M ( AppStore Android ) E

Unidrive M Unidrive M M200 I/O CommanderSK Unidrive M   Unidrive M Discover Unidrive M ( AppStore Android ) E Unidrive M200 0.25 kw - 110 kw (0.33 hp - 150 hp) 100 V 200 V 400 V 575 V 690 V Unidrive M Unidrive M M200 I/O CommanderSK Unidrive M www.unidrivem.com Unidrive M Discover Unidrive M ( AppStore Android

More information

Tel: , Fax: STR-15 STR bps 2400bps 4800bps 9600bps STR : 500mW 2.ISM 433

Tel: , Fax: STR-15 STR bps 2400bps 4800bps 9600bps STR : 500mW 2.ISM 433 Tel:086-21-50807785, 50273226 Fax:086-21-50807785-807 http://www.sendbow.com TEL +86-021-50273226 50807785 13816690692 FAX:+86-021-50807785-807 167 E-mail:mailto:technology@sendbow.com web:http://www.sendbow.com

More information

行业

行业 PCL-727 PCL-727 1.1...2 1.2...2 1.3...2 1.4...3 2.1...3 2.2...3 2.2.1...3 2.2.2...4 2.2.3...5 2.3...6 2.4...7 2.4.1...7 2.4.2...9 2.5...15 2.5.1...16 2.5.2...17 2.5.3...18 3.1...19 3.1.1...19 3.1.2 4~20mA...20

More information

Data Management Software CL-S10w

Data Management Software CL-S10w Data Management Software CL-S10w WindowsWindows 7 Microsoft Windows 7 Professional Operating System WindowsWindows 8.1 Microsoft Windows 8.1 Pro Operating System WindowsWindows 10 Microsoft Windows 10

More information

逢 甲 大 學

逢  甲  大  學 益 老 年 不 易更 例 不 異 列 - I - 錄 錄 流 錄 六 來 錄 - II - 錄 錄 錄 錄 錄 錄 參 料 錄 - III - 料 讀 讀 錄 讀 數 錄 錄 錄 錄 錄 - IV - 錄 錄 行 錄 錄 錄 錄 讀 錄 錄 錄 讀 錄 錄 - V - 了 說 力 兩 了 - 1 - 列 邏 路 列 不 不 FLEX 10K Devices at a Glance Feature

More information

Measurement Studio Expands Your Test and Measurement Programming Power

Measurement Studio Expands Your Test and Measurement Programming Power NI-DAQmx NI-DAQ NI-DAQmx NI-DAQ NI-DAQmx NI-DAQmx NI-DAQ NI-DAQmx NI-DAQmx LabVIEW LabWindows/CVI ANSI C Measurement Studio Visual Studio I/O 1. I/O API I/O NI NI NI NI ADE 1.NI-DAQmx NI & MAX DAQ Assistant

More information

1955 1 1979 1982 3 1985 7 1400 28 1939 700 525 15 300 1956 15000 15000 5000 775 31 992 1980 1982 100 1959 1000 1130 1981 1985 1982 1985 1958 1985 1957

1955 1 1979 1982 3 1985 7 1400 28 1939 700 525 15 300 1956 15000 15000 5000 775 31 992 1980 1982 100 1959 1000 1130 1981 1985 1982 1985 1958 1985 1957 24 193 5 26 1950 5 1952 1954 1952 1956 9 1957 5 3 1963 1975 12 1200 1983 4 1984 81 1985 26 136 1952 1954 1964 86 1979 1981 198 9 87 20 80 1985 768 1955 1 1979 1982 3 1985 7 1400 28 1939 700 525 15 300

More information

PCM-3386用户手册.doc

PCM-3386用户手册.doc PCM-3386 BBPC-4x86 10/100M PC/104 (Lanry technology Co. Ltd. Zhuhai) 38 1012836 (Address: Room 1012,Linhai Building,No. 38,west of Shihua Road,Zhuhai City,Guangdong Province,China) (post code)519015 (phone)0756-3366659

More information

Unidrive M400 PLC 0.25 kw kw (0.33 hp hp) 100 V 200 V 400 V 575 V 690 V

Unidrive M400 PLC 0.25 kw kw (0.33 hp hp) 100 V 200 V 400 V 575 V 690 V Unidrive M400 PLC 0.25 kw - 110 kw (0.33 hp - 150 hp) 100 V 200 V 400 V 575 V 690 V Unidrive M Unidrive M Unidrive M400 I/O Commander SK Unidrive M www.unidrivem.com Unidrive M Discover Unidrive M App

More information

MSP430单片机简介

MSP430单片机简介 . September 14, 2012 . 1 简介 MSP430 单片机特点超低功耗 2 MSP430 时钟系统 3 MSP430 的端口 4 定时器看门狗定时器定时器 A 5 示例 MSP430 单片机特点. 简介 MSP430 单片机是美国德州仪器 (TI) 公司 1996 年开始推向市场的一种 16 位超低功耗 具有精简指令集的混合信号处理器 它将多个不同功能的模拟电路 数字电路模块和微处理器集成在了一个芯片上

More information

untitled

untitled DOP www.deltaww.com DOP (RS232/RS485/ RS422) DOP USB SD 30 100 PLC Best Performance Huma Beautiful Display Beneficial Feature 1 DOP LED 65536 2D n Machine Interface s 2 DOP-B DOP-B 4.3 inches Wide B03S211

More information