前 言 2008 年, 我 参 加 了 几 次 可 编 程 器 件 供 应 商 举 办 的 技 术 研 讨 会, 让 我 留 下 深 刻 印 象 的 是 参 加 这 些 研 讨 会 的 工 程 师 人 数 之 多, 简 直 可 以 用 爆 满 来 形 容, 很 多 工 程 师 聚 精 会 神 地 全

Size: px
Start display at page:

Download "前 言 2008 年, 我 参 加 了 几 次 可 编 程 器 件 供 应 商 举 办 的 技 术 研 讨 会, 让 我 留 下 深 刻 印 象 的 是 参 加 这 些 研 讨 会 的 工 程 师 人 数 之 多, 简 直 可 以 用 爆 满 来 形 容, 很 多 工 程 师 聚 精 会 神 地 全"

Transcription

1 电 子 工 程 师 创 新 设 计 必 备 宝 典 系 列 之 FPGA 开 发 全 攻 略 FPGA 开 发 全 攻 略 工 程 师 创 新 设 计 宝 典 上 册 基 础 篇 2009 年 2 月 1.0 版

2 前 言 2008 年, 我 参 加 了 几 次 可 编 程 器 件 供 应 商 举 办 的 技 术 研 讨 会, 让 我 留 下 深 刻 印 象 的 是 参 加 这 些 研 讨 会 的 工 程 师 人 数 之 多, 简 直 可 以 用 爆 满 来 形 容, 很 多 工 程 师 聚 精 会 神 地 全 天 听 讲, 很 少 出 现 吃 完 午 饭 就 闪 人 的 现 象, 而 且 工 程 师 们 对 研 讨 会 上 展 出 的 基 于 可 编 程 器 件 的 通 信 消 费 电 子 医 疗 电 子 工 业 等 解 决 方 案 也 有 浓 厚 的 兴 趣, 这 和 其 他 器 件 研 讨 会 形 成 了 鲜 明 的 对 比 张 国 斌 电 子 书 主 编 2009 年 2 月 25 日 Garnter 和 isuppli 公 布 的 数 据 显 示 :2008 年, 全 球 半 导 体 整 体 销 售 出 现 25 年 以 来 首 次 萎 缩 现 象, 但 是, 可 编 程 器 件 却 还 在 保 持 了 增 长, 预 计 2008 年 可 编 程 逻 辑 器 件 (PLD) 市 场 销 售 额 增 长 7.6%, 可 编 程 器 件 的 领 头 羊 美 国 供 应 商 赛 灵 思 公 司 2008 年 营 业 收 入 预 计 升 6.5%! 在 全 球 经 济 危 机 的 背 景 下, 这 是 非 常 骄 人 的 业 绩! 也 足 见 可 编 程 器 件 在 应 用 领 域 的 热 度 没 有 受 到 经 济 危 机 的 影 响! 这 可 能 也 解 释 了 为 什 么 那 么 多 工 程 师 对 可 编 程 器 件 感 兴 趣 吧 在 与 工 程 师 的 交 流 中, 我 发 现, 很 多 工 程 师 非 常 需 要 普 及 以 FPGA 为 代 表 的 可 编 程 器 件 的 应 用 开 发 知 识, 也 有 很 多 工 程 师 苦 于 进 阶 无 门, 缺 乏 专 业 权 威 性 的 指 导, 在 Google 上 搜 索 后, 我 发 现 很 少 有 帮 助 工 程 师 设 计 的 FPGA 电 子 书, 即 使 有 也 只 是 介 绍 一 些 概 念 性 的 基 础 知 识, 缺 乏 实 用 性 和 系 统 性, 于 是, 我 萌 生 了 出 版 一 本 指 导 工 程 师 FPGA 应 用 开 发 电 子 书 的 想 法, 而 且 这 个 电 子 书 要 突 出 实 用 性, 让 大 家 都 可 以 免 费 下 载, 并 提 供 许 多 技 巧 和 资 源 信 息, 很 高 兴 美 国 赛 灵 思 公 司 对 这 个 想 法 给 予 了 大 力 支 持, 赛 灵 思 公 司 亚 太 区 市 场 经 理 张 俊 伟 小 姐 和 高 级 产 品 经 理 梁 晓 明 先 生 对 电 子 书 提 出 了 宝 贵 的 意 见, 并 提 供 了 大 量 FPGA 设 计 资 源, 也 介 绍 了 一 些 FPGA 设 计 高 手 参 与 了 电 子 书 的 编 撰, 很 短 的 时 间 内, 一 个 电 子 书 项 目 团 队 组 建 起 来, 北 京 邮 电 大 学 的 研 究 生 田 耘 先 生 和 赛 灵 思 公 司 上 海 办 事 处 的 苏 同 麒 先 生 等 人 都 参 与 了 电 子 书 的 编 写, 他 们 是 有 丰 富 设 计 经 验 的 高 手, 在 大 家 的 共 同 努 力 下, 这 本 凝 结 着 智 慧 的 FPGA 电 子 书 终 于 和 大 家 见 面 了! 我 希 望 这 本 电 子 书 可 以 成 为 对 FPGA 有 兴 趣 或 正 在 使 用 FPGA 进 行 开 发 的 工 程 师 的 手 头 设 计 宝 典 之 一, 也 希 望 这 个 电 子 书 可 以 对 工 程 师 们 学 习 FPGA 开 发 和 进 阶 有 实 用 的 帮 助! 如 果 可 能, 未 来 我 们 还 将 出 版 后 续 版 本! 2.

3 目 录 前 言 2 第 一 章 为 什 么 工 程 师 要 掌 握 FPGA 开 发 知 识? 5 第 二 章 FPGA 基 本 知 识 与 发 展 趋 势 FPGA 结 构 和 工 作 原 理 梦 想 成 就 伟 业 FPGA 结 构 软 核 硬 核 以 及 固 核 的 概 念 从 可 编 程 器 件 发 展 看 FPGA 未 来 趋 势 15 第 三 章 FPGA 主 要 供 应 商 与 产 品 赛 灵 思 主 要 产 品 介 绍 17 第 四 章 FPGA 开 发 基 本 流 程 典 型 FPGA 开 发 流 程 与 注 意 事 项 基 于 FPGA 的 SOC 设 计 方 法 32 基 于 FPGA 的 典 型 SOC 开 发 流 程 为 32 第 五 章 FPGA 实 战 开 发 技 巧 FPGA 器 件 选 型 常 识 器 件 的 供 货 渠 道 和 开 发 工 具 的 支 持 器 件 的 硬 件 资 源 电 气 接 口 标 准 器 件 的 速 度 等 级 器 件 的 温 度 等 级 器 件 的 封 装 器 件 的 价 格 如 何 进 行 FPGA 设 计 早 期 系 统 规 划 综 合 和 仿 真 技 巧 综 合 工 具 XST 的 使 用 基 于 ISE 的 仿 真 和 FPGA 接 口 相 关 的 设 置 以 及 时 序 分 析 综 合 高 手 揭 秘 XST 的 11 个 技 巧 大 规 模 设 计 带 来 的 综 合 和 布 线 问 题 FPGA 相 关 电 路 设 计 知 识 54

4 5.5.1 配 置 电 路 主 串 模 式 最 常 用 的 FPGA 配 置 模 式 SPI 串 行 Flash 配 置 模 式 从 串 配 置 模 式 JTAG 配 置 模 式 System ACE 配 置 方 案 大 规 模 设 计 的 调 试 经 验 ChipScope Pro 组 件 应 用 实 例 FPGA 设 计 的 IP 和 算 法 应 用 IP 核 综 述 FFT IP 核 应 用 示 例 赛 灵 思 FPGA 的 专 用 HDL 开 发 技 巧 赛 灵 思 FPGA 的 体 系 结 构 特 点 赛 灵 思 FPGA 芯 片 专 用 代 码 风 格 79 ISE 与 EDK 开 发 技 巧 之 时 序 篇 新 一 代 开 发 工 具 ISE Design Suit10.1 介 绍 ISE Design Suit10.1 综 述 ISE Design Suit 10.1 的 创 新 特 性 ISE 与 第 三 方 软 件 的 配 合 使 用 技 巧 Synplify Pro 软 件 的 使 用 ModelSim 软 件 的 使 用 Synplify Pro ModelSim 和 ISE 的 联 合 开 发 流 程 ISE 与 MATLAB 的 联 合 使 用 征 服 FPGA 低 功 耗 设 计 的 三 个 挑 战 高 手 之 路 FPGA 设 计 开 发 中 的 进 阶 路 线 111 附 录 一 FPGA 开 发 资 源 总 汇 112 附 录 二 编 委 信 息 与 后 记 113 附 录 三 版 权 声 明 114

5 第 一 章 为 什 么 工 程 师 要 掌 握 FPGA 开 发 知 识? 作 者 : 张 国 斌 田 耘 2008 年 年 初, 某 著 名 嵌 入 式 系 统 IT 公 司 为 了 帮 助 其 产 品 售 后 工 程 师 和 在 线 技 术 支 持 工 程 师 更 好 的 理 解 其 产 品, 举 行 了 ASIC/FPGA 基 础 专 场 培 训. 由 于 后 者 因 为 保 密 制 度 而 只 能 接 触 到 板 级 电 路 图 和 LAYOUT, 同 时 因 ASIC/FPGA 都 是 典 型 的 SoC 应 用, 通 常 只 是 将 ASIC/FPGA 当 作 黑 盒 来 理 解, 其 猜 测 性 读 图 造 成 公 司 与 外 部 及 公 司 内 部 大 量 的 无 效 沟 通. 培 训 结 束 后, 参 与 者 纷 纷 表 示 ASIC/FPGA 的 白 盒 式 剖 析 极 大 提 高 了 对 产 品 的 理 解, 有 效 解 决 了 合 作 伙 伴 和 客 户 端 理 解 偏 异 性 问 题, 参 加 培 训 的 工 程 师 小 L 表 示 : FPGA 同 时 拥 有 强 大 的 处 理 功 能 和 完 全 的 设 计 自 由 度, 以 致 于 它 的 行 业 对 手 ASIC 的 设 计 者 在 做 wafer fabrication 之 前, 也 大 量 使 用 FPGA 来 做 整 个 系 统 的 板 级 仿 真, 学 习 FPGA 开 发 知 识 不 但 提 升 了 我 们 的 服 务 质 量 从 个 人 角 度 讲 也 提 升 了 自 己 的 价 值 实 际 上, 小 L 只 是 中 国 数 十 万 FPGA 开 发 工 程 师 中 一 个 缩 影, 目 前, 随 着 FPGA 从 可 编 程 逻 辑 芯 片 升 级 为 可 编 程 系 统 级 芯 片, 其 在 电 路 中 的 角 色 已 经 从 最 初 的 逻 辑 胶 合 延 伸 到 数 字 信 号 处 理 接 口 高 密 度 运 算 等 更 广 阔 的 范 围, 应 用 领 域 也 从 通 信 延 伸 到 消 费 电 子 汽 车 电 子 工 业 控 制 医 疗 电 子 等 更 多 领 域, 现 在, 大 批 其 他 领 域 的 工 程 师 也 像 小 L 一 样 加 入 到 FPGA 学 习 应 用 大 军 中 未 来, 随 着 FPGA 把 更 多 的 硬 核 如 PowerPC 处 理 器 等 集 成 进 来, 以 及 采 用 新 的 工 艺 将 存 储 单 元 集 成,FPGA 越 来 越 成 为 一 种 融 合 处 理 存 储 接 口 于 一 体 的 超 级 芯 片, FPGA 会 成 为 一 种 板 级 芯 片, 未 来 的 电 子 产 品 可 以 通 过 配 置 FPGA 来 实 现 功 能 的 升 级, 实 际 上, 某 些 通 信 设 备 厂 商 已 经 在 尝 试 这 样 做 了 赛 灵 思 公 司 全 球 资 深 副 总 裁 汤 立 人 这 样 指 出 可 以 想 象, 未 来,FPGA 开 发 能 力 对 工 程 师 而 言 将 成 为 类 似 C 语 言 的 基 础 能 力 之 一, 面 对 这 样 的 发 展 趋 势, 你 还 能 简 单 地 将 FPGA 当 成 一 种 逻 辑 器 件 吗? 还 能 对 FPGA 的 发 展 无 动 于 衷 吗? 电 子 产 品 设 计 趋 势 的 变 化 自 电 子 产 品 诞 生 之 日 起, 电 子 产 品 开 发 流 程 和 方 法 就 随 着 电 子 元 器 件 的 不 断 演 进 而 变 化, 从 最 早 的 电 子 管 器 件 到 晶 体 管 再 到 集 成 电 路, 工 程 师 在 设 计 产 品 时, 所 采 用 的 工 具 和 方 法 都 有 所 不 同, 但 是 总 的 来 说 贯 穿 电 子 设 计 的 统 一 思 路 是 : 使 用 印 刷 电 路 板 上 的 分 立 现 成 元 件 连 接 器 或 IC 创 建 物 理 平 台 实 现 所 需 要 的 功 能 例 如, 在 60 年 代, 如 果 要 设 计 一 个 收 音 机, 工 程 师 必 须 通 过 在 PCB 板 上 通 过 晶 体 管 电 阻 电 容 电 感 电 线 滤 波 器 二 极 管 等 电 路 搭 建 出 一 个 物 理 平 台, 实 现 对 RF 信 号 的 调 谐 滤 波 放 大 等, 最 后 实 现 收 音 机 的 功 能 集 成 电 路 出 现 以 后, 一 些 分 立 器 件 被 集 成 到 一 颗 芯 片 上, 但 是 总 的 设 计 思 路 没 有 变 化, 还 是 要 在 一 个 PCB 板 上 通 过 无 源 器 件 和 IC 搭 建 出 一 个 物 理 平 台, 实 现 信 号 的 接 收 处 理 和 输 出 但 是, 随 着 FPGA 等 可 编 程 器 件 的 诞 生, 设 计 思 路 正 发 生 着 微 妙 的 变 化 随 着 更 多 功 能 从 分 立 器 件 移 到 可 编 程 领 域, 各 种 不 同 的 设 计 流 程 交 汇 到 了 一 起 现 在, 有 效 的 电 子 设 计 是 将 板 卡 设 计 可 编 程 逻 辑 设 计 和 软 件 开 发 融 合 在 一 起, 未 来, 随 着 FPGA 融 合 处 理 存 储 于 一 体, 板 卡 设 计 将 融 合 进 可 编 程 逻 辑 设 计 中, 电 子 产 品 设 计 将 演 变 为 可 编 程 逻 辑 设 计 和 嵌 入 式 软 件 设 计, 那 时, 电 子 设 计 将 更 体 现 一 种 软 设 计, 一 种 通 过 开 发 语 言 和 工 具 实 现 的 设 计, 而 FPGA 将 成 为 这 种 5.

6 软 设 计 的 载 体, 以 FPGA 形 式 存 在 的 低 成 本 大 规 模 可 编 程 器 件 可 以 随 时 随 地 获 得, 这 使 设 计 者 有 可 能 将 所 有 系 统 核 心 功 能 都 转 移 到 软 设 计 中, 并 利 用 这 种 设 计 的 优 势 这 些 软 设 计 优 势 包 括 : 更 容 易 保 护 系 统 功 能 使 其 不 被 仿 制 或 逆 向 工 程, 编 程 到 设 计 中 的 软 元 素 容 易 更 新, 使 设 计 过 程 更 具 连 续 性 好 的 工 具 所 设 计 的 软 设 计 不 依 赖 于 事 先 指 定 的 硬 件 平 台 而 且, 设 计 可 以 在 最 终 硬 件 平 台 内 继 续 进 行, 即 使 产 品 已 经 移 交 客 户 也 仍 然 可 行 即 软 设 计 将 成 为 电 子 设 计 的 发 展 方 向 另 一 点, 现 今 及 未 来 的 电 子 产 品 都 在 追 求 智 能 化 和 个 性 化, 智 能 化 只 能 通 过 软 件 来 实 现, 个 性 化 呢, 需 要 工 程 师 简 单 地 修 改 就 可 体 现 不 同 的 特 色, 另 外 也 需 要 保 护 自 己 的 设 计 不 被 仿 制, 要 做 到 这 点, 也 需 要 可 编 程 器 件 每 个 工 程 师 都 希 望 自 的 产 品 永 远 与 众 不 同 与 众 不 同 就 是 要 让 产 品 与 竞 争 产 品 不 一 样, 让 购 买 者 选 择 你 的 产 品 而 不 选 择 竞 争 对 手 的 产 品 但 是, 怎 么 样 才 能 在 日 益 全 球 化 的 市 场 中 保 持 与 众 不 同 呢? 不 要 再 指 望 在 硬 件 上 能 达 到 目 的, 因 为 现 在 几 乎 每 个 人 都 能 获 得 同 样 的 芯 片 当 现 有 物 理 硬 件 中 实 现 的 任 何 功 能 受 到 市 场 的 欢 迎 的 同 时, 大 量 的 仿 制 就 出 现 了 所 以 要 将 产 品 的 区 别 建 立 在 编 程 器 件 智 能 上, 保 护 有 价 值 的 IP, 并 且 使 竞 争 对 手 很 难 对 其 进 行 逆 向 工 程 而 且, 即 使 硬 件 已 经 制 造 出 来, 产 品 仍 可 以 通 过 软 设 计 进 行 创 新 并 为 产 品 增 值, 产 品 的 成 功 就 有 了 保 障 而 这 些, 都 离 不 开 可 编 程 器 件 可 编 程 器 件 是 实 现 软 设 计 的 保 障 和 载 体 电 子 设 计 工 程 师 设 计 方 法 和 设 计 内 容 在 不 断 变 化 电 子 设 计 工 程 师 的 设 计 方 法 和 内 容 其 实 也 在 一 直 变 化, 电 子 管 时 代, 设 计 工 程 师 要 掌 握 电 子 管 的 性 能 和 设 计 要 点, 晶 体 管 时 代, 设 计 工 程 师 要 熟 悉 跟 中 电 路 的 作 用 和 搭 建, 集 成 电 路 诞 生 以 后, 设 计 工 程 师 要 熟 悉 IC 管 脚 的 作 用 和 功 能, 而 设 计 工 具 从 最 早 的 草 稿 图 软 件 辅 助 设 计 也 发 展 到 电 子 设 计 自 动 化 工 具 (EDA 软 件 ), 以 FPGA 为 代 表 的 可 编 程 器 件 诞 生 后, 设 计 工 程 师 不 但 要 设 计 硬 件 电 路 更 要 熟 悉 HDL Verilog 等 IC 设 计 语 言, 此 外, 还 要 熟 悉 接 口 数 字 信 号 处 理 算 法 EDA 设 计 方 法 学 等 等, 电 子 工 程 师 要 学 习 的 知 识 日 益 增 多 未 来 的 硬 件 工 程 师 是 什 么 样 的? 那 么, 未 来 的 的 硬 件 设 计 工 程 师 是 什 么 样 的? 或 者 说 未 来 的 硬 件 设 计 工 程 是 怎 样 的? 而 已 这 样 说 : 以 VHDL 或 者 Verilog 语 言 来 表 达 设 计 意 图 以 FPGA 做 为 硬 件 载 体 以 计 算 机 为 设 计 开 发 工 具, 以 EDA 软 件 为 开 发 环 境 以 SoC IP 等 为 综 合 设 计 的 方 法, 已 经 成 为 硬 件 设 计 工 程 的 主 要 特 征 可 以 预 见,FPGA 将 成 为 未 来 的 硬 件 工 程 师 必 用 的 设 计 元 素 之 一 另 外,FPGA 在 应 用 中 的 其 他 显 著 优 势 是 可 以 减 少 BOM 整 合 多 个 分 立 的 数 字 器 件 ( 例 如 一 个 很 小 很 便 宜 的 CPLD 可 以 替 换 好 几 个 74 系 列 芯 片 ) 降 低 PCB 布 线 难 度 (MGT/GTP 等 串 行 收 发 器 将 原 本 与 需 要 三 五 十 条 线 并 行 数 据 线 替 换 为 少 量 的 串 行 线 路 ) 可 定 制 性 ( 可 以 自 己 写 代 码 来 支 持 非 标 准 的 接 口 ), 可 扩 展 性 ( 可 编 程 易 修 改 方 便 升 级 ) 加 速 面 市 时 间 ( 只 需 关 心 功 能 实 现, 不 需 要 再 花 时 间 制 成 专 用 IC) 等, 这 样 FPGA 带 给 设 计 的 公 司 的 好 处 已 经 不 是 从 成 本 体 现 了, 它 可 以 大 幅 度 提 升 开 发 的 效 率! 综 上 所 述, 我 们 就 明 白 为 什 么 工 程 师 要 掌 握 FPGA 开 发 知 识 了, 希 望 本 书 有 助 于 大 家 了 解 和 掌 握 FPGA 开 发 6.

7 第 二 章 FPGA 基 本 知 识 与 发 展 趋 势 FPGA 是 英 文 Field Programmable Gate Array 的 缩 写, 即 现 场 可 编 程 门 阵 列, 它 是 在 PAL GAL CPLD 等 可 编 程 器 件 的 基 础 上 进 一 步 发 展 的 产 物 它 是 作 为 专 用 集 成 电 路 (ASIC) 领 域 中 的 一 种 半 定 制 电 路 而 出 现 的, 既 解 决 了 定 制 电 路 的 不 足, 又 克 服 了 原 有 可 编 程 器 件 门 电 路 数 有 限 的 缺 点 它 是 当 今 数 字 系 统 设 计 的 主 要 硬 件 平 台, 其 主 要 特 点 就 是 完 全 由 用 户 通 过 软 件 进 行 配 置 和 编 程, 从 而 完 成 某 种 特 定 的 功 能, 且 可 以 反 复 擦 写 在 修 改 和 升 级 时, 不 需 额 外 地 改 变 PCB 电 路 板, 只 是 在 计 算 机 上 修 改 和 更 新 程 序, 使 硬 件 设 计 工 作 成 为 软 件 开 发 工 作, 缩 短 了 系 统 设 计 的 周 期, 提 高 了 实 现 的 灵 活 性 并 降 低 了 成 本, 因 此 获 得 了 广 大 硬 件 工 程 师 的 青 睐 2.1 FPGA 结 构 和 工 作 原 理 梦 想 成 就 伟 业 1984 年, 在 硅 谷 工 作 的 Bernie Vonderschmitt Ross Freeman 和 Jim Barnett 共 同 构 建 了 一 个 设 想, 他 们 梦 想 创 立 一 家 不 同 于 一 般 的 公 司 他 们 希 望 创 建 一 家 在 整 个 新 领 域 内 开 发 和 推 出 先 进 技 术 的 公 司 并 且, 他 们 还 希 望 以 这 种 方 式 领 导 它 : 在 这 里 工 作 的 人 们 热 爱 他 们 的 工 作 享 受 工 作 的 乐 趣, 并 对 他 们 所 从 事 的 工 作 着 迷 图 2-1 Ross Freeman( 左 ) 是 FPGA 的 发 明 人,Bernie Vonderschmitt( 右 ) 是 赛 灵 思 公 司 的 创 始 人 创 造 性 地 推 出 了 无 晶 圆 半 导 体 公 司 的 概 念 2009 年 2 月 18 日,Ross Freeman 因 他 的 这 项 发 明 现 场 可 编 程 门 阵 列 (FPGA) 而 荣 登 2009 美 国 发 明 家 名 人 堂 Freeman 先 生 的 发 明 是 一 块 全 部 由 开 放 式 门 组 成 的 计 算 机 芯 片, 其 专 利 号 为 4,870,302 采 用 这 种 芯 片, 工 程 师 可 以 根 据 需 要 进 行 编 程, 添 加 新 的 功 能, 满 足 不 断 发 展 的 标 准 或 规 范 要 求, 并 可 在 设 计 的 最 后 阶 段 进 行 修 改 7.

8 2.1.2 FPGA 结 构 对 PROM EPROM E2PROM 熟 悉 的 人 都 知 道 这 些 可 编 程 器 件 的 可 编 程 原 理 是 通 过 加 高 压 或 紫 外 线 导 致 三 极 管 或 MOS 管 内 部 的 载 流 子 密 度 发 生 变 化, 实 现 所 谓 的 可 编 程, 但 是 这 些 器 件 或 只 能 实 现 单 次 可 编 程 或 编 程 状 态 难 以 稳 定 FPGA 则 不 同, 它 采 用 了 逻 辑 单 元 阵 列 LCA(Logic Cell Array) 这 样 一 个 新 概 念, 内 部 包 括 可 配 置 逻 辑 模 块 CLB(Configurable Logic Block) 输 出 输 入 模 块 IOB(Input Output Block) 和 内 部 连 线 (Interconnect) 三 个 部 分 FPGA 的 可 编 程 实 际 上 是 改 变 了 CLB 和 IOB 的 触 发 器 状 态, 这 样, 可 以 实 现 多 次 重 复 的 编 程 由 于 FPGA 需 要 被 反 复 烧 写, 它 实 现 组 合 逻 辑 的 基 本 结 构 不 可 能 像 ASIC 那 样 通 过 固 定 的 与 非 门 来 完 成, 而 只 能 采 用 一 种 易 于 反 复 配 置 的 结 构 查 找 表 可 以 很 好 地 满 足 这 一 要 求, 目 前 主 流 FPGA 都 采 用 了 基 于 SRAM 工 艺 的 查 找 表 结 构, 也 有 一 些 军 品 和 宇 航 级 FPGA 采 用 Flash 或 者 熔 丝 与 反 熔 丝 工 艺 的 查 找 表 结 构 通 过 烧 写 文 件 改 变 查 找 表 内 容 的 方 法 来 实 现 对 FPGA 的 重 复 配 置 根 据 数 字 电 路 的 基 本 知 识 可 以 知 道, 对 于 一 个 n 输 入 的 逻 辑 运 算, 不 管 是 与 或 非 运 算 还 是 异 或 运 算 等 等, 最 多 只 可 能 存 在 2n 种 结 果 所 以 如 果 事 先 将 相 应 的 结 果 存 放 于 一 个 存 贮 单 元, 就 相 当 于 实 现 了 与 非 门 电 路 的 功 能 FPGA 的 原 理 也 是 如 此, 它 通 过 烧 写 文 件 去 配 置 查 找 表 的 内 容, 从 而 在 相 同 的 电 路 情 况 下 实 现 了 不 同 的 逻 辑 功 能 查 找 表 (Look-Up-Table) 简 称 为 LUT,LUT 本 质 上 就 是 一 个 RAM 目 前 FPGA 中 多 使 用 4 输 入 的 LUT, 所 以 每 一 个 LUT 可 以 看 成 一 个 有 4 位 地 址 线 的 的 RAM 当 用 户 通 过 原 理 图 或 HDL 语 言 描 述 了 一 个 逻 辑 电 路 以 后,PLD/FPGA 开 发 软 件 会 自 动 计 算 逻 辑 电 路 的 所 有 可 能 结 果, 并 把 真 值 表 ( 即 结 果 ) 事 先 写 入 RAM, 这 样, 每 输 入 一 个 信 号 进 行 逻 辑 运 算 就 等 于 输 入 一 个 地 址 进 行 查 表, 找 出 地 址 对 应 的 内 容, 然 后 输 出 即 可 表 2-1 输 入 与 门 的 真 值 表 从 表 中 可 以 看 到,LUT 具 有 和 逻 辑 电 路 相 同 的 功 能 实 际 上,LUT 具 有 更 快 的 执 行 速 度 和 更 大 的 规 模 由 于 基 于 LUT 的 FPGA 具 有 很 高 的 集 成 度, 其 器 件 密 度 从 数 万 门 到 数 千 万 门 不 等, 可 以 完 成 极 其 复 杂 的 时 序 与 逻 辑 组 合 逻 辑 电 路 功 能, 所 以 适 用 于 高 速 高 密 度 的 高 端 数 字 逻 辑 电 路 设 计 领 域 其 组 成 部 分 主 要 有 可 编 程 输 入 / 输 出 单 元 基 本 可 编 程 逻 辑 单 元 内 嵌 SRAM 丰 富 的 布 线 资 源 底 层 嵌 入 功 能 单 元 内 嵌 专 用 单 元 等, 8.

9 主 要 设 计 和 生 产 厂 家 有 赛 灵 思 Altera Lattice Actel Atmel 和 QuickLogic 等 公 司, 其 中 最 大 的 是 美 国 赛 灵 思 公 司, 占 有 可 编 程 市 场 50% 以 上 的 市 场 份 额, 比 其 他 所 有 竞 争 对 手 市 场 份 额 的 总 和 还 多 FPGA 是 由 存 放 在 片 内 RAM 中 的 程 序 来 设 置 其 工 作 状 态 的, 因 此, 工 作 时 需 要 对 片 内 的 RAM 进 行 编 程 用 户 可 以 根 据 不 同 的 配 置 模 式, 采 用 不 同 的 编 程 方 式 加 电 时,FPGA 芯 片 将 EPROM 中 数 据 读 入 片 内 编 程 RAM 中, 配 置 完 成 后,FPGA 进 入 工 作 状 态 掉 电 后, FPGA 恢 复 成 白 片, 内 部 逻 辑 关 系 消 失, 因 此,FPGA 能 够 反 复 使 用 FPGA 的 编 程 无 须 专 用 的 FPGA 编 程 器, 只 须 用 通 用 的 EPROM PROM 编 程 器 即 可 这 样, 同 一 片 FPGA, 不 同 的 编 程 数 据, 可 以 产 生 不 同 的 电 路 功 能 因 此,FPGA 的 使 用 非 常 灵 活 图 2-2 被 广 泛 应 用 的 Xilinx Spartan-3 系 列 FPGA 如 前 所 述,FPGA 是 由 存 放 在 片 内 的 RAM 来 设 置 其 工 作 状 态 的, 因 此 工 作 时 需 要 对 片 内 RAM 进 行 编 程 用 户 可 根 据 不 同 的 配 置 模 式, 采 用 不 同 的 编 程 方 式 Xilinx FPGA 的 常 用 配 置 模 式 有 5 类 : 主 串 模 式 从 串 模 式 Select MAP 模 式 Desktop 配 置 和 直 接 SPI 配 置 目 前,FPGA 市 场 占 有 率 最 高 的 两 大 公 司 赛 灵 思 公 司 和 Altera 生 产 的 FPGA 都 是 基 于 SRAM 工 艺 的, 需 要 在 使 用 时 外 接 一 个 片 外 存 储 器 以 保 存 程 序 上 电 时,FPGA 将 外 部 存 储 器 中 的 数 据 读 入 片 内 RAM, 完 成 配 置 后, 进 入 工 作 状 态 ; 掉 电 后 FPGA 恢 复 为 白 片, 内 部 逻 辑 消 失 这 样 FPGA 不 仅 能 反 复 使 用, 还 无 需 专 门 的 FPGA 编 程 器, 只 需 通 用 的 EPROM PROM 编 程 器 即 可 Actel QuickLogic 等 公 司 还 提 供 反 熔 丝 技 术 的 FPGA, 具 有 抗 辐 射 耐 高 低 温 低 功 耗 和 速 度 快 等 优 点, 在 军 品 和 航 空 航 天 领 域 中 应 用 较 多, 但 这 种 FPGA 不 能 重 复 擦 写, 开 发 初 期 比 较 麻 烦, 费 用 也 比 较 昂 贵 Lattice 是 ISP 技 术 的 发 明 者, 在 小 规 模 PLD 应 用 上 有 一 定 的 特 色 早 期 的 赛 灵 思 公 司 产 品 一 般 不 涉 及 军 品 和 宇 航 级 市 场, 但 目 前 已 经 有 多 款 产 品 进 入 该 类 领 域 9.

10 图 2-3 FPGA 芯 片 内 部 结 构 FPGA 芯 片 结 构 目 前 主 流 的 FPGA 仍 是 基 于 查 找 表 技 术 的, 已 经 远 远 超 出 了 先 前 版 本 的 基 本 性 能, 并 且 整 合 了 常 用 功 能 ( 如 RAM 时 钟 管 理 和 DSP) 的 硬 核 (ASIC 型 ) 模 块 如 图 2-3 所 示 ( 注 : 图 2-3 只 是 一 个 示 意 图, 实 际 上 每 一 个 系 列 的 FPGA 都 有 其 相 应 的 内 部 结 构 ),FPGA 芯 片 主 要 由 6 部 分 完 成, 分 别 为 : 可 编 程 输 入 输 出 单 元 基 本 可 编 程 逻 辑 单 元 完 整 的 时 钟 管 理 嵌 入 块 式 RAM 丰 富 的 布 线 资 源 内 嵌 的 底 层 功 能 单 元 和 内 嵌 专 用 硬 件 模 块 每 个 模 块 的 功 能 如 下 : 1. 可 编 程 输 入 输 出 单 元 (IOB) 可 编 程 输 入 / 输 出 单 元 简 称 I/O 单 元, 是 芯 片 与 外 界 电 路 的 接 口 部 分, 完 成 不 同 电 气 特 性 下 对 输 入 / 输 出 信 号 的 驱 动 与 匹 配 要 求, 其 示 意 结 构 如 图 2-4 所 示 FPGA 内 的 I/O 按 组 分 类, 每 组 都 能 够 独 立 地 支 持 不 同 的 I/O 标 准 通 过 软 件 的 灵 活 配 置, 可 适 配 不 同 的 电 气 标 准 与 I/O 物 理 特 性, 可 以 调 整 驱 动 电 流 的 大 小, 可 以 改 变 上 下 拉 电 阻 目 前,I/O 口 的 频 率 也 越 来 越 高, 一 些 高 端 的 FPGA 通 过 DDR 寄 存 器 技 术 可 以 支 持 高 达 2Gbps 的 数 据 速 率 10.

11 图 2-4 IOB 内 部 结 构 外 部 输 入 信 号 可 以 通 过 IOB 模 块 的 存 储 单 元 输 入 到 FPGA 的 内 部, 也 可 以 直 接 输 入 FPGA 内 部 当 外 部 输 入 信 号 经 过 IOB 模 块 的 存 储 单 元 输 入 到 FPGA 内 部 时, 其 保 持 时 间 (Hold Time) 的 要 求 可 以 降 低, 通 常 默 认 为 0 为 了 便 于 管 理 和 适 应 多 种 电 器 标 准,FPGA 的 IOB 被 划 分 为 若 干 个 组 (bank), 每 个 bank 的 接 口 标 准 由 其 接 口 电 压 VCCO 决 定, 一 个 bank 只 能 有 一 种 VCCO, 但 不 同 bank 的 VCCO 可 以 不 同 只 有 相 同 电 气 标 准 的 端 口 才 能 连 接 在 一 起,VCCO 电 压 相 同 是 接 口 标 准 的 基 本 条 件 2. 可 配 置 逻 辑 块 (CLB) CLB 是 FPGA 内 的 基 本 逻 辑 单 元 CLB 的 实 际 数 量 和 特 性 会 依 器 件 的 不 同 而 不 同, 但 是 每 个 CLB 都 包 含 一 个 可 配 置 开 关 矩 阵, 此 矩 阵 由 4 或 6 个 输 入 一 些 选 型 电 路 ( 多 路 复 用 器 等 ) 和 触 发 器 组 成 开 关 矩 阵 是 高 度 灵 活 的, 可 以 对 其 进 行 配 置 以 便 处 理 组 合 逻 辑 移 位 寄 存 器 或 RAM 在 赛 灵 思 公 司 公 司 的 FPGA 器 件 中, CLB 由 多 个 ( 一 般 为 4 个 或 2 个 ) 相 同 的 Slice 和 附 加 逻 辑 构 成, 如 图 2-5 所 示 每 个 CLB 模 块 不 仅 可 以 用 于 实 现 组 合 逻 辑 时 序 逻 辑, 还 可 以 配 置 为 分 布 式 RAM 和 分 布 式 ROM 图 2-5 典 型 的 CLB 结 构 示 意 图 Slice 是 赛 灵 思 公 司 公 司 定 义 的 基 本 逻 辑 单 位, 其 内 部 结 构 如 图 2-6 所 示, 一 个 Slice 由 两 个 4 输 入 的 函 数 进 位 逻 辑 算 术 逻 辑 存 储 逻 辑 和 函 数 复 用 器 组 成 11.

12 图 2-6 典 型 的 4 输 入 Slice 结 构 示 意 图 算 术 逻 辑 包 括 一 个 异 或 门 (XORG) 和 一 个 专 用 与 门 (MULTAND), 一 个 异 或 门 可 以 使 一 个 Slice 实 现 2bit 全 加 操 作, 专 用 与 门 用 于 提 高 乘 法 器 的 效 率 ; 进 位 逻 辑 由 专 用 进 位 信 号 和 函 数 复 用 器 (MUXC) 组 成, 用 于 实 现 快 速 的 算 术 加 减 法 操 作 ;4 输 入 函 数 发 生 器 用 于 实 现 4 输 入 LUT 分 布 式 RAM 或 16 比 特 移 位 寄 存 器 (Virtex-5 系 列 芯 片 的 Slice 中 的 两 个 输 入 函 数 为 6 输 入, 可 以 实 现 6 输 入 LUT 或 64 比 特 移 位 寄 存 器 ) ; 进 位 逻 辑 包 括 两 条 快 速 进 位 链, 用 于 提 高 CLB 模 块 的 处 理 速 度 3. 数 字 时 钟 管 理 模 块 (DCM) 业 内 大 多 数 FPGA 均 提 供 数 字 时 钟 管 理 ( 赛 灵 思 公 司 的 全 部 FPGA 均 具 有 这 种 特 性 ) 赛 灵 思 公 司 推 出 最 先 进 的 FPGA 提 供 数 字 时 钟 管 理 和 相 位 环 路 锁 定 相 位 环 路 锁 定 能 够 提 供 精 确 的 时 钟 综 合, 且 能 够 降 低 抖 动, 并 实 现 过 滤 功 能 4. 嵌 入 式 块 RAM(BRAM) 大 多 数 FPGA 都 具 有 内 嵌 的 块 RAM, 这 大 大 拓 展 了 FPGA 的 应 用 范 围 和 灵 活 性 块 RAM 可 被 配 置 为 单 端 口 RAM 双 端 口 RAM 内 容 地 址 存 储 器 (CAM) 以 及 FIFO 等 常 用 存 储 结 构 RAM FIFO 是 比 较 普 及 的 概 念, 在 此 就 不 冗 述 CAM 存 储 器 在 其 内 部 的 每 个 存 储 单 元 中 都 有 一 个 比 较 逻 辑, 写 入 CAM 中 的 数 据 会 和 内 部 的 每 一 个 数 据 进 行 比 较, 并 返 回 与 端 口 数 据 相 同 的 所 有 数 据 的 地 址, 因 而 在 路 由 的 地 址 交 换 器 中 有 广 泛 的 应 用 除 了 块 RAM, 还 可 以 将 FPGA 中 的 LUT 灵 活 地 配 置 成 RAM ROM 和 FIFO 等 结 构 在 实 际 应 用 中, 芯 片 内 部 块 RAM 的 数 量 也 是 选 择 芯 片 的 一 个 重 要 因 素 12.

13 图 2-7 内 嵌 的 块 RAM 单 片 块 RAM 的 容 量 为 18k 比 特, 即 位 宽 为 18 比 特 深 度 为 1024, 可 以 根 据 需 要 改 变 其 位 宽 和 深 度, 但 要 满 足 两 个 原 则 : 首 先, 修 改 后 的 容 量 ( 位 宽 深 度 ) 不 能 大 于 18k 比 特 ; 其 次, 位 宽 最 大 不 能 超 过 36 比 特 当 然, 可 以 将 多 片 块 RAM 级 联 起 来 形 成 更 大 的 RAM, 此 时 只 受 限 于 芯 片 内 块 RAM 的 数 量, 而 不 再 受 上 面 两 条 原 则 约 束 5. 丰 富 的 布 线 资 源 布 线 资 源 连 通 FPGA 内 部 的 所 有 单 元, 而 连 线 的 长 度 和 工 艺 决 定 着 信 号 在 连 线 上 的 驱 动 能 力 和 传 输 速 度 FPGA 芯 片 内 部 有 着 丰 富 的 布 线 资 源, 根 据 工 艺 长 度 宽 度 和 分 布 位 置 的 不 同 而 划 分 为 4 类 不 同 的 类 别 第 一 类 是 全 局 布 线 资 源, 用 于 芯 片 内 部 全 局 时 钟 和 全 局 复 位 / 置 位 的 布 线 ; 第 二 类 是 长 线 资 源, 用 以 完 成 芯 片 Bank 间 的 高 速 信 号 和 第 二 全 局 时 钟 信 号 的 布 线 ; 第 三 类 是 短 线 资 源, 用 于 完 成 基 本 逻 辑 单 元 之 间 的 逻 辑 互 连 和 布 线 ; 第 四 类 是 分 布 式 的 布 线 资 源, 用 于 专 有 时 钟 复 位 等 控 制 信 号 线 图 2-8 FPGA 内 部 互 连 布 线 13.

14 在 实 际 中 设 计 者 不 需 要 直 接 选 择 布 线 资 源, 布 局 布 线 器 可 自 动 地 根 据 输 入 逻 辑 网 表 的 拓 扑 结 构 和 约 束 条 件 选 择 布 线 资 源 来 连 通 各 个 模 块 单 元 从 本 质 上 讲, 布 线 资 源 的 使 用 方 法 和 设 计 的 结 果 有 密 切 直 接 的 关 系 6. 底 层 内 嵌 功 能 单 元 内 嵌 功 能 模 块 主 要 指 DLL(Delay Locked Loop) PLL(Phase Locked Loop) DSP 等 软 处 理 核 (Soft Core) 现 在 越 来 越 丰 富 的 内 嵌 功 能 单 元, 使 得 单 片 FPGA 成 为 了 系 统 级 的 设 计 工 具, 使 其 具 备 了 软 硬 件 联 合 设 计 的 能 力, 逐 步 向 SOC 平 台 过 渡 DLL 和 PLL 具 有 类 似 的 功 能, 可 以 完 成 时 钟 高 精 度 低 抖 动 的 倍 频 和 分 频, 以 及 占 空 比 调 整 和 移 相 等 功 能 赛 灵 思 公 司 生 产 的 芯 片 上 集 成 了 DCM 和 DLL,Altera 公 司 的 芯 片 集 成 了 PLL,Lattice 公 司 的 新 型 芯 片 上 同 时 集 成 了 PLL 和 DLL PLL 和 DLL 可 以 通 过 IP 核 生 成 的 工 具 方 便 地 进 行 管 理 和 配 置 DLL 的 结 构 如 图 2-8 所 示 图 2-9 典 型 的 DLL 模 块 示 意 图 7. 内 嵌 专 用 硬 核 内 嵌 专 用 硬 核 是 相 对 底 层 嵌 入 的 软 核 而 言 的, 指 FPGA 处 理 能 力 强 大 的 硬 核 (Hard Core), 等 效 于 ASIC 电 路 为 了 提 高 FPGA 性 能, 芯 片 生 产 商 在 芯 片 内 部 集 成 了 一 些 专 用 的 硬 核 例 如 : 为 了 提 高 FPGA 的 乘 法 速 度, 主 流 的 FPGA 中 都 集 成 了 专 用 乘 法 器 ; 为 了 适 用 通 信 总 线 与 接 口 标 准, 很 多 高 端 的 FPGA 内 部 都 集 成 了 串 并 收 发 器 (SERDES), 可 以 达 到 数 十 Gbps 的 收 发 速 度 赛 灵 思 公 司 的 高 端 产 品 不 仅 集 成 了 Power PC 系 列 CPU, 还 内 嵌 了 DSP Core 模 块, 其 相 应 的 系 统 级 设 计 工 具 是 EDK 和 Platform Studio, 并 依 此 提 出 了 片 上 系 统 (System on Chip) 的 概 念 通 过 PowerPC Miroblaze Picoblaze 等 平 台, 能 够 开 发 标 准 的 DSP 处 理 器 及 其 相 关 应 用, 达 到 SOC 的 开 发 目 的 此 外, 新 推 出 赛 灵 思 的 FPGA 系 列 如 Virtex-5 LXT 还 内 建 了 PCI Express 和 三 态 以 太 网 MAC 硬 核 (TEMAC), 与 软 核 实 现 方 式 相 比, 硬 核 可 以 把 功 耗 降 低 5~10 倍, 节 约 将 近 90% 的 逻 辑 资 源 Xilinx 三 态 以 太 网 MAC 核 是 一 个 可 参 数 化 的 核, 非 常 适 合 在 网 络 设 备 中 使 用, 例 如 开 关 和 路 由 器 等 可 定 制 的 TEMAC 核 使 系 统 设 计 者 能 够 实 现 宽 范 围 的 集 成 式 以 太 网 设 计, 从 低 成 本 10/100 以 太 网 到 性 能 更 高 的 1GB 端 口 TEMAC 核 设 计 符 合 IEEE 规 范 的 要 求, 并 且 可 以 在 1000Mbps 100 Mbps 和 10 Mbps 模 式 下 运 行 另 外, 它 还 支 持 半 双 工 和 全 双 工 操 作 TEMAC 核 通 过 Xilinx CORE Generator 工 具 提 供, 是 Xilinx 全 套 以 太 网 解 决 方 案 的 一 部 分 14.

15 2.1.3 软 核 硬 核 以 及 固 核 的 概 念 IP(Intelligent Property) 核 是 具 有 知 识 产 权 核 的 集 成 电 路 芯 核 总 称, 是 经 过 反 复 验 证 过 的 具 有 特 定 功 能 的 宏 模 块, 与 芯 片 制 造 工 艺 无 关, 可 以 移 植 到 不 同 的 半 导 体 工 艺 中 到 了 SOC 阶 段,IP 核 设 计 已 成 为 ASIC 电 路 设 计 公 司 和 FPGA 提 供 商 的 重 要 任 务, 也 是 其 实 力 体 现 对 于 FPGA 开 发 软 件, 其 提 供 的 IP 核 越 丰 富, 用 户 的 设 计 就 越 方 便, 其 市 场 占 用 率 就 越 高 目 前,IP 核 已 经 变 成 系 统 设 计 的 基 本 单 元, 并 作 为 独 立 设 计 成 果 被 交 换 转 让 和 销 售 从 IP 核 的 提 供 方 式 上, 通 常 将 其 分 为 软 核 固 核 和 硬 核 这 3 类 从 完 成 IP 核 所 花 费 的 成 本 来 讲, 硬 核 代 价 最 大 ; 从 使 用 灵 活 性 来 讲, 软 核 的 可 复 用 使 用 性 最 高 1. 软 核 (Soft IP Core) 软 核 在 EDA 设 计 领 域 指 的 是 综 合 之 前 的 寄 存 器 传 输 级 (RTL) 模 型 ; 具 体 在 FPGA 设 计 中 指 的 是 对 电 路 的 硬 件 语 言 描 述, 包 括 逻 辑 描 述 网 表 和 帮 助 文 档 等 软 核 只 经 过 功 能 仿 真, 需 要 经 过 综 合 以 及 布 局 布 线 才 能 使 用 其 优 点 是 灵 活 性 高 可 移 植 性 强, 允 许 用 户 自 配 置 ; 缺 点 是 对 模 块 的 预 测 性 较 低, 在 后 续 设 计 中 存 在 发 生 错 误 的 可 能 性, 有 一 定 的 设 计 风 险 软 核 是 IP 核 应 用 最 广 泛 的 形 式 2. 固 核 (Firm IP Core) 固 核 在 EDA 设 计 领 域 指 的 是 带 有 平 面 规 划 信 息 的 网 表 ; 具 体 在 FPGA 设 计 中 可 以 看 做 带 有 布 局 规 划 的 软 核, 通 常 以 RTL 代 码 和 对 应 具 体 工 艺 网 表 的 混 合 形 式 提 供 将 RTL 描 述 结 合 具 体 标 准 单 元 库 进 行 综 合 优 化 设 计, 形 成 门 级 网 表, 再 通 过 布 局 布 线 工 具 即 可 使 用 和 软 核 相 比, 固 核 的 设 计 灵 活 性 稍 差, 但 在 可 靠 性 上 有 较 大 提 高 目 前, 固 核 也 是 IP 核 的 主 流 形 式 之 一 3. 硬 核 (Hard IP Core) 硬 核 在 EDA 设 计 领 域 指 经 过 验 证 的 设 计 版 图 ; 具 体 在 FPGA 设 计 中 指 布 局 和 工 艺 固 定 经 过 前 端 和 后 端 验 证 的 设 计, 设 计 人 员 不 能 对 其 修 改 不 能 修 改 的 原 因 有 两 个 : 首 先 是 系 统 设 计 对 各 个 模 块 的 时 序 要 求 很 严 格, 不 允 许 打 乱 已 有 的 物 理 版 图 ; 其 次 是 保 护 知 识 产 权 的 要 求, 不 允 许 设 计 人 员 对 其 有 任 何 改 动 IP 硬 核 的 不 许 修 改 特 点 使 其 复 用 有 一 定 的 困 难, 因 此 只 能 用 于 某 些 特 定 应 用, 使 用 范 围 较 窄 从 可 编 程 器 件 发 展 看 FPGA 未 来 趋 势 可 编 程 逻 辑 器 件 的 发 展 历 史 可 编 程 逻 辑 器 件 的 发 展 可 以 划 分 为 4 个 阶 段, 即 从 20 世 纪 70 年 代 初 到 70 年 代 中 为 第 1 阶 段,20 世 纪 70 年 代 中 到 80 年 代 中 为 第 2 阶 段,20 世 纪 80 年 代 到 90 年 代 末 为 第 3 阶 段,20 世 纪 90 年 代 末 到 目 前 为 第 4 阶 段 第 1 阶 段 的 可 编 程 器 件 只 有 简 单 的 可 编 程 只 读 存 储 器 (PROM) 紫 外 线 可 擦 除 只 读 存 储 器 (EPROM) 和 电 可 擦 只 读 存 储 器 (EEPROM)3 种, 由 于 结 构 的 限 制, 它 们 只 能 完 成 简 单 的 数 字 逻 辑 功 能 第 2 阶 段 出 现 了 结 构 上 稍 微 复 杂 的 可 编 程 阵 列 逻 辑 (PAL) 和 通 用 阵 列 逻 辑 (GAL) 器 件, 正 式 被 称 为 PLD, 能 够 完 成 各 种 逻 辑 运 算 功 能 典 型 的 PLD 由 与 非 阵 列 组 成, 用 与 或 表 达 式 来 实 现 任 意 组 合 逻 辑, 15.

16 所 以 PLD 能 以 乘 积 和 形 式 完 成 大 量 的 逻 辑 组 合 第 3 阶 段 赛 灵 思 和 Altera 分 别 推 出 了 与 标 准 门 阵 列 类 似 的 FPGA 和 类 似 于 PAL 结 构 的 扩 展 性 CPLD, 提 高 了 逻 辑 运 算 的 速 度, 具 有 体 系 结 构 和 逻 辑 单 元 灵 活 集 成 度 高 以 及 适 用 范 围 宽 等 特 点, 兼 容 了 PLD 和 通 用 门 阵 列 的 优 点, 能 够 实 现 超 大 规 模 的 电 路, 编 程 方 式 也 很 灵 活, 成 为 产 品 原 型 设 计 和 中 小 规 模 ( 一 般 小 于 10000) 产 品 生 产 的 首 选 这 一 阶 段,CPLD FPGA 器 件 在 制 造 工 艺 和 产 品 性 能 都 获 得 长 足 的 发 展, 达 到 了 0.18 工 艺 和 系 数 门 数 百 万 门 的 规 模 第 4 阶 段 出 现 了 SOPC 和 SOC 技 术, 是 PLD 和 ASIC 技 术 融 合 的 结 果, 涵 盖 了 实 时 化 数 字 信 号 处 理 技 术 高 速 数 据 收 发 器 复 杂 计 算 以 及 嵌 入 式 系 统 设 计 技 术 的 全 部 内 容 赛 灵 思 和 Altera 也 推 出 了 相 应 SOCFPGA 产 品, 制 造 工 艺 达 到 65nm, 系 统 门 数 也 超 过 百 万 门 并 且, 这 一 阶 段 的 逻 辑 器 件 内 嵌 了 硬 核 高 速 乘 法 器 Gbits 差 分 串 行 接 口 时 钟 频 率 高 达 500MHz 的 PowerPC 微 处 理 器 软 核 MicroBlaze Picoblaze Nios 以 及 NiosII, 不 仅 实 现 了 软 件 需 求 和 硬 件 设 计 的 完 美 结 合, 还 实 现 了 高 速 与 灵 活 性 的 完 美 结 合, 使 其 已 超 越 了 ASIC 器 件 的 性 能 和 规 模, 也 超 越 了 传 统 意 义 上 FPGA 的 概 念, 使 PLD 的 应 用 范 围 从 单 片 扩 展 到 系 统 级 未 来, 赛 灵 思 高 层 透 露, 该 公 司 正 在 研 制 采 用 全 新 工 艺 的 新 型 FPGA, 这 种 FPGA 将 集 成 更 大 的 存 储 单 元 和 其 他 功 能 器 件,FPGA 正 向 超 级 系 统 芯 片 的 方 向 发 展! 2 月 5 日, 赛 灵 思 发 布 了 采 用 40nm 和 45nm 的 Spartan - 6 和 Virtex - 6 FPGA 系 列, 并 开 启 了 目 标 设 计 平 台 这 一 新 的 设 计 理 念, 相 信 FPGA 的 应 用 会 得 到 更 大 的 发 展! 图 2-10 FPGA 的 演 进 路 线 16.

17 第 三 章 FPGA 主 要 供 应 商 与 产 品 1984 年, 赛 灵 思 发 明 了 现 场 可 编 程 门 阵 列 (FPGA), 同 时 它 成 为 全 球 首 家 无 晶 圆 半 导 体 公 司 的 鼻 祖, 赛 灵 思 通 过 不 断 应 用 尖 端 技 术 来 长 久 保 持 它 的 行 业 领 袖 地 位 : 赛 灵 思 是 首 家 采 用 180nm 150nm 130nm 90nm 和 65nm 工 艺 技 术 的 企 业, 目 前 提 供 约 占 世 界 90% 的 高 端 65nm FPGA 产 品 据 isuppli 的 统 计 数 据,2007 年 它 拥 有 世 界 51% 以 上 的 可 编 程 器 件 市 场 份 额 目 前 FPGA 领 域 主 要 的 供 应 商 是 赛 灵 思 Altera Actel 和 Lattice 其 中 Altera 和 赛 灵 思 主 要 生 产 一 般 用 途 FPGA, 其 主 要 产 品 采 用 RAM 工 艺 Actel 主 要 提 供 非 易 失 性 FPGA, 产 品 主 要 基 于 反 熔 丝 工 艺 和 FLASH 工 艺 由 于 赛 灵 思 一 直 在 FPGA 开 发 领 域 方 面 拥 有 领 先 优 势 和 最 大 份 额, 故 本 文 主 要 介 绍 赛 灵 思 公 司 的 FPGA 产 品 赛 灵 思 主 要 产 品 介 绍 目 前 赛 灵 思 公 司 有 两 大 类 FPGA 产 品 :Spartan 类 和 Virtex 类, 前 者 主 要 面 向 低 成 本 的 中 低 端 应 用, 是 目 前 业 界 成 本 最 低 的 一 类 FPGA ; 后 者 主 要 面 向 高 端 应 用, 属 于 业 界 的 顶 级 产 品 这 两 个 系 列 的 差 异 仅 限 于 芯 片 的 规 模 和 专 用 模 块 上, 都 采 用 了 先 进 的 甚 至 65 制 造 工 艺, 具 有 相 同 的 卓 越 品 质 1.Spartan 类 FPGA Spartan 系 列 适 用 于 普 通 的 工 业 商 业 等 领 域, 目 前 主 流 的 芯 片 包 括 :Spartan-2 Spartan-2E Spartan-3 Spartan-3A Spartan-3E 以 及 最 新 的 Spartan - 6 等 种 类 其 中 Spartan-2 最 高 可 达 20 万 系 统 门, Spartan-2E 最 高 可 达 60 万 系 统 门,Spartan-3 最 高 可 达 500 万 门,Spartan-3A 和 Spartan-3E 不 仅 系 统 门 数 更 大, 还 增 强 了 大 量 的 内 嵌 专 用 乘 法 器 和 专 用 块 RAM 资 源, 具 备 实 现 复 杂 数 字 信 号 处 理 和 片 上 可 编 程 系 统 的 能 力 (1)Spartan-2 系 列 Spartan-2 在 Spartan 系 列 的 基 础 上 继 承 了 更 多 的 逻 辑 资 源, 达 到 更 高 的 性 能, 芯 片 密 度 高 达 20 万 系 统 门 由 于 采 用 了 成 熟 的 FPGA 结 构, 支 持 流 行 的 接 口 标 准, 具 有 适 量 的 逻 辑 资 源 和 片 内 RAM, 并 提 供 灵 活 的 时 钟 处 理, 可 以 运 行 8 位 的 PicoBlaze 软 核, 主 要 应 用 于 各 类 低 端 产 品 中 其 主 要 特 点 如 下 所 示 : 采 用 0.18 工 艺, 密 度 达 到 5292 逻 辑 单 元 ; 系 统 时 钟 可 以 达 到 200MHz ; 采 用 最 大 门 数 为 20 万 门, 具 有 延 迟 数 字 锁 相 环 ; 具 有 可 编 程 用 户 I/O ; 具 有 片 上 块 RAM 存 储 资 源 ; Spartan-2 系 列 产 品 的 主 要 技 术 特 征 如 下 表 所 示 表 3-1 Spartan-2 系 列 FPGA 主 要 技 术 特 征 17.

18 (2)Spartan-2E 系 列 Spartan-2E 基 于 Virex-E 架 构, 具 有 比 Spartan-2 更 多 的 逻 辑 门 用 户 I/O 和 更 高 的 性 能 赛 灵 思 还 为 其 提 供 了 包 括 存 储 器 控 制 器 系 统 接 口 DSP 通 信 以 及 网 络 等 IP 核, 并 可 以 运 行 CPU 软 核, 对 DSP 有 一 定 的 支 持 其 主 要 特 点 如 下 所 示 : 采 用 0.15 工 艺, 密 度 达 到 逻 辑 单 元 ; 最 高 系 统 时 钟 可 达 200MHz ; 最 大 门 数 为 60 万 门, 最 多 具 有 4 个 延 时 锁 相 环 ; 核 电 压 为 1.2V,I/Q 电 压 可 为 1.2V 3.3V 2.5V, 支 持 19 个 可 选 的 I/O 标 准 ; 最 大 可 达 288k 的 块 RAM 和 221K 的 分 布 式 RAM ; Spartan-2E 系 列 产 品 的 主 要 技 术 特 征 如 下 表 所 示 表 3-2 Spartan-2E 系 列 FPGA 主 要 技 术 特 征 (3)Spartan-3 系 列 Spartan-3 基 于 Virtex-II FPGA 架 构, 采 用 90 技 术,8 层 金 属 工 艺, 系 统 门 数 超 过 5 百 万, 内 嵌 了 硬 核 乘 法 器 和 数 字 时 钟 管 理 模 块 从 结 构 上 看,Spartan-3 将 逻 辑 存 储 器 数 学 运 算 数 字 处 理 器 处 理 器 I/O 以 及 系 统 管 理 资 源 完 美 地 结 合 在 一 起, 使 之 有 更 高 层 次 更 广 泛 的 应 用, 获 得 了 商 业 上 的 成 功, 占 据 了 较 大 份 额 的 中 低 端 市 场 其 主 要 特 性 如 下 : 采 用 90 工 艺, 密 度 高 达 逻 辑 单 元 ; 最 高 系 统 时 钟 为 340MHz ; 具 有 的 专 用 乘 法 器 ; 核 电 压 为 1.2V, 端 口 电 压 为 3.3V 2.5 V 1.2V, 支 持 24 种 I/O 标 准 ; 高 达 520k 分 布 式 RAM 和 1872k 的 块 RAM; 具 有 片 上 时 钟 管 理 模 块 (DCM); 具 有 嵌 入 式 Xtrema DSP 功 能, 每 秒 可 执 行 3300 亿 次 乘 加 Spartan-3 系 列 产 品 的 主 要 技 术 特 征 如 下 表 所 示 表 3-3 Spartan-3 系 列 FPGA 主 要 技 术 特 征 18.

19 (4)Spartan-3A/3ADSP/3AN 系 列 Spartan-3A 在 Spartan-3 和 Spartan-3E 平 台 的 基 础 上, 整 合 了 各 种 创 新 特 性 帮 助 客 户 极 大 地 削 减 了 系 统 总 成 本 利 用 独 特 的 器 件 DNA ID 技 术, 实 现 业 内 首 款 FPGA 电 子 序 列 号 ; 提 供 了 经 济 功 能 强 大 的 机 制 来 防 止 发 生 窜 改 克 隆 和 过 度 设 计 的 现 象 并 且 具 有 集 成 式 看 门 狗 监 控 功 能 的 增 强 型 多 重 启 动 特 性 支 持 商 用 flash 存 储 器, 有 助 于 削 减 系 统 总 成 本 其 主 要 特 性 为 : 采 用 90 工 艺, 密 度 高 达 逻 辑 单 元 ; 工 作 时 钟 范 围 为 5MHz~320MHz ; 领 先 的 连 接 功 能 平 台, 具 有 最 广 泛 的 IO 标 准 (26 种, 包 括 新 的 TMDS 和 PPDS) 支 持 ; 利 用 独 特 的 Device DNA 序 列 号 实 现 的 业 内 首 个 功 能 强 大 的 防 克 隆 安 全 特 性 ; 五 个 器 件, 具 有 高 达 1.4M 的 系 统 门 和 502 个 I/O ; 灵 活 的 功 耗 管 理 Spartan-3A 系 列 产 品 的 主 要 技 术 特 征 如 下 表 所 示 表 3-4 Spartan-3A 系 列 FPGA 主 要 技 术 特 征 Spartan-3ADSP 平 台 提 供 了 最 具 成 本 效 益 的 DSP 器 件, 其 架 构 的 核 心 就 是 XtremeDSP DSP48A slice, 还 提 供 了 性 能 超 过 30GMAC/s 存 储 器 带 宽 高 达 2196 Mbps 的 新 型 XC3SD3400A 和 XC3SD1800A 器 件 新 型 Spartan-3A DSP 平 台 是 成 本 敏 感 型 DSP 算 法 和 需 要 极 高 DSP 性 能 的 协 处 理 应 用 的 理 想 之 选 其 主 要 特 征 如 下 所 示 采 用 90 工 艺, 密 度 高 达 逻 辑 单 元 ; 内 嵌 的 DSP48A 可 以 工 作 到 250MHz ; 采 用 结 构 化 的 SelectRAM 架 构, 提 供 了 大 量 的 片 上 存 储 单 元 ; VCCAUX 的 电 压 支 持 2.5V 和 3.3V, 对 于 3.3V 的 应 用 简 化 了 设 计 ; 低 功 耗 效 率,Spartan-3A DSP 器 件 具 有 很 高 的 信 号 处 理 能 力 4.06 GMACs/mW Spartan-3ADSP 系 列 产 品 的 主 要 技 术 特 征 如 下 表 所 示 表 3-5 Spartan-3ADSP 系 列 FPGA 主 要 技 术 特 征 Spartan-3AN 芯 片 为 最 高 级 别 系 统 集 成 的 非 易 失 性 安 全 FPGA, 提 供 下 列 2 个 独 特 的 性 能 : 先 进 SRAM FPGA 的 大 量 特 性 和 高 性 能 以 及 非 易 失 性 FPGA 的 安 全 节 省 板 空 间 和 易 于 配 置 的 特 性 Spartan-3AN 平 台 是 对 空 间 要 求 严 苛 和 / 或 安 全 应 用 及 低 成 本 嵌 入 式 控 制 器 的 理 想 选 择 Spartan-3AN 平 台 的 关 键 特 性 包 括 : 业 界 19.

20 首 款 90nm 非 易 失 性 FPGA, 具 有 可 以 实 现 灵 活 的 低 成 本 安 全 性 能 的 Device DNA 电 子 序 列 号 ; 业 内 最 大 的 片 上 用 户 Flash, 容 量 高 达 11Mb ; 提 供 最 广 泛 的 I/O 标 准 支 持, 包 括 26 种 单 端 与 差 分 信 号 标 准 灵 活 的 电 源 管 理 模 式, 休 眠 模 式 下 可 节 省 超 过 40% 的 功 耗 五 个 器 件, 具 有 高 达 1.4M 的 系 统 门 和 502 个 I/O Spartan-3AN 系 列 产 品 的 主 要 技 术 特 征 如 下 表 所 示 表 3-6 Spartan-3AN 系 列 FPGA 主 要 技 术 特 征 (5)Spartan-3E 系 列 Spartan-3E 是 目 前 Spartan 系 列 最 新 的 产 品, 具 有 系 统 门 数 从 10 万 到 160 万 的 多 款 芯 片, 是 在 Spartan-3 成 功 的 基 础 上 进 一 步 改 进 的 产 品, 提 供 了 比 Spartan-3 更 多 的 I/O 端 口 和 更 低 的 单 位 成 本, 是 赛 灵 思 公 司 性 价 比 最 高 的 FPGA 芯 片 由 于 更 好 地 利 用 了 90 技 术, 在 单 位 成 本 上 实 现 了 更 多 的 功 能 和 处 理 带 宽, 是 赛 灵 思 公 司 新 的 低 成 本 产 品 代 表, 是 ASIC 的 有 效 替 代 品, 主 要 面 向 消 费 电 子 应 用, 如 宽 带 无 线 接 入 家 庭 网 络 接 入 以 及 数 字 电 视 设 备 等 其 主 要 特 点 如 下 : 采 用 90 工 艺 ; 大 量 用 户 I/O 端 口, 最 多 可 支 持 376 个 I/O 端 口 或 者 156 对 差 分 端 口 ; 端 口 电 压 为 3.3V 2.5 V 1.8V 1.5V 1.2V ; 单 端 端 口 的 传 输 速 率 可 以 达 到 622, 支 持 DDR 接 口 ; 最 多 可 达 36 个 的 专 用 乘 法 器 648 块 RAM 231 分 布 式 RAM ; 宽 的 时 钟 频 率 以 及 多 个 专 用 片 上 数 字 时 钟 管 理 (DCM) 模 块 Spartan-3E 系 列 产 品 的 主 要 技 术 特 征 如 下 表 所 示 表 3-7 Spartan-3E 系 列 FPGA 主 要 技 术 特 征 20.

21 表 3-8 Spartan-3A 延 伸 系 列 品 台 性 能 对 比 (6)Spartan-6 系 列 作 为 Spartan FPGA 系 列 的 第 六 代 产 品,Spartan-6 FPGA 系 列 采 用 可 靠 的 低 功 耗 45nm 9 层 金 属 布 线 双 层 氧 化 工 艺 技 术 生 产 这 一 新 系 列 产 品 实 现 了 低 风 险 低 成 本 低 功 耗 以 及 高 性 能 的 完 美 平 衡 Spartan-6 FPGA 系 列 的 高 效 双 寄 存 器 6 输 入 LUT( 查 找 表 ) 逻 辑 结 构 利 用 了 可 靠 成 熟 的 Virtex 架 构, 支 持 跨 平 台 兼 容 性 以 及 优 化 系 统 性 能 丰 富 的 内 建 系 统 级 模 块 包 括 DSP 逻 辑 片 高 速 收 发 器 以 及 PCI Express 接 口 内 核, 也 源 于 Virtex 系 列, 能 够 提 供 更 高 程 度 的 系 统 级 集 成 Spartan-6 FPGA 系 列 专 门 针 对 成 本 和 功 率 敏 感 的 市 场 ( 如 汽 车 娱 乐 平 板 显 示 以 及 视 频 监 控 ) 采 用 了 特 殊 技 术 新 的 高 性 能 集 成 存 储 器 控 制 器 支 持 DDR DDR2 DDR3 和 移 动 DDR 存 储 器, 硬 内 核 的 多 端 口 总 线 结 构 能 够 提 供 可 预 测 的 时 序 和 高 达 DDR2/DDR3 800 (400MHz) 的 性 能 在 设 计 向 导 的 支 持 下, 为 Spartan-6 FPGA 构 建 存 储 控 制 器 的 过 程 变 得 非 常 简 单 和 直 接 先 进 功 率 管 理 技 术 方 面 的 创 新 以 及 可 选 的 1.0v 低 功 耗 内 核 使 得 Spartan-6 FPGA 能 够 比 前 一 代 Spartan 系 列 功 耗 降 低 多 达 65% 快 速 灵 活 的 I/O 支 持 超 过 12Gbps 的 存 储 器 访 问 带 宽, 兼 容 3.3v 电 压 并 且 采 用 了 更 为 绿 色 的 RoHS 兼 容 无 铅 封 装 Spartan-6 系 列 产 品 的 主 要 技 术 特 征 如 下 表 所 示 : 表 3-9 Spartan-6 系 列 FPGA 主 要 技 术 特 征 21.

22 2.Virtex 系 列 FPGA Virtex 系 列 是 赛 灵 思 的 高 端 产 品, 也 是 业 界 的 顶 级 FPGA 产 品, 赛 灵 思 公 司 正 是 凭 借 Vitex 系 列 产 品 赢 得 市 场, 从 而 获 得 FPGA 供 应 商 领 头 羊 的 地 位 可 以 说 赛 灵 思 以 其 Virtex-5 Virtex-4 Virtex-2 Pro 和 Virtex-2 系 列 FPGA 产 品 引 领 现 场 可 编 程 门 阵 列 行 业 主 要 面 向 电 信 基 础 设 施 汽 车 工 业 高 端 消 费 电 子 等 应 用 目 前 的 主 流 芯 片 包 括 :Vitrex-2 Virtex-2 Pro Vitex-4 和 Virtex-5 等 种 类 (1)Vitrex-2 系 列 Vitrex-2 系 列 具 有 优 秀 的 平 台 解 决 方 案, 这 进 一 步 提 升 了 其 性 能 ; 且 内 置 IP 核 硬 核 技 术, 可 以 将 硬 IP 核 分 配 到 芯 片 的 任 何 地 方, 具 有 比 Vitex 系 列 更 多 的 资 源 和 更 高 的 性 能 其 主 要 特 征 如 下 所 示 : 采 用 0.15/0.12 工 艺 ; 核 电 压 为 1.5V, 工 作 时 钟 可 以 达 到 420MHz ; 支 持 20 多 种 I/O 接 口 标 准 ; 内 嵌 了 多 个 硬 核 乘 法 器, 提 高 了 DSP 处 理 能 力 ; 具 有 完 全 的 系 统 时 钟 管 理 功 能, 多 达 12 个 DCM 模 块 Virtex-2 系 列 产 品 的 主 要 技 术 特 征 如 下 表 所 示 表 3-10 Virtex-2 系 列 FPGA 主 要 技 术 特 征 (2)Virtex-2Pro 系 列 Virtex-2 Pro 系 列 在 Virtex-2 的 基 础 上, 增 强 了 嵌 入 式 处 理 功 能, 内 嵌 了 PowerPC 405 内 核, 还 包 括 了 先 进 的 主 动 互 联 (Active Interconnect) 技 术, 以 解 决 高 性 能 系 统 所 面 临 的 挑 战 此 外 还 增 加 了 高 速 串 行 收 发 器, 提 供 了 千 兆 以 太 网 的 解 决 方 案 其 主 要 特 征 如 下 所 示 : 采 用 0.13 工 艺 ; 核 电 压 为 1.5V, 工 作 时 钟 可 以 达 到 420MHz; 支 持 20 多 种 I/O 接 口 标 准 ; 增 加 了 2 个 高 性 能 RISC 技 术 频 率 高 达 400MHz 的 PowerPC 处 理 器 ; 增 加 多 个 3.125Gbps 速 率 的 Rocket 串 行 收 发 器 ; 内 嵌 了 多 个 硬 核 乘 法 器, 提 高 了 DSP 处 理 能 力 ; 具 有 完 全 的 系 统 时 钟 管 理 功 能, 多 达 12 个 DCM 模 块 Virtex-2 Pro 系 列 产 品 的 主 要 技 术 特 征 如 下 表 所 示 22.

23 表 3-11 Virtex-2 Pro 系 列 FPGA 主 要 技 术 特 征 (3)Vitex-4 系 列 Virtex-4 器 件 整 合 了 高 达 200,000 个 的 逻 辑 单 元, 高 达 500 MHz 的 性 能 和 无 可 比 拟 的 系 统 特 性 Vitex-4 产 品 基 于 新 的 高 级 硅 片 组 合 模 块 (ASMBL) 架 构, 提 供 了 一 个 多 平 台 方 式 (LX SX FX), 使 设 计 者 可 以 根 据 需 求 选 用 不 同 的 开 发 平 台 ; 逻 辑 密 度 高, 时 钟 频 率 能 够 达 到 500MHz; 具 备 DCM 模 块 PMCD 相 位 匹 配 时 钟 分 频 器 片 上 差 分 时 钟 网 络 ; 采 用 了 集 成 FIFO 控 制 逻 辑 的 500MHz SmartRAM 技 术, 每 个 I/O 都 集 成 了 ChipSync 源 同 步 技 术 的 1 Gbps I/O 和 Xtreme DSP 逻 辑 片 其 主 要 特 点 如 下 : 采 用 了 90 工 艺, 集 成 了 高 达 20 万 的 逻 辑 单 元 ; 系 统 时 钟 500MHz ; 采 用 了 集 成 FIFO 控 制 逻 辑 的 500MHz Smart RAM 技 术 ; 具 有 DCM 模 块 PMCD 相 位 匹 配 时 钟 分 频 器 和 片 上 差 分 时 钟 网 络 ; 每 个 I/O 都 集 成 了 ChipSync 源 同 步 技 术 的 1Gbps I/O ; 具 有 超 强 的 信 号 处 理 能 力, 集 成 了 数 以 百 计 的 XtremeDSP Slice, 单 片 最 大 的 处 理 速 率 为 Vitex-4 LX 平 台 FPGA 的 特 点 是 密 度 高 达 20 万 逻 辑 单 元, 是 全 球 逻 辑 密 度 最 高 的 FPGA 系 列 之 一, 适 合 对 逻 辑 门 需 求 高 的 设 计 应 用 Virtex-4 SX 平 台 提 高 了 DSP RAM 单 元 与 逻 辑 单 元 的 比 例, 最 多 可 以 提 供 512 个 XtremeDSP 硬 核, 可 以 工 作 在 500MHz, 其 最 大 的 处 理 速 率 为, 并 可 以 以 其 创 建 40 多 种 不 同 功 能, 并 能 多 个 组 合 实 现 更 大 规 模 的 DSP 模 块 与 Vitex-2 Pro 系 列 相 比, 还 大 大 降 低 了 成 本 和 功 耗, 具 有 极 低 的 DSP 成 本 SX 平 台 的 FPGA 非 常 适 合 应 用 于 高 速 实 时 的 数 字 信 号 处 理 领 域 Virtex-4 FX 平 台 内 嵌 了 1~2 个 32 位 RISC PowerPC 处 理 器, 提 供 了 4 个 1300 Dhrystone MIPS 10/100/1000 自 适 应 的 以 太 网 MAC 内 核, 协 处 理 器 控 制 器 单 元 (APU) 允 许 处 理 器 在 FPGA 中 构 造 专 用 指 令, 使 FX 器 件 的 性 能 达 到 固 定 指 令 方 式 的 20 倍 ; 此 外, 还 包 含 24 个 Rocket I/O 串 行 高 速 收 发 器, 支 持 常 用 的 0.6Gbps 1.25 Gbps 2.5 Gbps Gbps 4 Gbps 6.25 Gbps 10 Gbps 等 高 速 传 输 速 率 FX 平 台 适 用 于 复 杂 计 算 和 嵌 入 式 处 理 应 用 Virtex-4 系 列 产 品 的 主 要 技 术 特 征 如 下 表 所 示 23.

24 表 3-12 Virtex-4 系 列 FPGA 主 要 技 术 特 征 表 3-13 Virtex-4 系 列 平 台 性 能 对 比 (5)Virtex-5 系 列 Virtex -5 FPGA 是 世 界 上 首 款 65nm FPGA 系 列, 采 用 1.0v 三 栅 极 氧 化 层 工 艺 技 术 制 造 而 成, 并 且 根 据 所 选 器 件 可 以 提 供 330,000 个 逻 辑 单 元 1,200 个 I/O 引 脚 48 个 低 功 耗 收 发 器 以 及 内 置 式 PowerPC 440 PCIe 端 点 和 以 太 网 MAC 模 块 已 经 提 供 了 5 种 系 列 平 台, 分 别 是 LX LXT SXT FXT TXT, 每 种 平 台 都 在 高 性 能 逻 辑 串 行 连 接 功 能 信 号 处 理 和 嵌 入 式 处 理 性 能 方 面 实 现 了 最 佳 平 衡 例 如 LX 针 对 高 性 能 逻 24.

25 辑 进 行 了 优 化,LXT 针 对 具 有 低 功 耗 串 行 连 接 功 能 的 高 性 能 逻 辑 进 行 了 优 化,SXT 针 对 具 有 低 功 耗 串 行 连 接 功 能 的 DSP 和 存 储 器 密 集 型 应 用 进 行 了 优 化 Virtex-5 FXT 则 用 于 实 现 具 有 速 率 最 高 的 串 行 连 接 功 能 的 嵌 入 式 处 理,Virtex-5 TXT 可 用 于 实 现 超 高 带 宽 应 用, 如 有 线 通 信 与 数 据 通 信 系 统 内 的 桥 接 开 关 和 集 聚 现 有 的 Virtex-5 系 列 产 品 的 主 要 技 术 特 征 如 下 表 所 示 表 3-14 Virtex-5 系 列 FPGA 主 要 技 术 特 征 其 主 要 特 点 如 下 : 采 用 了 最 新 的 65 工 艺, 结 合 低 功 耗 IP 块 将 动 态 功 耗 降 低 了 35% ; 此 外, 还 利 用 65nm 三 栅 极 氧 化 层 技 术 保 持 低 静 态 功 耗 ; 利 用 65nm ExpressFabric 技 术, 实 现 了 真 正 的 6 输 入 LUT, 并 将 性 能 提 高 了 2 个 速 度 级 别 内 置 有 用 于 构 建 更 大 型 阵 列 的 FIFO 逻 辑 和 ECC 的 增 强 型 36 Kbit Block RAM 带 有 低 功 耗 电 路, 可 以 关 闭 未 使 用 的 存 储 器 逻 辑 单 元 多 达 330,000 个, 可 以 实 现 无 与 伦 比 的 高 性 能 ;I/O 引 脚 多 达 1,200 个, 可 以 实 现 高 带 宽 存 储 器 / 网 络 接 口,1.25 Gbps LVDS ; 低 功 耗 收 发 器 多 达 24 个, 可 以 实 现 100 Mbps Gbps 高 速 串 行 接 口 ; 核 电 压 为 1V,550 MHz 系 统 时 钟 ;550 MHz DSP48E slice 内 置 有 25 x 18 MAC, 提 供 352 GMACS 的 性 能, 能 够 在 将 资 源 使 用 率 降 低 50% 的 情 况 下, 实 现 单 精 度 浮 点 运 算 ; 利 用 内 置 式 PCIe 端 点 和 以 太 网 MAC 模 块 提 高 面 积 效 率 ; 更 加 灵 活 的 时 钟 管 理 管 道 (Clock Management Tile) 结 合 了 用 于 进 行 精 确 时 钟 相 位 控 制 与 抖 25.

26 动 滤 除 的 新 型 PLL 和 用 于 各 种 时 钟 综 合 的 数 字 时 钟 管 理 器 (DCM) ; 采 用 了 第 二 代 sparse chevron 封 装, 改 善 了 信 号 完 整 性, 并 降 低 了 系 统 成 本 ; 增 强 了 器 件 配 置, 支 持 商 用 flash 存 储 器, 从 而 降 低 了 成 本 注 : 一 个 Virtex-5 Slice 具 有 4 个 LUT 和 4 个 触 发 器, 而 一 个 前 文 所 提 及 的 常 规 Slice 只 包 含 2 个 LUT 个 2 个 触 发 器 每 个 DSP48E 包 含 一 个 25*18 位 的 硬 核 乘 法 器 一 个 加 法 器 和 一 个 累 加 器 Virtex-5 FPGA 订 购 信 息 适 用 于 所 有 封 装, 包 括 无 铅 封 装 (6)Virtex-6 FPGA 系 列 基 于 采 用 第 三 代 Xilinx ASMBL 架 构 的 40nm 制 造 工 艺,Virtex-6 FPGA 系 列 还 拥 有 新 一 代 开 发 工 具 和 早 已 针 对 Virtex-5 FPGA 而 开 发 的 广 泛 IP 库 支 持 这 些 都 为 多 产 的 开 发 和 设 计 移 植 提 供 了 强 大 的 支 持 与 竞 争 厂 商 提 供 的 40nm FPGA 产 品 相 比, 新 的 Virtex-6 FPGA 系 列 器 件 性 能 提 高 15%, 功 耗 降 低 15% 新 器 件 在 1.0v 内 核 电 压 上 操 作, 同 时 还 有 可 选 的 0.9v 低 功 耗 版 本 这 些 使 得 系 统 设 计 师 可 在 设 计 中 采 用 Virtex-6 FPGA, 从 而 支 持 建 设 绿 色 中 心 办 公 室 和 数 据 中 心 对 于 电 信 行 业 这 一 点 特 别 重 要, 因 为 该 行 业 正 在 扩 展 对 因 特 网 视 频 和 富 媒 体 内 容 的 支 持 Virtex- 6 FPGA 系 列 包 括 三 个 面 向 应 用 领 域 而 优 化 的 FPGA 平 台, 分 别 提 供 了 不 同 的 特 性 和 功 能 组 合 来 更 好 地 满 足 不 同 客 户 应 用 的 需 求 : Virtex-6 LXT FPGA 优 化 目 标 应 用 需 要 高 性 能 逻 辑 DSP 以 及 基 于 低 功 耗 GTX 6.5Gbps 串 行 收 发 器 的 串 行 连 接 能 力 Virtex-6 SXT FPGA 优 化 目 标 应 用 需 要 超 高 性 能 DSP 以 及 基 于 低 功 耗 GTX 6.5Gbps 串 行 收 发 器 的 串 行 连 接 能 力 Virtex-6 HXT FPGA 作 为 优 化 的 通 信 应 用 需 要 最 高 的 串 行 连 接 能 力, 多 达 64 个 GTH 串 行 收 发 器 可 提 供 高 达 11.2Gbps 带 宽 Virtex-6 FPGA 把 先 进 的 硬 件 芯 片 技 术 创 新 的 电 路 设 计 技 术 以 及 架 构 上 的 增 强 完 美 结 合 在 一 起, 与 前 一 代 Virtex 器 件 以 及 竞 争 FPGA 产 品 相 比, 功 耗 大 大 降 低, 性 能 更 高 并 且 成 本 更 低 表 3-15 显 示 了 Virtex-6 FPGA 系 列 主 要 技 术 特 征 26.

27 表 3-15 Virtex-6 FPGA 系 列 主 要 技 术 特 征 (7)Xilinx PROM 芯 片 介 绍 赛 灵 思 公 司 的 Platform Flash PROM 能 为 所 有 型 号 的 Xilinx FPGA 提 供 非 易 失 性 存 储 全 系 列 PROM 的 容 量 范 围 为 1Mbit 到 32Mbit, 兼 容 任 何 一 款 Xilinx FPGA 芯 片, 具 备 完 整 的 工 业 温 度 特 性 (-40 C 到 +85 C), 支 持 IEEE 所 定 义 的 JTAG 边 界 扫 描 协 议 PROM 芯 片 可 以 分 成 3.3V 核 电 压 的 系 列 和 1.8V 核 电 压 的 系 列 两 大 类, 前 者 主 要 面 向 底 端 引 用, 串 行 传 输 数 据, 且 容 量 较 小, 不 具 备 数 据 压 缩 的 功 能 ; 后 者 主 要 面 向 高 端 的 FPGA 芯 片, 支 持 并 行 配 置 设 计 修 订 (Designing Revisioning) 和 数 据 压 缩 (Compression) 等 高 级 功 能, 以 容 量 大 速 度 快 著 称, 其 详 细 参 数 如 下 表 所 示 表 3-16 赛 灵 思 公 司 PROM 芯 片 总 结 ( 截 至 2008 年 11 月 数 据 ) 该 系 列 包 含 XCF01S XCF02S 和 XCF04S( 容 量 分 别 为 :1Mb 2Mb 和 4Mb), 其 共 同 特 征 有 3.3V 核 电 压, 串 行 配 置 接 口 以 及 SOIC 封 装 的 VO20 封 装 内 部 控 制 信 号 数 据 信 号 时 钟 信 号 和 JTAG 信 号 的 整 体 结 构 如 图 3-2 所 示 27.

28 图 3-2 XCF01S/XCF02S/XCF04S PROM 结 构 组 成 框 图 系 列 有 XCP08P XCF16P 和 XCF32P( 容 量 分 别 为 :8Mb 16Mb 和 32Mb), 其 共 同 特 征 有 1.8V 核 电 压 串 行 或 并 行 配 置 接 口 设 计 修 订 内 嵌 的 数 据 压 缩 器 FS48 封 装 或 VQ48 封 装 和 内 嵌 振 荡 器 内 部 控 制 信 号 数 据 信 号 时 钟 信 号 和 JTAG 信 号 的 整 体 结 构 如 图 3-3 所 示, 其 先 进 的 结 构 和 更 高 的 集 成 度 在 使 用 中 带 来 了 极 大 的 灵 活 性 图 3-3 XCP08P/XCF16P/XCF32P PROM 结 构 组 成 框 图 值 得 一 提 的 是 系 列 设 计 修 正 和 数 据 压 缩 这 两 个 功 能 设 计 修 订 功 能 在 FPGA 加 电 启 动 时 改 变 其 配 置 数 据, 根 据 所 需 来 改 变 FPGA 的 功 能, 允 许 用 户 在 单 个 PROM 中 将 多 种 配 置 存 储 为 不 同 的 修 订 版 本, 从 而 简 化 FPGA 配 置 更 改, 在 FPGA 内 部 加 入 少 量 的 逻 辑, 用 户 就 能 在 PROM 中 存 储 多 达 4 个 不 同 修 订 版 本 之 间 的 动 态 切 换 数 据 压 缩 功 能 可 以 节 省 PROM 的 空 间, 最 高 可 节 约 50% 的 存 储 空 间, 从 而 降 低 成 本, 是 一 项 非 常 实 用 的 技 术 当 然 如 果 编 程 时 在 软 件 端 采 用 了 压 缩 模 式, 则 需 要 一 定 的 硬 件 配 置 来 完 成 相 应 的 解 压 缩 28.

29 第 四 章 FPGA 开 发 基 本 流 程 FPGA 是 可 编 程 芯 片, 因 此 FPGA 的 设 计 方 法 包 括 硬 件 设 计 和 软 件 设 计 两 部 分 硬 件 包 括 FPGA 芯 片 电 路 存 储 器 输 入 输 出 接 口 电 路 以 及 其 他 设 备, 软 件 即 是 相 应 的 HDL 程 序 以 及 最 新 才 流 行 的 嵌 入 式 C 程 序 目 前 微 电 子 技 术 已 经 发 展 到 SOC 阶 段, 即 集 成 系 统 (Integrated System) 阶 段, 相 对 于 集 成 电 路 (IC) 的 设 计 思 想 有 着 革 命 性 的 变 化 SOC 是 一 个 复 杂 的 系 统, 它 将 一 个 完 整 产 品 的 功 能 集 成 在 一 个 芯 片 上, 包 括 核 心 处 理 器 存 储 单 元 硬 件 加 速 单 元 以 及 众 多 的 外 部 设 备 接 口 等, 具 有 设 计 周 期 长 实 现 成 本 高 等 特 点, 因 此 其 设 计 方 法 必 然 是 自 顶 向 下 的 从 系 统 级 到 功 能 模 块 的 软 硬 件 协 同 设 计, 达 到 软 硬 件 的 无 缝 结 合 这 么 庞 大 的 工 作 量 显 然 超 出 了 单 个 工 程 师 的 能 力, 因 此 需 要 按 照 层 次 化 结 构 化 的 设 计 方 法 来 实 施 首 先 由 总 设 计 师 将 整 个 软 件 开 发 任 务 划 分 为 若 干 个 可 操 作 的 模 块, 并 对 其 接 口 和 资 源 进 行 评 估, 编 制 出 相 应 的 行 为 或 结 构 模 型, 再 将 其 分 配 给 下 一 层 的 设 计 师 这 就 允 许 多 个 设 计 者 同 时 设 计 一 个 硬 件 系 统 中 的 不 同 模 块, 并 为 自 己 所 设 计 的 模 块 负 责 ; 然 后 由 上 层 设 计 师 对 下 层 模 块 进 行 功 能 验 证 自 顶 向 下 的 设 计 流 程 从 系 统 级 设 计 开 始, 划 分 为 若 干 个 二 级 单 元, 然 后 再 把 各 个 二 级 单 元 划 分 为 下 一 层 次 的 基 本 单 元, 一 直 下 去, 直 到 能 够 使 用 基 本 模 块 或 者 IP 核 直 接 实 现 为 止, 流 行 的 FPGA 开 发 工 具 都 提 供 了 层 次 化 管 理, 可 以 有 效 地 梳 理 错 综 复 杂 的 层 次, 能 够 方 便 地 查 看 某 一 层 次 模 块 的 源 代 码 以 修 改 错 误 在 工 程 实 践 中, 还 存 在 软 件 编 译 时 长 的 问 题 由 于 大 型 设 计 包 含 多 个 复 杂 的 功 能 模 块, 其 时 序 收 敛 与 仿 真 验 证 复 杂 度 很 高, 为 了 满 足 时 序 指 标 的 要 求, 往 往 需 要 反 复 修 改 源 文 件, 再 对 所 修 改 的 新 版 本 进 行 重 新 编 译, 直 到 满 足 要 求 为 止 这 里 面 存 在 两 个 问 题 : 首 先, 软 件 编 译 一 次 需 要 长 达 数 小 时 甚 至 数 周 的 时 间, 这 是 开 发 所 不 能 容 忍 的 ; 其 次, 重 新 编 译 和 布 局 布 线 后 结 果 差 异 很 大, 会 将 已 满 足 时 序 的 电 路 破 坏 因 此 必 须 提 出 一 种 有 效 提 高 设 计 性 能, 继 承 已 有 结 果 便 于 团 队 化 设 计 的 软 件 工 具 FPGA 厂 商 意 识 到 这 类 需 求, 由 此 开 发 出 了 相 应 的 逻 辑 锁 定 和 增 量 设 计 的 软 件 工 具 例 如, 赛 灵 思 公 司 的 解 决 方 案 就 是 PlanAhead Planahead 允 许 高 层 设 计 者 为 不 同 的 模 块 划 分 相 应 FPGA 芯 片 区 域, 并 允 许 底 层 设 计 者 在 所 给 定 的 区 域 内 独 立 地 进 行 设 计 实 现 和 优 化, 等 各 个 模 块 都 正 确 后, 再 进 行 设 计 整 合 如 果 在 设 计 整 合 中 出 现 错 误, 单 独 修 改 即 可, 不 会 影 响 到 其 它 模 块 Planahead 将 结 构 化 设 计 方 法 团 队 化 合 作 设 计 方 法 以 及 重 用 继 承 设 计 方 法 三 者 完 美 地 结 合 在 一 起, 有 效 地 提 高 了 设 计 效 率, 缩 短 了 设 计 周 期 不 过 从 其 描 述 可 以 看 出, 新 型 的 设 计 方 法 对 系 统 顶 层 设 计 师 有 很 高 的 要 求 在 设 计 初 期, 他 们 不 仅 要 评 估 每 个 子 模 块 所 消 耗 的 资 源, 还 需 要 给 出 相 应 的 时 序 关 系 ; 在 设 计 后 期, 需 要 根 据 底 层 模 块 的 实 现 情 况 完 成 相 应 的 修 订 4.1 典 型 FPGA 开 发 流 程 与 注 意 事 项 FPGA 的 设 计 流 程 就 是 利 用 EDA 开 发 软 件 和 编 程 工 具 对 FPGA 芯 片 进 行 开 发 的 过 程 典 型 FPGA 的 开 发 流 程 一 般 如 图 所 示, 包 括 功 能 定 义 / 器 件 选 型 设 计 输 入 功 能 仿 真 综 合 优 化 综 合 后 仿 真 实 现 布 线 后 仿 真 板 级 仿 真 以 及 芯 片 编 程 与 调 试 等 主 要 步 骤 29.

30 1 功 能 定 义 / 器 件 选 型 在 FPGA 设 计 项 目 开 始 之 前, 必 须 有 系 统 功 能 的 定 义 和 模 块 的 划 分, 另 外 就 是 要 根 据 任 务 要 求, 如 系 统 的 功 能 和 复 杂 度, 对 工 作 速 度 和 器 件 本 身 的 资 源 成 本 以 及 连 线 的 可 布 性 等 方 面 进 行 权 衡, 选 择 合 适 的 设 计 方 案 和 合 适 的 器 件 类 型 一 般 都 采 用 自 顶 向 下 的 设 计 方 法, 把 系 统 分 成 若 干 个 基 本 单 元, 然 后 再 把 每 个 基 本 单 元 划 分 为 下 一 层 次 的 基 本 单 元, 一 直 这 样 做 下 去, 直 到 可 以 直 接 使 用 EDA 元 件 库 为 止 2 设 计 输 入 设 计 输 入 是 将 所 设 计 的 系 统 或 电 路 以 开 发 软 件 要 求 的 某 种 形 式 表 示 出 来, 并 输 入 给 EDA 工 具 的 过 程 常 用 的 方 法 有 硬 件 描 述 语 言 (HDL) 和 原 理 图 输 入 方 法 等 原 理 图 输 入 方 式 是 一 种 最 直 接 的 描 述 方 式, 在 可 编 程 芯 片 发 展 的 早 期 应 用 比 较 广 泛, 它 将 所 需 的 器 件 从 元 件 库 中 调 出 来, 画 出 原 理 图 这 种 方 法 虽 然 直 观 并 易 于 仿 真, 但 效 率 很 低, 且 不 易 维 护, 不 利 于 模 块 构 造 和 重 用 更 主 要 的 缺 点 是 可 移 植 性 差, 当 芯 片 升 级 后, 所 有 的 原 理 图 都 需 要 作 一 定 的 改 动 目 前, 在 实 际 开 发 中 应 用 最 广 的 就 是 HDL 语 言 输 入 法, 利 用 文 本 描 述 设 计, 可 以 分 为 普 通 HDL 和 行 为 HDL 普 通 HDL 有 ABEL CUR 等, 支 持 逻 辑 方 程 真 值 表 和 状 态 机 等 表 达 方 式, 主 要 用 于 简 单 的 小 型 设 计 而 在 中 大 型 工 程 中, 主 要 使 用 行 为 HDL, 其 主 流 语 言 是 Verilog HDL 和 VHDL 这 两 种 语 言 都 是 美 国 电 气 与 电 子 工 程 师 协 会 (IEEE) 的 标 准, 其 共 同 的 突 出 特 点 有 : 语 言 与 芯 片 工 艺 无 关, 利 于 自 顶 向 下 设 计, 便 于 模 块 的 划 分 与 移 植, 可 移 植 性 好, 具 有 很 强 的 逻 辑 描 述 和 仿 真 功 能, 而 且 输 入 效 率 很 高 除 了 这 IEEE 标 准 语 言 外, 还 有 厂 商 自 己 的 语 言 也 可 以 用 HDL 为 主, 原 理 图 为 辅 的 混 合 设 计 方 式, 以 发 挥 两 者 的 各 自 特 色 3 功 能 仿 真 功 能 仿 真 也 称 为 前 仿 真 是 在 编 译 之 前 对 用 户 所 设 计 的 电 路 进 行 逻 辑 功 能 验 证, 此 时 的 仿 真 没 有 延 迟 信 息, 仅 对 初 步 的 功 能 进 行 检 测 仿 真 前, 要 先 利 用 波 形 编 辑 器 和 HDL 等 建 立 波 形 文 件 和 测 试 向 量 ( 即 将 所 关 心 的 输 入 信 号 组 合 成 序 列 ), 仿 真 结 果 将 会 生 成 报 告 文 件 和 输 出 信 号 波 形, 从 中 便 可 以 观 察 各 个 节 点 信 号 的 变 化 如 果 发 现 错 误, 则 返 回 设 计 修 改 逻 辑 设 计 常 用 的 工 具 有 Model Tech 公 司 的 ModelSim Sysnopsys 公 司 的 VCS 和 Cadence 公 司 的 NC-Verilog 以 及 NC-VHDL 等 软 件 4 综 合 优 化 所 谓 综 合 就 是 将 较 高 级 抽 象 层 次 的 描 述 转 化 成 较 低 层 次 的 描 述 综 合 优 化 根 据 目 标 与 要 求 优 化 所 生 成 的 逻 辑 连 接, 使 层 次 设 计 平 面 化, 供 FPGA 布 局 布 线 软 件 进 行 实 现 就 目 前 的 层 次 来 看, 综 合 优 化 (Synthesis) 是 指 将 设 计 输 入 编 译 成 由 与 门 或 门 非 门 RAM 触 发 器 等 基 本 逻 辑 单 元 组 成 的 逻 辑 连 接 网 表, 而 并 非 真 实 的 门 级 电 路 真 实 具 体 的 门 级 电 路 需 要 利 用 FPGA 制 造 商 的 布 局 布 线 功 能, 根 据 综 合 后 生 成 的 标 准 门 级 结 构 网 表 来 产 生 为 了 能 转 换 成 标 准 的 门 级 结 构 网 表,HDL 程 序 的 编 写 必 须 符 合 特 定 综 合 器 所 要 求 的 风 格 由 于 门 级 结 构 RTL 级 的 HDL 程 序 的 综 合 是 很 成 熟 的 技 术, 所 有 的 综 合 器 都 可 以 支 持 到 这 一 级 别 的 综 合 常 用 的 综 合 工 具 有 Synplicity 公 司 的 Synplify/Synplify Pro 软 件 以 及 各 个 FPGA 厂 家 自 己 推 出 的 综 合 开 发 工 具 30.

31 5 综 合 后 仿 真 综 合 后 仿 真 检 查 综 合 结 果 是 否 和 原 设 计 一 致 在 仿 真 时, 把 综 合 生 成 的 标 准 延 时 文 件 反 标 注 到 综 合 仿 真 模 型 中 去, 可 估 计 门 延 时 带 来 的 影 响 但 这 一 步 骤 不 能 估 计 线 延 时, 因 此 和 布 线 后 的 实 际 情 况 还 有 一 定 的 差 距, 并 不 十 分 准 确 目 前 的 综 合 工 具 较 为 成 熟, 对 于 一 般 的 设 计 可 以 省 略 这 一 步, 但 如 果 在 布 局 布 线 后 发 现 电 路 结 构 和 设 计 意 图 不 符, 则 需 要 回 溯 到 综 合 后 仿 真 来 确 认 问 题 之 所 在 在 功 能 仿 真 中 介 绍 的 软 件 工 具 一 般 都 支 持 综 合 后 仿 真 图 4-1 FPGA 典 型 设 计 流 程 6 实 现 与 布 局 布 线 布 局 布 线 可 理 解 为 利 用 实 现 工 具 把 逻 辑 映 射 到 目 标 器 件 结 构 的 资 源 中, 决 定 逻 辑 的 最 佳 布 局, 选 择 逻 辑 与 输 入 输 出 功 能 链 接 的 布 线 通 道 进 行 连 线, 并 产 生 相 应 文 件 ( 如 配 置 文 件 与 相 关 报 告 ), 实 现 是 将 综 合 生 成 的 逻 辑 网 表 配 置 到 具 体 的 FPGA 芯 片 上, 布 局 布 线 是 其 中 最 重 要 的 过 程 布 局 将 逻 辑 网 表 中 的 硬 件 原 语 和 底 层 单 元 合 理 地 配 置 到 芯 片 内 部 的 固 有 硬 件 结 构 上, 并 且 往 往 需 要 在 速 度 最 优 和 面 积 最 优 之 间 作 出 选 择 布 线 根 据 布 局 的 拓 扑 结 构, 利 用 芯 片 内 部 的 各 种 连 线 资 源, 合 理 正 确 地 连 接 各 个 元 件 目 前,FPGA 的 结 构 非 常 复 杂, 特 别 是 在 有 时 序 约 束 条 件 时, 需 要 利 用 时 序 驱 动 的 引 擎 进 行 布 局 布 线 布 线 结 束 后, 软 件 工 具 会 自 动 生 成 报 告, 提 供 有 关 设 计 中 各 部 分 资 源 的 使 用 情 况 由 于 只 有 FPGA 芯 片 生 产 商 对 芯 片 结 构 最 为 了 解, 所 以 布 局 布 线 必 须 选 择 芯 片 开 发 商 提 供 的 工 具 7 时 序 仿 真 时 序 仿 真, 也 称 为 后 仿 真, 是 指 将 布 局 布 线 的 延 时 信 息 反 标 注 到 设 计 网 表 中 来 检 测 有 无 时 序 违 规 ( 即 不 满 足 时 序 约 束 条 件 或 器 件 固 有 的 时 序 规 则, 如 建 立 时 间 保 持 时 间 等 ) 现 象 时 序 仿 真 包 含 的 延 迟 信 息 最 全, 也 最 精 确, 能 较 好 地 反 映 芯 片 的 实 际 工 作 情 况 由 于 不 同 芯 片 的 内 部 延 时 不 一 样, 不 同 的 布 局 布 线 方 案 也 给 延 时 带 来 不 同 的 影 响 因 此 在 布 局 布 线 后, 通 过 对 系 统 和 各 个 模 块 进 行 时 序 仿 真, 分 析 其 时 序 关 系, 估 计 系 统 性 能, 以 及 检 查 和 消 除 竞 争 冒 险 是 非 常 有 必 要 的 在 功 能 仿 真 中 介 绍 的 软 件 工 具 一 般 都 支 持 综 合 后 仿 真 31.

32 8 板 级 仿 真 与 验 证 板 级 仿 真 主 要 应 用 于 高 速 电 路 设 计 中, 对 高 速 系 统 的 信 号 完 整 性 电 磁 干 扰 等 特 征 进 行 分 析, 一 般 都 以 第 三 方 工 具 进 行 仿 真 和 验 证 9 芯 片 编 程 与 调 试 设 计 的 最 后 一 步 就 是 芯 片 编 程 与 调 试 芯 片 编 程 是 指 产 生 使 用 的 数 据 文 件 ( 位 数 据 流 文 件,Bitstream Generation), 然 后 将 编 程 数 据 下 载 到 FPGA 芯 片 中 其 中, 芯 片 编 程 需 要 满 足 一 定 的 条 件, 如 编 程 电 压 编 程 时 序 和 编 程 算 法 等 方 面 逻 辑 分 析 仪 (Logic Analyzer,LA) 是 FPGA 设 计 的 主 要 调 试 工 具, 但 需 要 引 出 大 量 的 测 试 管 脚, 且 LA 价 格 昂 贵 目 前, 主 流 的 FPGA 芯 片 生 产 商 都 提 供 了 内 嵌 的 在 线 逻 辑 分 析 仪 ( 如 Xilinx ISE 中 的 ChipScope Altera QuartusII 中 的 SignalTapII 以 及 SignalProb) 来 解 决 上 述 矛 盾, 它 们 只 需 要 占 用 芯 片 少 量 的 逻 辑 资 源, 具 有 很 高 的 实 用 价 值 4.2 基 于 FPGA 的 SOC 设 计 方 法 目 前, 由 于 FPGA 性 能 提 升 价 格 下 降, 同 时 嵌 入 越 来 越 多 内 核, 很 自 然 地, 很 多 IC 设 计 公 司 将 FPGA 用 于 ASIC 原 型 验 证, 把 FPGA 可 编 程 的 优 点 带 到 了 SOC 领 域, 其 系 统 由 嵌 入 式 处 理 器 内 核 DSP 单 元 大 容 量 处 理 器 吉 比 特 收 发 器 混 合 逻 辑 IP 以 及 原 有 的 设 计 部 分 组 成 SOC 平 台 的 核 心 部 分 是 内 嵌 的 处 理 内 核, 其 硬 件 是 固 定 的, 软 件 则 是 可 编 程 的 ; 外 围 电 路 则 由 FPGA 的 逻 辑 资 源 组 成, 大 都 以 IP 的 形 式 提 供, 例 如 存 储 器 接 口 USB 接 口 以 及 以 太 网 MAC 层 接 口 等, 用 户 根 据 自 己 需 要 在 内 核 总 线 上 添 加, 并 能 自 己 订 制 相 应 的 接 口 IP 和 外 围 设 备 基 于 FPGA 的 典 型 SOC 开 发 流 程 为 : 1 芯 片 内 的 考 虑 从 设 计 生 成 开 始, 设 计 人 员 需 要 从 硬 件 / 软 件 协 同 验 证 的 思 路 入 手, 以 找 出 只 能 在 系 统 集 成 阶 段 才 会 被 发 现 的 软 硬 件 缺 陷 然 后 选 择 合 适 的 芯 片 以 及 开 发 工 具, 在 综 合 过 程 得 到 优 化, 随 后 进 行 精 确 的 实 现, 以 满 足 实 际 需 求 由 于 设 计 规 模 越 来 越 大, 工 作 频 率 也 到 了 数 百 兆 赫 兹, 布 局 布 线 的 延 迟 将 变 得 非 常 重 要 为 了 确 保 满 足 时 序, 需 要 在 布 局 布 线 后 进 行 静 态 时 序 分 析, 对 设 计 进 行 验 证 2 板 级 验 证 在 芯 片 设 计 完 毕 后, 需 要 再 进 行 板 级 验 证, 以 便 在 印 刷 电 路 板 (PCB) 上 保 证 与 最 初 设 计 功 能 一 致 因 此, PCB 布 局 以 及 信 号 完 整 性 测 试 应 被 纳 入 设 计 流 程 由 于 芯 片 内 设 计 所 做 的 任 何 改 变 都 将 反 映 在 下 游 的 设 计 流 程 中, 各 个 过 程 之 间 的 数 据 接 口 和 管 理 也 必 须 是 无 误 的 预 计 SOC 系 统 以 及 所 必 须 的 额 外 过 程 将 使 数 据 的 大 小 成 指 数 增 长, 因 此, 管 理 各 种 数 据 集 本 身 是 急 剧 挑 战 性 的 任 务 32.

33 第 五 章 FPGA 实 战 开 发 技 巧 5.1 FPGA 器 件 选 型 常 识 作 者 : 童 鹏 胡 以 华 / 中 科 院 上 海 技 术 物 理 研 究 所 FPGA 器 件 的 选 型 非 常 重 要, 不 合 理 的 选 型 会 导 致 一 系 列 的 后 续 设 计 问 题, 有 时 甚 至 会 使 设 计 失 败 ; 合 理 的 选 型 不 光 可 以 避 免 设 计 问 题, 而 且 可 以 提 高 系 统 的 性 价 比, 延 长 产 品 的 生 命 周 期, 获 得 预 想 不 到 的 经 济 效 果 FPGA 器 件 选 型 有 以 下 7 个 原 则 : 器 件 的 供 货 渠 道 和 开 发 工 具 的 支 持 器 件 的 硬 件 资 源 器 件 的 电 气 接 口 标 准 器 件 的 速 度 等 级 器 件 的 温 度 等 级 器 件 的 封 装 和 器 件 的 价 格 器 件 的 供 货 渠 道 和 开 发 工 具 的 支 持 目 前, 主 要 的 FPGA 供 应 商 有 赛 灵 思 公 司 Altera 公 司 Lattic 公 司 和 Actel 公 司 等,FPGA 的 发 展 速 度 非 常 快, 很 多 型 号 的 FPGA 器 件 已 不 是 主 流 产 品, 为 了 提 高 产 品 的 生 命 周 期, 最 好 在 货 源 比 较 足 的 主 流 器 件 中 选 型 Xllinx 公 司 的 主 流 器 件 有 Spartan-3E Spartan-3A Virtex-4LX Virtex-4 SX Virtex-4 FX Virtex-5 LX Virtex-5SX Virtex-5 FX Spartan-6 和 Virtex - 6 等 系 列, 其 中 Spartan-3E 和 Spartan-3A 系 列 主 要 应 用 于 逻 辑 设 计 和 简 单 数 字 信 号 处 理,Virtex-4 LX 和 Virtex-5 LX 系 列 主 要 应 用 于 高 速 逻 辑 设 计,Virtex-4 SX 和 Virtex-5 SX 系 列 主 要 应 用 于 高 速 复 杂 数 字 信 号 处 理,Virtex-4 FX 和 Virtex-5 FX 系 列 主 要 应 用 于 嵌 入 式 系 统 赛 灵 思 公 司 有 集 成 开 发 环 境 ISE,Altera 公 司 有 集 成 开 发 环 境 Quartus Ⅱ, 两 个 集 成 开 发 环 境 支 持 本 公 司 所 有 器 件 的 设 计 和 开 发 该 集 成 开 发 环 境 不 仅 功 能 强 大 界 面 友 好, 而 且 有 很 多 第 三 方 合 作 伙 伴 提 供 相 应 的 技 术 支 持, 能 使 器 件 获 得 更 高 的 性 能 因 此, 如 果 没 有 特 殊 应 用 要 求, 建 议 最 好 在 这 两 家 公 司 进 行 器 件 选 型 器 件 的 硬 件 资 源 硬 件 资 源 是 器 件 选 型 的 重 要 标 准 硬 件 资 源 包 括 逻 辑 资 源 I / O 资 源 布 线 资 源 DSP 资 源 存 储 器 资 源 锁 相 环 资 源 串 行 收 发 器 资 源 和 硬 核 微 处 理 器 资 源 等 逻 辑 资 源 和 I / O 资 源 的 需 求 是 每 位 设 计 人 员 最 关 心 的 问 题, 一 般 都 会 考 虑 到, 可 是, 过 度 消 耗 I / O 资 源 和 布 线 资 源 可 能 产 生 的 问 题 却 很 容 易 被 忽 视 主 流 FPGA 器 件 中, 逻 辑 资 源 都 比 较 丰 富, 一 般 可 以 满 足 应 用 需 求 可 是, 在 比 较 复 杂 的 数 字 系 统 中, 过 度 I / O 资 源 的 消 耗 可 能 会 导 致 2 个 问 题 :FPGA 负 荷 过 重, 器 件 发 热 严 重, 严 重 影 响 器 件 的 速 度 性 能 工 作 稳 定 性 和 寿 命, 设 计 中 要 考 虑 器 件 的 散 热 问 题 ; 局 部 布 线 资 源 不 足, 电 路 的 运 行 速 度 明 显 降 低, 有 时 甚 至 使 设 计 不 能 适 配 器 件, 设 计 失 败 根 据 本 人 的 应 用 经 验 : (1) 在 做 复 杂 数 字 信 号 处 理 时, 位 数 比 较 高 的 乘 法 器 和 除 法 器 对 全 局 布 线 资 源 的 消 耗 量 比 较 大 ; (2) 在 做 逻 辑 设 计 时, 双 向 I / O 口 对 局 部 布 线 资 源 的 消 耗 量 比 较 大 ; (3) 在 利 用 存 储 器 资 源 设 计 滤 波 器 的 应 用 场 合, 局 部 布 线 资 源 的 消 耗 量 比 较 大 ; 33.

34 (4) 在 电 气 接 口 标 准 比 较 多, 而 逻 辑 比 较 复 杂 的 应 用 场 合, 局 部 布 线 资 源 的 消 耗 量 比 较 大 在 做 乘 法 运 算 比 较 多 而 且 对 速 度 性 能 要 求 比 较 高 的 应 用 场 合, 最 好 能 选 用 带 DSP 资 源 比 较 多 的 器 件, 例 如, Altera 公 司 的 Statix Ⅱ 和 Statix Ⅲ 系 列, 赛 灵 思 公 司 的 Virtex-4 SX 和 Virtex-5 SX 系 列 等 器 件 中 的 存 储 器 资 源 主 要 有 2 种 用 途 : 作 高 性 能 滤 波 器 ; 实 现 小 容 量 高 速 数 据 缓 存 这 是 一 种 比 较 宝 贵 的 硬 件 资 源, 一 般 器 件 中 的 存 储 器 资 源 都 不 太 多, 存 储 器 资 源 较 多 的 器 件 逻 辑 容 量 也 非 常 大, 用 得 也 比 较 少, 供 货 渠 道 也 不 多, 器 件 价 格 也 非 常 高 因 此, 在 器 件 选 型 时, 最 好 不 要 片 面 追 求 设 计 的 集 成 度 而 选 用 这 种 器 件, 可 以 考 虑 选 用 低 端 器 件 + 外 扩 存 储 器 的 设 计 方 案 目 前, 主 流 FPGA 中 都 集 成 了 锁 相 环, 利 用 锁 相 环 对 时 钟 进 行 相 位 锁 定, 可 以 使 电 路 获 得 更 稳 定 的 性 能 赛 灵 思 公 司 提 供 的 是 数 字 锁 相 环, 其 优 点 是 能 获 得 更 精 确 的 相 位 控 制, 其 缺 点 是 下 限 工 作 频 率 较 高, 一 般 在 24 MHz 以 上 ;Altera 公 司 提 供 的 是 模 拟 锁 相 环, 其 优 点 是 下 限 工 作 频 率 较 低, 一 般 在 16 MHz 以 上, 其 主 流 器 件 Statix Ⅱ 和 Statix Ⅲ 系 列 中 的 增 强 型 锁 相 环 工 作 频 率 只 要 求 在 4 MHz 以 上, 其 缺 点 是 对 时 钟 相 位 的 控 制 精 度 相 对 较 差 在 通 讯 领 域 里, 用 光 纤 传 输 高 速 数 据 是 一 个 比 较 常 用 的 解 决 方 案 A1tera 公 司 的 Statix Ⅱ GX 和 Statix Ⅲ GX 系 列, 赛 灵 思 公 司 的 Virtex-4 FX 和 Virtex-5 FX 系 列 都 集 成 了 高 速 串 行 收 发 器 ( 注 意 : 赛 灵 思 V5 带 T 的 产 品 都 有 高 速 串 行 收 发 器,V4 V2P 某 些 型 号 也 有 高 速 串 行 收 发 器 ), 这 种 器 件 价 格 一 般 都 比 较 高 目 前, National 和 Maxim 等 公 司 提 供 的 高 性 能 专 用 串 行 收 发 芯 片 价 格 都 不 高, 因 此, 如 果 只 是 进 行 光 纤 数 据 传 输 没 计, 大 可 不 必 选 用 这 种 器 件 ; 如 果 是 光 纤 数 据 传 输 + 逻 辑 或 算 法 比 较 复 杂 的 应 用 场 合, 最 好 是 将 两 种 方 案 进 行 比 较, 然 后 考 虑 是 否 选 用 该 器 件 利 用 集 成 硬 核 微 处 理 器 的 FPGA 器 件 进 行 嵌 入 式 开 发, 代 表 嵌 入 式 应 用 的 一 个 方 向 赛 灵 思 公 司 提 供 集 成 PowerPC 的 Virtex-4 FX 和 virtex-5 FX 系 列 器 件 随 着 器 件 价 格 不 断 下 降, 在 很 多 应 用 场 合, 在 不 增 加 成 本 的 情 况 下, 选 用 该 器 件 和 传 统 FPGA+MCU 的 应 用 方 案 相 比, 能 大 幅 度 提 高 系 统 性 能 和 降 低 硬 件 设 计 复 杂 程 度 此 时, 选 用 该 器 件 是 比 较 理 想 的 电 气 接 口 标 准 目 前, 数 字 电 路 的 电 气 接 口 标 准 非 常 多 在 复 杂 数 字 系 统 中, 经 常 会 出 现 多 种 电 气 接 口 标 准 目 前, 主 流 FPGA 器 件 支 持 的 电 气 接 口 标 准 有 :1.5 V,1.5-V 等, 可 以 满 足 绝 大 部 分 应 用 设 计 需 求 34.

35 赛 灵 思 公 司 的 FPGA 几 乎 所 有 的 管 脚 都 支 持 SSTL-2 Class Ⅱ 电 气 接 口 标 准, 此 时 选 用 赛 灵 思 公 司 的 FPGA 是 比 较 理 想 的 器 件 的 速 度 等 级 关 于 器 件 速 度 等 级 的 选 型, 一 个 基 本 的 原 则 是 : 在 满 足 应 用 需 求 的 情 况 下, 尽 量 选 用 速 度 等 级 低 的 器 件 该 选 型 原 则 有 如 下 好 处 : (1) 由 于 传 输 线 效 应, 速 度 等 级 高 的 器 件 更 容 易 产 生 信 号 反 射, 设 计 要 在 信 号 的 完 整 性 上 花 更 多 的 精 力 ; (2) 速 度 等 级 高 的 器 件 一 般 用 得 比 较 少, 价 格 经 常 是 成 倍 增 加, 而 且 高 速 器 件 的 供 货 渠 道 一 般 比 较 少, 器 件 的 订 货 周 期 一 般 都 比 较 长, 经 常 会 延 误 产 品 的 研 发 周 期, 降 低 产 品 的 上 市 率 器 件 的 温 度 等 级 某 些 应 用 场 合, 对 器 件 的 环 境 温 度 适 应 能 力 提 出 了 很 高 的 要 求, 此 时, 就 应 该 在 有 工 业 级 甚 至 是 军 品 级 或 宇 航 级 的 器 件 中 进 行 选 型 据 调 研,Altera 公 司 每 种 型 号 的 FPGA 都 有 工 业 级 产 品 ;Xllinx 公 司 每 种 型 号 的 FPGA 都 有 工 业 级 产 品, 部 分 型 号 的 FPGA 提 供 军 品 级 和 宇 航 级 产 品 器 件 的 封 装 目 前, 主 流 器 件 的 封 装 形 式 有 :QFP,BGA 和 FB-GA,BGA 和 FBGA 封 装 器 件 的 管 脚 密 度 非 常 高, 设 计 中 必 须 使 用 多 层 板,PCB 布 线 相 当 复 杂, 设 计 成 本 比 较 高, 器 件 焊 接 成 本 比 较 高, 因 此, 设 计 中 能 不 用 尽 量 不 用 不 过, 在 密 度 非 常 高, 集 成 度 非 常 高 和 对 PCB 板 体 积 要 求 比 较 高 的 应 用 场 合, 尽 量 选 用 BGA 和 FBGA 封 装 器 件 还 有 一 种 情 况, 在 电 路 速 度 非 常 高 的 应 用 场 合, 最 好 选 用 BGA 和 FBGA 封 装 器 件, 这 2 种 封 装 器 件 由 于 器 件 管 脚 引 线 电 感 和 分 布 电 容 比 较 小, 有 利 于 高 速 电 路 的 设 计 器 件 的 价 格 器 件 集 成 度 不 断 提 高, 性 能 不 断 上 升, 而 价 位 不 断 下 降 是 FPGA 器 件 发 展 的 普 遍 趋 势, 因 此, 在 不 断 推 出 的 新 型 器 件 中 选 型 是 一 个 基 本 规 律 以 赛 灵 思 公 司 刚 推 出 的 Virtex-5 为 例, 性 能 比 Virtex-4 提 高 30%, 而 相 对 价 位 却 降 低 35% 35.

36 5.2 如 何 进 行 FPGA 设 计 早 期 系 统 规 划 作 者 :Ricky Su ( 这 篇 文 章 讲 述 了 如 何 用 工 具 提 高 效 率 的 方 法, 适 用 程 度 因 人 而 异 Situation: 在 对 FPGA 设 计 进 行 最 初 步 的 系 统 规 划 的 时 候, 需 要 进 行 模 块 划 分, 模 块 接 口 定 义 等 工 作 通 常, 我 们 起 初 会 在 纸 上 进 行 设 计, 到 了 一 定 阶 段 的 定 稿 可 能 会 输 入 Visio 等 工 具, 方 便 在 Team 内 部 交 流 和 审 阅 虽 然 在 纸 上 我 们 可 以 很 随 意 地 书 写, 而 用 纸 画 的 不 方 便 就 在 于, 如 果 想 对 某 一 个 模 块 进 行 一 些 改 动 或 者 重 画 模 块, 那 么 常 常 因 为 留 出 的 空 余 纸 张 不 够, 而 导 致 拿 一 张 新 的 白 纸 重 新 画 一 遍, 比 较 浪 费 时 间 对 于 电 子 化 的 Visio 来 说, 方 便 修 改 是 好 处, 但 他 不 是 专 为 设 计 FPGA 系 统 而 设 计 的, 添 加 输 入 输 出 端 口 没 那 么 方 便, 也 不 会 根 据 定 义 的 模 块 自 动 生 成 HDL 文 件 Question: 我 们 能 不 能 使 用 更 好 软 件 进 行 系 统 规 划 呢? Solution: 答 案 是 可 以 的 下 面 以 ISE 10.1 为 例 作 说 明 : 1) 画 一 个 空 模 块, 仅 定 义 端 口 - 新 建 Schematic, 选 择 Tools -> Symbol Wizard, 里 面 可 以 定 义 Symbol 名 和 端 口 属 性 完 成 后 生 成 sym 格 式 的 Symbol 如 果 端 口 是 一 个 bus, 那 么 可 以 用 A(4:0) 的 形 式 2) 将 Symbol 添 加 到 原 理 图 - 在 Schematic 的 Symbol 页 面, 选 择 Categories 为 工 程 文 件 夹, 在 Symbols 列 表 中 就 可 以 看 到 刚 刚 新 建 的 Symbol 将 它 添 加 到 原 理 图 中 3) 重 复 1-2 步 骤, 建 立 所 有 Symbol, 并 连 接 端 口 如 果 需 要 修 改 连 线 的 名 字 或 者 模 块 的 例 化 名, 可 以 选 择 需 要 修 改 名 字 的 元 件 然 后 按 右 键 --> Object Properties --> 在 Name/InstName 窗 格 中 填 入 需 要 的 名 字 4) 如 需 修 改 Symbol, 可 以 直 接 在 sym 文 件 中 修 改 - 可 以 按 右 键 -> Add -> Pin 等 等 添 加, 也 可 以 Copy 已 存 在 的 Pin, 然 后 改 变 PinName 但 是 ISE10.1 的 Symbol Editor 对 Add Pin 有 一 些 Bug 因 此 在 UltraEditor 打 开 这 个 sym 文 件, 在 里 面 修 改 可 能 是 更 好 的 办 法 sym 文 件 格 式 很 易 懂 改 变 Symbol 端 口 后 需 要 Update 36.

说 明 为 了 反 映 教 运 行 的 基 本 状 态, 为 校 和 院 制 定 相 关 政 策 和 进 行 教 建 设 与 改 革 提 供 据 依 据, 校 从 程 资 源 ( 开 类 别 开 量 规 模 ) 教 师 结 构 程 考 核 等 维 度, 对 2015 年 春 季 期 教 运 行 基

说 明 为 了 反 映 教 运 行 的 基 本 状 态, 为 校 和 院 制 定 相 关 政 策 和 进 行 教 建 设 与 改 革 提 供 据 依 据, 校 从 程 资 源 ( 开 类 别 开 量 规 模 ) 教 师 结 构 程 考 核 等 维 度, 对 2015 年 春 季 期 教 运 行 基 内 部 资 料 东 北 师 范 大 教 运 行 基 本 状 态 据 报 告 2015 年 春 季 期 教 务 处 2015 年 10 月 27 日 说 明 为 了 反 映 教 运 行 的 基 本 状 态, 为 校 和 院 制 定 相 关 政 策 和 进 行 教 建 设 与 改 革 提 供 据 依 据, 校 从 程 资 源 ( 开 类 别 开 量 规 模 ) 教 师 结 构 程 考 核 等 维 度,

More information

评 委 : 李 炎 斌 - 个 人 技 术 标 资 信 标 初 步 审 查 明 细 表 序 号 投 标 单 位 投 标 函 未 按 招 标 文 件 规 定 填 写 漏 填 或 内 容 填 写 错 误 的 ; 不 同 投 标 人 的 投 标 文 件 由 同 一 台 电 脑 或 同 一 家 投 标 单

评 委 : 李 炎 斌 - 个 人 技 术 标 资 信 标 初 步 审 查 明 细 表 序 号 投 标 单 位 投 标 函 未 按 招 标 文 件 规 定 填 写 漏 填 或 内 容 填 写 错 误 的 ; 不 同 投 标 人 的 投 标 文 件 由 同 一 台 电 脑 或 同 一 家 投 标 单 评 委 : 李 炎 斌 - 个 人 清 标 评 审 明 细 表 评 审 因 素 序 号 投 标 单 位 清 标 评 审 1 深 圳 市 创 捷 科 技 有 限 合 格 2 四 川 川 大 智 胜 软 件 股 份 有 限 合 格 3 北 京 航 天 长 峰 科 技 工 业 集 团 有 限 公 司 合 格 4 深 圳 中 兴 力 维 技 术 有 限 合 格 5 深 圳 键 桥 通 讯 技 术 股 份 有

More information

<433A5C446F63756D656E747320616E642053657474696E67735C41646D696E6973747261746F725CD7C0C3E65CC2DBCEC4CFB5CDB3CAB9D3C3D6B8C4CFA3A8BCF2BBAFA3A95CCAB9D3C3D6B8C4CF31302D31392E646F63>

<433A5C446F63756D656E747320616E642053657474696E67735C41646D696E6973747261746F725CD7C0C3E65CC2DBCEC4CFB5CDB3CAB9D3C3D6B8C4CFA3A8BCF2BBAFA3A95CCAB9D3C3D6B8C4CF31302D31392E646F63> ( 一 ) 系 统 整 体 操 作 流 程 简 述 3 ( 二 ) 系 统 中 各 角 色 操 作 功 能 说 明 5 1. 学 院 管 理 员 5 2. 教 学 院 长 8 3. 指 导 教 师 10 4. 答 辩 组 组 长 12 5. 学 生 12 6. 系 统 管 理 员 15 ( 一 ) 论 文 系 统 常 见 问 题 16 ( 二 ) 论 文 查 重 常 见 问 题 22 1 2 主

More information

何 秋 琳 张 立 春 视 觉 学 习 研 究 进 展 视 觉 注 意 视 觉 感 知

何 秋 琳 张 立 春 视 觉 学 习 研 究 进 展 视 觉 注 意 视 觉 感 知 第 卷 第 期 年 月 开 放 教 育 研 究 何 秋 琳 张 立 春 华 南 师 范 大 学 未 来 教 育 研 究 中 心 广 东 广 州 随 着 图 像 化 技 术 和 电 子 媒 体 的 发 展 视 觉 学 习 也 逐 步 发 展 为 学 习 科 学 的 一 个 研 究 分 支 得 到 研 究 人 员 和 教 育 工 作 者 的 广 泛 关 注 基 于 此 作 者 试 图 对 视 觉 学 习

More information

评 委 : 徐 岩 宇 - 个 人 技 术 标 资 信 标 初 步 审 查 明 细 表 序 号 投 标 单 位 投 标 函 未 按 招 标 文 件 规 定 填 写 漏 填 或 内 容 填 写 错 误 的 ; 不 同 投 标 人 的 投 标 文 件 由 同 一 台 电 脑 或 同 一 家 投 标 单

评 委 : 徐 岩 宇 - 个 人 技 术 标 资 信 标 初 步 审 查 明 细 表 序 号 投 标 单 位 投 标 函 未 按 招 标 文 件 规 定 填 写 漏 填 或 内 容 填 写 错 误 的 ; 不 同 投 标 人 的 投 标 文 件 由 同 一 台 电 脑 或 同 一 家 投 标 单 评 委 : 徐 岩 宇 - 个 人 清 标 评 审 明 细 表 评 审 因 素 序 号 投 标 单 位 清 标 评 审 1 深 圳 市 创 捷 科 技 有 限 合 格 2 四 川 川 大 智 胜 软 件 股 份 有 限 合 格 3 北 京 航 天 长 峰 科 技 工 业 集 团 有 限 公 司 合 格 4 深 圳 中 兴 力 维 技 术 有 限 合 格 5 深 圳 键 桥 通 讯 技 术 股 份 有

More information

抗 战 时 期 国 民 政 府 的 银 行 监 理 体 制 探 析 % # % % % ) % % # # + #, ) +, % % % % % % % %

抗 战 时 期 国 民 政 府 的 银 行 监 理 体 制 探 析 % # % % % ) % % # # + #, ) +, % % % % % % % % 抗 战 时 期 国 民 政 府 的 银 行 监 理 体 制 探 析 王 红 曼 抗 战 时 期 国 民 政 府 为 适 应 战 时 经 济 金 融 的 需 要 实 行 由 财 政 部 四 联 总 处 中 央 银 行 等 多 家 机 构 先 后 共 同 参 与 的 多 元 化 银 行 监 理 体 制 对 战 时 状 态 下 的 银 行 发 展 与 经 营 安 全 进 行 了 大 规 模 的 设 计 与

More information

0 年 上 半 年 评 价 与 考 核 细 则 序 号 部 门 要 素 值 考 核 内 容 考 核 方 式 考 核 标 准 考 核 ( 扣 原 因 ) 考 评 得 3 安 全 生 产 目 30 无 同 等 责 任 以 上 道 路 交 通 亡 人 事 故 无 轻 伤 责 任 事 故 无 重 大 质 量

0 年 上 半 年 评 价 与 考 核 细 则 序 号 部 门 要 素 值 考 核 内 容 考 核 方 式 考 核 标 准 考 核 ( 扣 原 因 ) 考 评 得 3 安 全 生 产 目 30 无 同 等 责 任 以 上 道 路 交 通 亡 人 事 故 无 轻 伤 责 任 事 故 无 重 大 质 量 0 年 上 半 年 评 价 与 考 核 细 则 序 号 部 门 要 素 值 考 核 内 容 考 核 方 式 考 核 标 准 无 同 等 责 任 以 上 道 路 交 通 亡 人 事 故 3 无 轻 伤 责 任 事 故 目 标 30 及 事 无 重 大 质 量 工 作 过 失 故 管 无 其 他 一 般 责 任 事 故 理 在 公 司 文 明 环 境 创 建 中, 无 工 作 过 失 及 被 追 究 的

More information

《C语言基础入门》课程教学大纲

《C语言基础入门》课程教学大纲 C 语 言 开 发 入 门 教 程 课 程 教 学 大 纲 课 程 编 号 :201409210011 学 分 :5 学 分 学 时 :58 学 时 ( 其 中 : 讲 课 学 时 :39 学 时 上 机 学 时 :19 学 时 ) 先 修 课 程 : 计 算 机 导 论 后 续 课 程 :C++ 程 序 设 计 适 用 专 业 : 信 息 及 其 计 算 机 相 关 专 业 开 课 部 门 : 计

More information

18 上 报 该 学 期 新 生 数 据 至 阳 光 平 台 第 一 学 期 第 四 周 至 第 六 周 19 督 促 学 习 中 心 提 交 新 增 专 业 申 请 第 一 学 期 第 四 周 至 第 八 周 20 编 制 全 国 网 络 统 考 十 二 月 批 次 考 前 模 拟 题 第 一 学

18 上 报 该 学 期 新 生 数 据 至 阳 光 平 台 第 一 学 期 第 四 周 至 第 六 周 19 督 促 学 习 中 心 提 交 新 增 专 业 申 请 第 一 学 期 第 四 周 至 第 八 周 20 编 制 全 国 网 络 统 考 十 二 月 批 次 考 前 模 拟 题 第 一 学 1 安 排 组 织 全 国 网 络 统 考 九 月 批 次 网 上 考 前 辅 导 第 一 学 期 第 一 周 统 考 考 前 半 个 月 2 下 发 全 国 网 络 统 考 九 月 批 次 准 考 证 第 一 学 期 第 一 周 导 出 下 半 年 成 人 本 科 学 士 学 位 英 语 统 一 考 试 报 考 3 信 息 第 一 学 期 第 一 周 4 教 学 计 划 和 考 试 计 划 上 网,

More information

(2015-2016-2)-0004186-04205-1 140242 信 号 与 系 统 Ⅰ 学 科 基 础 必 修 课 37 37 1 教 203 17 周 2016 年 06 月 13 日 (08:00-09:35) (2015-2016-2)-0004186-04205-1 141011

(2015-2016-2)-0004186-04205-1 140242 信 号 与 系 统 Ⅰ 学 科 基 础 必 修 课 37 37 1 教 203 17 周 2016 年 06 月 13 日 (08:00-09:35) (2015-2016-2)-0004186-04205-1 141011 关 于 2015-2016 学 年 第 二 学 期 期 末 周 内 考 试 时 间 地 点 安 排 选 课 课 号 班 级 名 称 课 程 名 称 课 程 性 质 合 考 人 数 实 际 人 数 考 试 教 室 考 试 段 考 试 时 间 (2015-2016-2)-0006178-04247-1 130101 测 试 技 术 基 础 学 科 基 础 必 修 课 35 35 1 教 401 17 周

More information

深圳市新亚电子制程股份有限公司

深圳市新亚电子制程股份有限公司 证 券 代 码 :002388 证 券 简 称 : 新 亚 制 程 公 告 编 号 :2016-053 深 圳 市 新 亚 电 子 制 程 股 份 有 限 公 司 2016 年 第 二 次 临 时 股 东 大 会 决 议 公 告 本 公 司 及 董 事 会 全 体 成 员 保 证 公 告 内 容 真 实 准 确 和 完 整, 不 存 在 虚 假 记 载 误 导 性 陈 述 或 者 重 大 遗 漏 特

More information

Microsoft Word - 第7章 图表反转形态.doc

Microsoft Word - 第7章 图表反转形态.doc 第 七 章 图 表 反 转 形 态 我 们 知 道 市 场 趋 势 共 有 三 种 : 上 升 趋 势 下 降 趋 势 和 横 向 整 理 市 场 的 价 格 波 动 都 是 运 行 在 这 三 种 趋 势 中, 所 有 的 走 势 都 是 这 三 种 趋 势 的 排 列 组 合 如 图 市 场 趋 势 结 构 示 意 图 7-1 所 示 市 场 趋 势 结 构 示 意 图 7-1 图 市 场 趋

More information

,,,,, :,, (.,, );, (, : ), (.., ;. &., ;.. &.., ;, ;, ),,,,,,, ( ) ( ),,,,.,,,,,, : ;, ;,.,,,,, (., : - ),,,, ( ),,,, (, : ),, :,

,,,,, :,, (.,, );, (, : ), (.., ;. &., ;.. &.., ;, ;, ),,,,,,, ( ) ( ),,,,.,,,,,, : ;, ;,.,,,,, (., : - ),,,, ( ),,,, (, : ),, :, : 周 晓 虹 : - -., - - - -. :( ), -,.( ),,, -. - ( ).( ) ', -,,,,, ( ).( ),,, -., '.,, :,,,, :,,,, ,,,,, :,, (.,, );, (, : ), (.., ;. &., ;.. &.., ;, ;, ),,,,,,, ( ) ( ),,,,.,,,,,, : ;, ;,.,,,,, (., : - ),,,,

More information

<4D F736F F D D323630D6D0B9FAD3A6B6D4C6F8BAF2B1E4BBAFB5C4D5FEB2DFD3EBD0D0B6AF C4EAB6C8B1A8B8E6>

<4D F736F F D D323630D6D0B9FAD3A6B6D4C6F8BAF2B1E4BBAFB5C4D5FEB2DFD3EBD0D0B6AF C4EAB6C8B1A8B8E6> 中 国 应 对 气 候 变 化 的 政 策 与 行 动 2013 年 度 报 告 国 家 发 展 和 改 革 委 员 会 二 〇 一 三 年 十 一 月 100% 再 生 纸 资 源 目 录 前 言... 1 一 应 对 气 候 变 化 面 临 的 形 势... 3 二 完 善 顶 层 设 计 和 体 制 机 制... 4 三 减 缓 气 候 变 化... 8 四 适 应 气 候 变 化... 20

More information

龚 亚 夫 在 重 新 思 考 基 础 教 育 英 语 教 学 的 理 念 一 文 中 援 引 的 观 点 认 为 当 跳 出 本 族 语 主 义 的 思 维 定 式 后 需 要 重 新 思 考 许 多 相 连 带 的 问 题 比 如 许 多 发 音 的 细 微 区 别 并 不 影 响 理 解 和

龚 亚 夫 在 重 新 思 考 基 础 教 育 英 语 教 学 的 理 念 一 文 中 援 引 的 观 点 认 为 当 跳 出 本 族 语 主 义 的 思 维 定 式 后 需 要 重 新 思 考 许 多 相 连 带 的 问 题 比 如 许 多 发 音 的 细 微 区 别 并 不 影 响 理 解 和 语 音 语 篇 语 感 语 域 林 大 津 毛 浩 然 改 革 开 放 以 来 的 英 语 热 引 发 了 大 中 小 学 英 语 教 育 整 体 规 划 问 题 在 充 分 考 虑 地 区 学 校 和 个 体 差 异 以 及 各 家 观 点 的 基 础 上 遵 循 实 事 求 是 逐 级 定 位 逐 层 分 流 因 材 施 教 的 原 则 本 研 究 所 倡 导 的 语 音 语 篇 语 感 语 域

More information

Microsoft Word - 文件汇编.doc

Microsoft Word - 文件汇编.doc 北 京 市 中 医 管 理 局 二 一 五 年 四 月 ... 1... 18 2015... 30 京 中 医 政 字 [2014]160 号 1 2 一 充 分 认 识 中 医 健 康 乡 村 建 设 工 作 的 重 要 意 义 二 建 立 健 全 工 作 保 障 机 制 2014 12 15 三 做 好 工 作 启 动 的 准 备 事 宜 1 2014 12 15 5-10 2014 12 15

More information

一 公 共 卫 生 硕 士 专 业 学 位 论 文 的 概 述 学 位 论 文 是 对 研 究 生 进 行 科 学 研 究 或 承 担 专 门 技 术 工 作 的 全 面 训 练, 是 培 养 研 究 生 创 新 能 力, 综 合 运 用 所 学 知 识 发 现 问 题, 分 析 问 题 和 解 决

一 公 共 卫 生 硕 士 专 业 学 位 论 文 的 概 述 学 位 论 文 是 对 研 究 生 进 行 科 学 研 究 或 承 担 专 门 技 术 工 作 的 全 面 训 练, 是 培 养 研 究 生 创 新 能 力, 综 合 运 用 所 学 知 识 发 现 问 题, 分 析 问 题 和 解 决 上 海 市 公 共 卫 生 硕 士 专 业 学 位 论 文 基 本 要 求 和 评 价 指 标 体 系 ( 试 行 ) 上 海 市 学 位 委 员 会 办 公 室 二 O 一 二 年 三 月 一 公 共 卫 生 硕 士 专 业 学 位 论 文 的 概 述 学 位 论 文 是 对 研 究 生 进 行 科 学 研 究 或 承 担 专 门 技 术 工 作 的 全 面 训 练, 是 培 养 研 究 生 创

More information

1600 1000 40 50 2030 2000 采 取 行 动 的 机 会 90% 开 拓 成 功 的 道 路 2

1600 1000 40 50 2030 2000 采 取 行 动 的 机 会 90% 开 拓 成 功 的 道 路 2 简 略 版 本 :2015 3 10 2016 2021 全 球 卫 生 部 门 病 毒 性 肝 炎 战 略 2016 2021 2015 3 12 2012 2010 2014 2015 2016 2021 140 55% 35% 5 15% 5 20% 2.4 1.3 1.5 1 1600 1000 40 50 2030 2000 采 取 行 动 的 机 会 90% 开 拓 成 功 的 道 路

More information

I

I 机 电 一 级 注 册 建 造 师 继 续 教 育 培 训 广 东 培 训 点 网 上 报 名 操 作 使 用 手 册 (2013 年 1 月, 第 一 版 ) 第 一 章 个 人 注 册 与 个 人 信 息 管 理 1. 个 人 注 册 ( 请 每 人 只 申 请 一 个 注 册 号, 如 果 单 位 批 量 报 班 单 位 帮 申 请 注 册, 不 需 个 人 再 注 册 ) 首 次 报 班,

More information

科 学 出 版 社 科 学 出 版 社 前 言 本 书 是 针 对 普 通 高 等 院 校 经 济 类 和 工 商 管 理 类 本 科 专 业 财 务 管 理 学 的 教 学 需 求, 结 合 教 育 部 经 济 管 理 类 本 科 财 务 管 理 学 课 程 教 学 大 纲 编 写 而 成 的 本 书 执 笔 者 都 是 长 期 工 作 在 财 务 管 理 教 学 一 线 的 专 业 教 师,

More information

名 称 生 命 科 学 学 院 083001 环 境 科 学 1 生 物 学 仅 接 收 院 内 调 剂, 初 试 分 数 满 足 我 院 生 物 学 复 试 最 低 分 数 线 生 命 科 学 学 院 071300 生 态 学 5 生 态 学 或 生 物 学 生 命 科 学 学 院 040102

名 称 生 命 科 学 学 院 083001 环 境 科 学 1 生 物 学 仅 接 收 院 内 调 剂, 初 试 分 数 满 足 我 院 生 物 学 复 试 最 低 分 数 线 生 命 科 学 学 院 071300 生 态 学 5 生 态 学 或 生 物 学 生 命 科 学 学 院 040102 华 中 师 范 大 学 2016 年 接 收 校 内 外 优 秀 硕 士 研 究 生 调 剂 信 息 表 名 称 经 济 与 工 商 管 理 学 院 020101 政 治 经 济 学 1 经 济 学 类 毕 业 学 校 与 报 考 学 校 不 低 于 我 校 办 学 层 次 经 济 与 工 商 管 理 学 院 020105 世 界 经 济 学 1 经 济 学 类 毕 业 学 校 与 报 考 学 校

More information

修改版-操作手册.doc

修改版-操作手册.doc 职 称 信 息 系 统 升 级 指 南 须 使 用 IE9 及 其 以 上 版 本 浏 览 器 或 谷 歌 浏 览 器 登 录 www.njrs.gov.cn 南 京 市 职 称 ( 职 业 资 格 ) 工 作 领 导 小 组 办 公 室 2016 年 5 月 目 录 一 申 报 人 员 操 作 指 南...1 1.1 职 称 初 定 申 报...1 1.1.1 职 称 初 定 基 础 信 息 填

More information

文 化 记 忆 传 统 创 新 与 节 日 遗 产 保 护 根 据 德 国 学 者 阿 斯 曼 的 文 化 记 忆 理 论 仪 式 与 文 本 是 承 载 文 化 记 忆 的 两 大 媒 体 在 各 种 仪 式 行 为 中 节 日 以 其 高 度 的 公 共 性 有 组 织 性 和 历 史 性 而 特 别 适 用 于 文 化 记 忆 的 储 存 和 交 流 节 日 的 文 化 功 能 不 仅 在 于

More information

黄 金 原 油 总 持 仓 增 长, 同 比 增 幅 分 别 为 4.2% 和 4.1% 而 铜 白 银 以 及 玉 米 则 出 现 减 持, 减 持 同 比 减 少 分 别 为 9.4%,9.4% 以 及 6.5% 大 豆, 豆 粕 结 束 连 续 4 周 总 持 仓 量 增 长, 出 现 小 幅

黄 金 原 油 总 持 仓 增 长, 同 比 增 幅 分 别 为 4.2% 和 4.1% 而 铜 白 银 以 及 玉 米 则 出 现 减 持, 减 持 同 比 减 少 分 别 为 9.4%,9.4% 以 及 6.5% 大 豆, 豆 粕 结 束 连 续 4 周 总 持 仓 量 增 长, 出 现 小 幅 小 麦 净 多 持 仓 增 加, 豆 油 豆 粕 净 多 持 仓 减 少 美 国 CFTC 持 仓 报 告 部 门 : 市 场 研 究 与 开 发 部 类 型 : 量 化 策 略 周 报 日 期 :212 年 5 月 7 日 电 话 :592-5678753 网 址 :www.jinyouqh.com 主 要 内 容 : 根 据 美 国 CFTC 公 布 的 数 据, 本 报 告 中 的 11 个

More information

2006年顺德区高中阶段学校招生录取分数线

2006年顺德区高中阶段学校招生录取分数线 2014 年 顺 德 区 高 中 阶 段 学 校 考 试 提 前 批 第 一 批 第 二 批 学 校 录 取 根 据 佛 山 市 办 提 供 的 考 生 数 据, 现 将 我 区 2014 年 高 中 阶 段 学 校 考 试 提 前 批 第 一 批 第 二 批 学 校 的 录 取 公 布 如 下 : 一 顺 德 一 中 录 取 分 第 1 志 愿, 总 分 585, 综 合 表 现 评 价 A, 考

More information

国债回购交易业务指引

国债回购交易业务指引 附 件 1 上 海 证 券 交 易 所 新 质 押 式 国 债 回 购 交 易 业 务 指 引 一 总 述 根 据 上 海 证 券 交 易 所 债 券 交 易 实 施 细 则, 上 证 所 将 于 2006 年 5 月 8 日 起 推 出 新 质 押 式 国 债 回 购 新 质 押 式 回 购 与 现 行 质 押 式 回 购 相 比 区 别 主 要 在 以 下 几 个 方 面 :1 新 质 押 式

More information

课程类 别

课程类 别 美 声 演 唱 方 向 培 养 方 案 一 培 养 目 标 本 方 向 要 求 学 生 德 智 体 美 全 面 发 展, 培 养 能 在 文 艺 团 体 从 事 声 乐 演 唱 及 能 在 艺 术 院 校 从 事 本 方 向 教 学 的 高 级 门 人 才 二 培 养 规 格 本 方 向 学 生 应 系 统 掌 握 声 乐 演 唱 方 面 的 理 论 和 技 能, 具 备 较 高 的 声 乐 演 唱

More information

¹ º ¹ º 农 业 流 动 人 口 是 指 户 口 性 质 为 农 业 户 口 在 流 入 地 城 市 工 作 生 活 居 住 一 个 月 及 以 上 的 流 动 人 口 非 农 流 动 人 口 是 指 户 口 性 质 为 非 农 户 口 在 流 入 地 城 市 工 作 生 活 居 住 一 个

¹ º ¹ º 农 业 流 动 人 口 是 指 户 口 性 质 为 农 业 户 口 在 流 入 地 城 市 工 作 生 活 居 住 一 个 月 及 以 上 的 流 动 人 口 非 农 流 动 人 口 是 指 户 口 性 质 为 非 农 户 口 在 流 入 地 城 市 工 作 生 活 居 住 一 个 ¹ 改 革 开 放 年 来 人 口 流 动 规 模 持 续 增 加 对 我 国 社 会 经 济 的 持 续 发 展 起 到 了 重 要 作 用 为 全 面 了 解 我 国 流 动 人 口 生 存 状 况 准 确 把 握 流 动 人 口 发 展 规 律 和 趋 势 不 断 加 强 流 动 人 口 服 务 管 理 引 导 人 口 有 序 流 动 合 理 分 布 国 家 人 口 计 生 委 于 年 月 启

More information

马 克 思 主 义 公 正 观 的 基 本 向 度 及 方 法 论 原 则!! # #

马 克 思 主 义 公 正 观 的 基 本 向 度 及 方 法 论 原 则!! # # 马 克 思 主 义 公 正 观 的 基 本 向 度 及 方 法 论 原 则 马 俊 峰 在 社 会 公 正 问 题 的 大 讨 论 中 罗 尔 斯 诺 齐 克 哈 耶 克 麦 金 泰 尔 等 当 代 西 方 思 想 家 的 论 述 被 反 复 引 用 和 申 说 而 将 马 克 思 恩 格 斯 等 经 典 作 家 的 观 点 置 于 一 种 被 忽 视 甚 至 被 忘 却 的 状 态 形 成 这 种

More information

中 国 软 科 学 年 第 期!!!

中 国 软 科 学 年 第 期!!! 山 寨 模 式 的 形 成 机 理 及 其 对 组 织 创 新 的 启 示 山 寨 模 式 的 形 成 机 理 及 其 对 组 织 创 新 的 启 示 陶 厚 永 李 燕 萍 骆 振 心 武 汉 大 学 经 济 与 管 理 学 院 武 汉 大 学 中 国 产 学 研 合 作 问 题 研 究 中 心 湖 北 武 汉 北 京 大 学 经 济 研 究 所 光 华 天 成 博 士 后 工 作 站 北 京 本

More information

 编号:

 编号: 编 号 : 企 业 内 高 技 能 人 才 培 养 评 价 实 施 方 案 ( 仅 适 用 于 企 业 特 有 行 业 特 有 工 种 ) 实 施 单 位 ( 公 章 ) 申 报 日 期 年 _ 月 日 1 企 业 内 高 技 能 人 才 培 养 评 价 项 目 实 施 方 案 申 报 表 项 目 名 称 等 级 项 目 性 质 课 时 申 报 单 位 联 系 人 通 讯 地 址 电 话 手 机 电

More information

HSK( 一 级 ) 考 查 考 生 的 日 常 汉 语 应 用 能 力, 它 对 应 于 国 际 汉 语 能 力 标 准 一 级 欧 洲 语 言 共 同 参 考 框 架 (CEF) A1 级 通 过 HSK( 一 级 ) 的 考 生 可 以 理 解 并 使 用 一 些 非 常 简 单 的 汉 语

HSK( 一 级 ) 考 查 考 生 的 日 常 汉 语 应 用 能 力, 它 对 应 于 国 际 汉 语 能 力 标 准 一 级 欧 洲 语 言 共 同 参 考 框 架 (CEF) A1 级 通 过 HSK( 一 级 ) 的 考 生 可 以 理 解 并 使 用 一 些 非 常 简 单 的 汉 语 新 汉 语 水 平 考 试 HSK 为 使 汉 语 水 平 考 试 (HSK) 更 好 地 服 务 于 汉 语 学 习 者, 中 国 国 家 汉 办 组 织 中 外 汉 语 教 学 语 言 学 心 理 学 和 教 育 测 量 学 等 领 域 的 专 家, 在 充 分 调 查 了 解 海 外 实 际 汉 语 教 学 情 况 的 基 础 上, 吸 收 原 有 HSK 的 优 点, 借 鉴 近 年 来 国

More information

一 从 分 封 制 到 郡 县 制 一 从 打 虎 亭 汉 墓 说 起

一 从 分 封 制 到 郡 县 制 一 从 打 虎 亭 汉 墓 说 起 县 乡 两 级 的 政 治 体 制 改 革 如 何 建 立 民 主 的 合 作 新 体 制 县 乡 人 大 运 行 机 制 研 究 课 题 组 引 言 一 从 分 封 制 到 郡 县 制 一 从 打 虎 亭 汉 墓 说 起 二 密 县 在 周 初 是 两 个 小 国 密 国 和 郐 国 三 密 县 的 第 一 任 县 令 卓 茂 四 明 清 时 代 的 密 县 二 从 集 中 的 动 员 体

More information

ETF、分级基金规模、份额变化统计20130816

ETF、分级基金规模、份额变化统计20130816 ETF 分 级 基 金 规 模 份 额 变 化 统 计 截 至 上 周 末, 全 市 场 股 票 型 ETF 规 模 约 1451 亿, 份 额 约 1215 亿,ETF 总 份 额 及 规 模 的 周 变 动 值 分 别 为 -23-44 亿, 份 额 与 规 模 均 下 降 ; 分 级 基 金 规 模 约 438 亿, 份 额 572 亿, 总 份 额 及 规 模 的 周 变 动 值 分 别 为

More information

上海证券交易所会议纪要

上海证券交易所会议纪要 附 件 上 海 市 场 首 次 公 开 发 行 股 票 网 下 发 行 实 施 细 则 第 一 章 总 则 第 一 条 为 规 范 拟 在 上 海 证 券 交 易 所 ( 以 下 简 称 上 交 所 ) 上 市 的 公 司 首 次 公 开 发 行 股 票 网 下 发 行 业 务, 提 高 首 次 公 开 发 行 股 票 网 下 申 购 及 资 金 结 算 效 率, 根 据 证 券 发 行 与 承 销

More information

一 开 放 性 的 政 策 与 法 规 二 两 岸 共 同 的 文 化 传 承 三 两 岸 高 校 各 自 具 有 专 业 优 势 远 见 杂 志 年 月 日

一 开 放 性 的 政 策 与 法 规 二 两 岸 共 同 的 文 化 传 承 三 两 岸 高 校 各 自 具 有 专 业 优 势 远 见 杂 志 年 月 日 河 北 师 范 大 学 学 报 新 时 期 海 峡 两 岸 高 校 开 放 招 生 问 题 探 讨 郑 若 玲 王 晓 勇 海 峡 两 岸 高 校 开 放 招 生 是 新 时 期 推 进 海 峡 两 岸 高 等 教 育 交 流 与 合 作 的 重 要 尝 试 系 统 梳 理 改 革 开 放 以 来 两 岸 招 生 政 策 与 就 学 人 数 发 展 变 化 的 历 史 进 程 可 发 现 促 进 两

More information

金 不 少 于 800 万 元, 净 资 产 不 少 于 960 万 元 ; (3) 近 五 年 独 立 承 担 过 单 项 合 同 额 不 少 于 1000 万 元 的 智 能 化 工 程 ( 设 计 或 施 工 或 设 计 施 工 一 体 ) 不 少 于 2 项 ; (4) 近 三 年 每 年

金 不 少 于 800 万 元, 净 资 产 不 少 于 960 万 元 ; (3) 近 五 年 独 立 承 担 过 单 项 合 同 额 不 少 于 1000 万 元 的 智 能 化 工 程 ( 设 计 或 施 工 或 设 计 施 工 一 体 ) 不 少 于 2 项 ; (4) 近 三 年 每 年 工 程 设 计 与 施 工 资 质 标 准 一 总 则 建 筑 智 能 化 工 程 设 计 与 施 工 资 质 标 准 ( 一 ) 为 了 加 强 对 从 事 建 筑 智 能 化 工 程 设 计 与 施 工 企 业 的 管 理, 维 护 建 筑 市 场 秩 序, 保 证 工 程 质 量 和 安 全, 促 进 行 业 健 康 发 展, 结 合 建 筑 智 能 化 工 程 的 特 点, 制 定 本 标

More information

全国建筑市场注册执业人员不良行为记录认定标准(试行).doc

全国建筑市场注册执业人员不良行为记录认定标准(试行).doc - 1 - - 2 - 附 件 全 国 建 筑 市 场 注 册 执 业 人 员 不 良 记 录 认 定 标 准 ( 试 行 ) 说 明 为 了 完 善 建 筑 市 场 注 册 执 业 人 员 诚 信 体 系 建 设, 规 范 执 业 和 市 场 秩 序, 依 据 相 关 法 律 法 规 和 部 门 规 章, 根 据 各 行 业 特 点, 我 部 制 订 了 全 国 建 筑 市 场 注 册 执 业 人

More information

新, 各 地 各 部 门 ( 单 位 ) 各 文 化 事 业 单 位 要 高 度 重 视, 切 实 加 强 领 导, 精 心 组 织 实 施 要 根 据 事 业 单 位 岗 位 设 置 管 理 的 规 定 和 要 求, 在 深 入 调 查 研 究 广 泛 听 取 意 见 的 基 础 上, 研 究 提

新, 各 地 各 部 门 ( 单 位 ) 各 文 化 事 业 单 位 要 高 度 重 视, 切 实 加 强 领 导, 精 心 组 织 实 施 要 根 据 事 业 单 位 岗 位 设 置 管 理 的 规 定 和 要 求, 在 深 入 调 查 研 究 广 泛 听 取 意 见 的 基 础 上, 研 究 提 广 西 壮 族 自 治 区 人 事 厅 广 西 壮 族 自 治 区 文 化 厅 文 件 桂 人 发 2009 42 号 关 于 印 发 广 西 壮 族 自 治 区 文 化 事 业 单 位 岗 位 设 置 结 构 比 例 指 导 标 准 的 通 知 各 市 人 事 局 文 化 局, 区 直 各 部 门 ( 单 位 ): 根 据 人 事 部 印 发 的 事 业 单 位 岗 位 设 置 管 理 试 行 办

More information

<433A5C55736572735C6B73625C4465736B746F705CB9FABCCAD6D0D2BDD2A9D7A8D2B5B8DFBCB6BCBCCAF5D6B0B3C6C6C0C9F3C9EAC7EBD6B8C4CFA3A832303136CDA8D3C3B0E6A3A92E646F63>

<433A5C55736572735C6B73625C4465736B746F705CB9FABCCAD6D0D2BDD2A9D7A8D2B5B8DFBCB6BCBCCAF5D6B0B3C6C6C0C9F3C9EAC7EBD6B8C4CFA3A832303136CDA8D3C3B0E6A3A92E646F63> 附 件 1 国 际 中 药 专 业 高 级 技 术 职 称 评 审 条 件 及 报 名 材 料 一 系 列 ( 一 ) 中 1 高 级 专 科 ( 副 ) 高 级 专 科 ( 副 ) 1 取 得 中 专 科 职 称 后, 独 立 从 事 中 临 床 实 践 5 年 以 上 2 取 得 中 博 士 学 位 后, 临 床 实 践 2 年 以 上 3 取 得 中 硕 士 学 位 后, 临 床 实 践 7

More information

<4D6963726F736F667420576F7264202D20B9D8D3DAB0BABBAAA3A8C9CFBAA3A3A9D7D4B6AFBBAFB9A4B3CCB9C9B7DDD3D0CFDEB9ABCBBE32303132C4EAC4EAB6C8B9C9B6ABB4F3BBE1B7A8C2C9D2E2BCFBCAE92E646F6378>

<4D6963726F736F667420576F7264202D20B9D8D3DAB0BABBAAA3A8C9CFBAA3A3A9D7D4B6AFBBAFB9A4B3CCB9C9B7DDD3D0CFDEB9ABCBBE32303132C4EAC4EAB6C8B9C9B6ABB4F3BBE1B7A8C2C9D2E2BCFBCAE92E646F6378> 上 海 德 载 中 怡 律 师 事 务 所 关 于 昂 华 ( 上 海 ) 自 动 化 工 程 股 份 有 限 公 司 二 〇 一 二 年 年 度 股 东 大 会 法 律 意 见 书 上 海 德 载 中 怡 律 师 事 务 所 上 海 市 银 城 中 路 168 号 上 海 银 行 大 厦 1705 室 (200120) 电 话 :8621-5012 2258 传 真 :8621-5012 2257

More information

( 二 ) 现 行 统 一 高 考 制 度 不 利 于 培 养 人 的 创 新 精 神,,,,,,,,,,,,, [ ],,,,,,,,,,, :, ;,,,,,,? ( 三 ) 现 行 统 一 高 考 制 度 不 利 于 全 体 学 生 都 获 得 全 面 发 展,, [ ],,,,,,,,,,,

( 二 ) 现 行 统 一 高 考 制 度 不 利 于 培 养 人 的 创 新 精 神,,,,,,,,,,,,, [ ],,,,,,,,,,, :, ;,,,,,,? ( 三 ) 现 行 统 一 高 考 制 度 不 利 于 全 体 学 生 都 获 得 全 面 发 展,, [ ],,,,,,,,,,, ( ) ( )... 李 雪 岩, 龙 耀 (. 广 西 民 族 大 学 商 学 院, 广 西 南 宁 ;. 中 山 大 学 教 育 学 院, 广 东 广 州 ) : 高 等 教 育 是 专 业 教 育 高 考 是 为 高 等 教 育 服 务 的, 是 为 高 等 专 业 教 育 选 拔 有 专 业 培 养 潜 质 的 人 才 现 行 高 考 制 度 忽 略 专 业 潜 质 的 因 素, 过 份 强

More information

正 规 培 训 达 规 定 标 准 学 时 数, 并 取 得 结 业 证 书 二 级 可 编 程 师 ( 具 备 以 下 条 件 之 一 者 ) (1) 连 续 从 事 本 职 业 工 作 13 年 以 上 (2) 取 得 本 职 业 三 级 职 业 资 格 证 书 后, 连 续 从 事 本 职 业

正 规 培 训 达 规 定 标 准 学 时 数, 并 取 得 结 业 证 书 二 级 可 编 程 师 ( 具 备 以 下 条 件 之 一 者 ) (1) 连 续 从 事 本 职 业 工 作 13 年 以 上 (2) 取 得 本 职 业 三 级 职 业 资 格 证 书 后, 连 续 从 事 本 职 业 1. 职 业 概 况 1.1 职 业 名 称 可 编 程 师 1.2 职 业 定 义 可 编 程 师 国 家 职 业 标 准 从 事 可 编 程 序 控 制 器 (PLC) 选 型 编 程, 并 对 应 用 进 行 集 成 和 运 行 管 理 的 人 员 1.3 职 业 等 级 本 职 业 共 设 四 个 等 级, 分 别 为 : 四 级 可 编 程 师 ( 国 家 职 业 资 格 四 级 ) 三

More information

张 荣 芳 中 山 大 学 历 史 系 广 东 广 州 张 荣 芳 男 广 东 廉 江 人 中 山 大 学 历 史 系 教 授 博 士 生 导 师 我 们 要 打 破 以 前 学 术 界 上 的 一 切 偶 像 以 前 学 术 界 的 一 切 成 见 屏 除 我 们 要 实 地 搜 罗 材 料 到 民 众 中 寻 方 言 到 古 文 化 的 遗 址 去 发 掘 到 各 种 的 人 间 社 会 去

More information

2014年中央财经大学研究生招生录取工作简报

2014年中央财经大学研究生招生录取工作简报 2015 年 中 央 财 经 大 学 研 究 生 招 生 录 取 工 作 简 报 一 硕 士 研 究 生 招 生 录 取 情 况 2015 年 共 有 8705 人 报 考 我 校 硕 士 研 究 生, 其 中 学 术 型 研 究 生 报 考 3657 人, 专 业 硕 士 研 究 生 报 考 5048 人 ; 总 报 考 人 数 较 2014 年 增 长 1.4%, 学 术 型 报 考 人 数 较

More information

3 月 30 日 在 中 国 证 券 报 上 海 证 券 报 证 券 时 报 证 券 日 报 和 上 海 证 券 交 易 所 网 站 上 发 出 召 开 本 次 股 东 大 会 公 告, 该 公 告 中 载 明 了 召 开 股 东 大 会 的 日 期 网 络 投 票 的 方 式 时 间 以 及 审

3 月 30 日 在 中 国 证 券 报 上 海 证 券 报 证 券 时 报 证 券 日 报 和 上 海 证 券 交 易 所 网 站 上 发 出 召 开 本 次 股 东 大 会 公 告, 该 公 告 中 载 明 了 召 开 股 东 大 会 的 日 期 网 络 投 票 的 方 式 时 间 以 及 审 北 京 市 君 致 律 师 事 务 所 关 于 浪 潮 软 件 股 份 有 限 公 司 2015 年 度 股 东 大 会 的 法 律 意 见 书 致 : 浪 潮 软 件 股 份 有 限 公 司 北 京 市 君 致 律 师 事 务 所 ( 以 下 简 称 本 所 ) 受 浪 潮 软 件 股 份 有 限 公 司 ( 以 下 简 称 公 司 ) 的 委 托, 指 派 律 师 出 席 2016 年 4 月

More information

关于修订《沪市股票上网发行资金申购

关于修订《沪市股票上网发行资金申购 关 于 修 订 沪 市 股 票 上 网 发 行 资 金 申 购 实 施 办 法 的 通 知 各 有 关 单 位 : 沪 市 股 票 上 网 发 行 资 金 申 购 实 施 办 法 ( 修 订 稿 ) ( 见 附 件 ) 已 经 中 国 证 券 监 督 管 理 委 员 会 批 准, 现 将 修 订 所 涉 主 要 内 容 公 布 如 下 一 第 二 条 ( 二 ) 申 购 单 位 及 上 限 修 改

More information

珠江钢琴股东大会

珠江钢琴股东大会 证 券 代 码 :002678 证 券 简 称 : 珠 江 钢 琴 公 告 编 号 :2015-038 广 州 珠 江 钢 琴 集 团 股 份 有 限 公 司 2015 年 年 度 股 东 大 会 决 议 公 告 本 公 司 及 董 事 会 全 体 成 员 保 证 信 息 披 露 的 内 容 真 实 准 确 完 整, 没 有 虚 假 记 载 误 导 性 陈 述 或 重 大 遗 漏 特 别 提 示 :

More information

2015-2016 学 年 第 二 学 期 集 中 考 试 安 排 (18 周 ) 考 试 日 期 :6 月 27 日 星 期 一 8:10-9:50 第 二 公 共 教 学 楼 A 区 A303 10811046 高 等 数 学 ( 理 二 2) 复 材 1501-2 材 料 科 学 与 工 程

2015-2016 学 年 第 二 学 期 集 中 考 试 安 排 (18 周 ) 考 试 日 期 :6 月 27 日 星 期 一 8:10-9:50 第 二 公 共 教 学 楼 A 区 A303 10811046 高 等 数 学 ( 理 二 2) 复 材 1501-2 材 料 科 学 与 工 程 考 试 时 间 2015-2016 学 年 第 二 学 期 集 中 考 试 安 排 (18 周 ) 考 试 日 期 :6 月 27 日 星 期 一 考 场 所 在 教 学 楼 ( 教 学 区 ) 考 试 教 室 课 程 号 课 程 名 考 生 所 在 专 业 ( 班 级 ) 考 生 所 属 学 院 8:10-9:50 第 二 公 共 教 学 楼 A 区 A101 10811026 高 等 数 学 (

More information

抗 日 战 争 研 究! 年 第 期 # # # # #!!!!!!!! #!!

抗 日 战 争 研 究! 年 第 期 # # # # #!!!!!!!! #!! 洪 小 夏 中 美 合 作 所 是 抗 战 时 期 中 美 两 国 在 反 法 西 斯 统 一 战 线 背 景 下 建 立 的 一 个 抗 日 军 事 合 作 机 构 但 过 去 由 文 学 影 视 作 品 给 人 造 成 的 印 象 似 乎 是 一 个 美 蒋 反 动 派 勾 结 的 集 中 营 中 共 十 一 届 三 中 全 会 以 后 逐 渐 有 人 为 其 正 名 但 长 期 宣 传 形 成

More information

工 程 勘 察 资 质 标 准 根 据 建 设 工 程 勘 察 设 计 管 理 条 例 和 建 设 工 程 勘 察 设 计 资 质 管 理 规 定, 制 定 本 标 准 一 总 则 ( 一 ) 本 标 准 包 括 工 程 勘 察 相 应 专 业 类 型 主 要 专 业 技 术 人 员 配 备 技 术

工 程 勘 察 资 质 标 准 根 据 建 设 工 程 勘 察 设 计 管 理 条 例 和 建 设 工 程 勘 察 设 计 资 质 管 理 规 定, 制 定 本 标 准 一 总 则 ( 一 ) 本 标 准 包 括 工 程 勘 察 相 应 专 业 类 型 主 要 专 业 技 术 人 员 配 备 技 术 住 房 和 城 乡 建 设 部 关 于 印 发 工 程 勘 察 资 质 标 准 的 通 知 建 市 [2013]9 号 各 省 自 治 区 住 房 和 城 乡 建 设 厅, 北 京 市 规 划 委, 天 津 上 海 市 建 设 交 通 委, 重 庆 市 城 乡 建 设 委, 新 疆 生 产 建 设 兵 团 建 设 局, 总 后 基 建 营 房 部 工 程 局, 国 务 院 有 关 部 门 建 设 司,

More information

<4D6963726F736F667420576F7264202D20B3D6B2D6CFDEB6EEB1EDB8F1D7EED6D52E646F63>

<4D6963726F736F667420576F7264202D20B3D6B2D6CFDEB6EEB1EDB8F1D7EED6D52E646F63> 国 内 各 期 货 交 易 所 关 于 合 约 限 仓 方 面 的 规 定 上 海 期 货 交 易 所 经 纪 会 员 非 经 纪 会 员 和 客 户 的 期 货 合 约 在 不 同 时 期 限 仓 的 具 体 比 例 和 数 额 如 下 : ( 单 位 : ) 合 约 挂 牌 至 交 割 月 前 第 二 月 的 最 后 一 个 交 易 日 交 割 月 前 第 一 月 交 割 月 份 某 一 期 货

More information

Microsoft Word - 中节能_工业项目节能评估审查导则Draft.doc

Microsoft Word - 中节能_工业项目节能评估审查导则Draft.doc 0 -------------------------------------------------------------------------------- 2 ----------------------------------------------------------------------------- 2 节 评 ----------------------------------------------------------------------------------------------------

More information

Microsoft Word - 资料分析练习题09.doc

Microsoft Word - 资料分析练习题09.doc 行 测 高 分 冲 刺 练 习 题 资 料 分 析 ( 共 15 题, 参 考 时 限 10 分 钟 ) 材 料 题 - 1 2012 年 1 月 某 小 区 成 交 的 二 手 房 中, 面 积 为 60 平 方 米 左 右 的 住 宅 占 总 销 售 套 数 的 ( ) A.25% B.35% C.37.5% 长 沙 市 雨 花 区 侯 家 塘 佳 天 国 际 大 厦 北 栋 20 楼 第 1

More information

Template BR_Rec_2005.dot

Template BR_Rec_2005.dot ITU-R BT.1789 建 议 书 1 ITU-R BT.1789 建 议 书 在 分 组 视 频 传 输 中 利 用 传 输 误 码 信 息 重 建 接 收 视 频 的 方 法 (ITU-R 44/6 和 ITU-R 109/6 课 题 ) (2007 年 ) 范 围 本 建 议 书 对 业 务 提 供 商 重 建 接 收 视 频 的 方 法 做 了 详 细 介 绍, 以 便 利 用 传 输

More information

一、资质申请

一、资质申请 二 工 程 监 理 企 业 资 质 有 关 问 答 111 什 么 样 的 企 业 可 以 在 本 省 申 请 工 程 监 理 企 业 资 质? 答 : 在 鄂 取 得 法 人 营 业 执 照 或 合 伙 企 业 营 业 执 照 的 企 业, 都 可 依 法 向 工 商 注 册 所 在 省 或 市 建 设 行 政 主 管 部 门 行 政 审 批 部 门 申 请 工 程 监 理 企 业 资 质 取 得

More information

国家职业标准:网络课件设计师

国家职业标准:网络课件设计师 国 家 职 业 标 准 : 网 络 设 师 1. 职 业 概 况 1.1 职 业 名 称 网 络 设 师 1.2 职 业 定 义 运 用 学 习 理 论 和 教 学 设 原 理, 依 托 多 媒 体 与 网 络 技 术, 从 事 网 络 内 容 分 设 制 作 和 评 价 等 工 作 的 人 员 1.3 职 业 等 级 本 职 业 共 设 三 个 等 级, 分 别 为 : 四 级 网 络 设 师 (

More information

目 录 关 于 图 标... 3 登 陆 主 界 面... 3 工 单 管 理... 5 工 单 列 表... 5 搜 索 工 单... 5 工 单 详 情... 6 创 建 工 单... 9 设 备 管 理 巡 检 计 划 查 询 详 情 销 售 管

目 录 关 于 图 标... 3 登 陆 主 界 面... 3 工 单 管 理... 5 工 单 列 表... 5 搜 索 工 单... 5 工 单 详 情... 6 创 建 工 单... 9 设 备 管 理 巡 检 计 划 查 询 详 情 销 售 管 宝 汇 德 Turbocare 微 服 务 系 统 客 户 操 作 手 册 Version 2.0 北 京 宝 汇 德 技 术 服 务 器 有 限 公 司 技 术 研 发 部 目 录 关 于 图 标... 3 登 陆 主 界 面... 3 工 单 管 理... 5 工 单 列 表... 5 搜 索 工 单... 5 工 单 详 情... 6 创 建 工 单... 9 设 备 管 理... 10 巡

More information

证券代码:000066 证券简称:长城电脑 公告编号:2014-000

证券代码:000066         证券简称:长城电脑        公告编号:2014-000 证 券 代 码 :000066 证 券 简 称 : 长 城 电 脑 公 告 编 号 :2016-092 中 国 长 城 计 算 机 深 圳 股 份 有 限 公 司 2016 年 度 第 三 次 临 时 股 东 大 会 决 议 公 告 本 公 司 及 其 董 事 会 全 体 成 员 保 证 信 息 披 露 内 容 的 真 实 准 确 完 整, 没 有 虚 假 记 载 误 导 性 陈 述 或 重 大 遗

More information

伊 犁 师 范 学 院 611 语 言 学 概 论 全 套 考 研 资 料 <2016 年 最 新 考 研 资 料 > 2-2 语 言 学 纲 要 笔 记, 由 考 取 本 校 本 专 业 高 分 研 究 生 总 结 而 来, 重 点 突 出, 借 助 此 笔 记 可 以 大 大 提 高 复 习 效

伊 犁 师 范 学 院 611 语 言 学 概 论 全 套 考 研 资 料 <2016 年 最 新 考 研 资 料 > 2-2 语 言 学 纲 要 笔 记, 由 考 取 本 校 本 专 业 高 分 研 究 生 总 结 而 来, 重 点 突 出, 借 助 此 笔 记 可 以 大 大 提 高 复 习 效 伊 犁 师 范 学 院 611 语 言 学 概 论 全 套 考 研 资 料 ......2 伊 犁 师 范 学 院 802 文 学 概 论 全 套 考 研 资 料 ......2 伊 犁 师 范 学 院 702 普 通 物 理 全 套 考 研 资 料 ......3 伊 犁

More information

第 六 章 债 券 股 票 价 值 评 估 1 考 点 一 : 债 券 价 值 的 影 响 因 素 2

第 六 章 债 券 股 票 价 值 评 估 1 考 点 一 : 债 券 价 值 的 影 响 因 素 2 Professional Accounting Education Provided by Academy of Professional Accounting (APA) CPA 财 务 管 理 习 题 班 第 八 讲 债 券 股 票 价 值 评 估 IreneGao ACCAspace 中 国 ACCA 国 际 注 册 会 计 师 教 育 平 台 Copyright ACCAspace.com

More information

附件1:

附件1: 附 件 5 增 列 硕 士 专 业 学 位 授 权 点 申 请 表 硕 士 专 业 学 位 类 别 ( 工 程 领 域 ): 工 程 硕 士 ( 控 制 工 程 领 域 ) 申 报 单 位 名 称 : 上 海 工 程 技 术 大 学 一 申 请 增 列 硕 士 专 业 学 位 授 权 点 论 证 报 告 申 请 增 列 硕 士 专 业 学 位 授 权 点 论 证 报 告 一 专 业 人 才 需 求

More information

<4D F736F F D2033D4C2C6DAD4D3D6BEA3A8B6A8B8E5CEC4BCFEA3A92E646F63>

<4D F736F F D2033D4C2C6DAD4D3D6BEA3A8B6A8B8E5CEC4BCFEA3A92E646F63> 30 1 3 6 8 9 10 11 12 12 2016 9 13 十 一 流 通 领 域 商 品 质 量 监 督 管 理 办 法 出 台 15 十 二 315 晚 会 第 三 次 权 威 发 布 : 电 烤 箱 等 7 种 产 品 不 合 格 率 高 于 10% 15 十 三 16 十 四 16 十 五 16 十 六 工 业 和 信 息 化 部 关 于 公 布 2015 年 工 业 企 业 知

More information

中 中 中 中 部 中 岗 位 条 件 历 其 它 历 史 师 地 理 师 生 物 师 体 与 健 康 师 04 05 06 07 从 事 中 历 史 工 从 事 中 地 理 工 从 事 中 生 物 工 从 事 中 体 与 健 康 工 2. 课 程 与 论 ( 历 史 ); 2. 科 ( 历 史 )

中 中 中 中 部 中 岗 位 条 件 历 其 它 历 史 师 地 理 师 生 物 师 体 与 健 康 师 04 05 06 07 从 事 中 历 史 工 从 事 中 地 理 工 从 事 中 生 物 工 从 事 中 体 与 健 康 工 2. 课 程 与 论 ( 历 史 ); 2. 科 ( 历 史 ) 中 中 中 部 中 26 年 系 统 事 业 公 开 计 划 岗 位 条 件 历 其 它 数 师 英 语 师 物 理 师 02 0 从 事 中 数 工 从 事 中 英 语 工 从 事 中 物 理 工 2. 课 程 与 论 ( 数 ); 2. 科 ( 数 );. 数 ; 4. 基 础 数 ; 5. 计 算 数 ; 6. 概 率 论 与 数 理 统 计 ; 7. 应 用 数 ; 8. 数. 课 程 与

More information

收 入 支 出 项 目 2016 年 预 算 项 目 2016 年 预 算 预 算 01 表 单 位 : 万 元 ( 保 留 两 位 小 数 ) 一 公 共 财 政 预 算 拨 款 50.06 一 人 员 经 费 23.59 1 一 般 财 力 50.06 1 人 员 支 出 21.95 2 成 品

收 入 支 出 项 目 2016 年 预 算 项 目 2016 年 预 算 预 算 01 表 单 位 : 万 元 ( 保 留 两 位 小 数 ) 一 公 共 财 政 预 算 拨 款 50.06 一 人 员 经 费 23.59 1 一 般 财 力 50.06 1 人 员 支 出 21.95 2 成 品 100.12 2016 年 龙 岩 市 部 门 预 算 表 报 送 日 期 : 年 月 日 单 位 负 责 人 签 章 : 财 务 负 责 人 签 章 : 制 表 人 签 章 : 收 入 支 出 项 目 2016 年 预 算 项 目 2016 年 预 算 预 算 01 表 单 位 : 万 元 ( 保 留 两 位 小 数 ) 一 公 共 财 政 预 算 拨 款 50.06 一 人 员 经 费 23.59

More information

<4D6963726F736F667420576F7264202D20BFC9B1E0B3CCD0F2BFD8D6C6CFB5CDB3C9E8BCC6CAA6B9FABCD2D6B0D2B5B1EAD7BC2E646F63>

<4D6963726F736F667420576F7264202D20BFC9B1E0B3CCD0F2BFD8D6C6CFB5CDB3C9E8BCC6CAA6B9FABCD2D6B0D2B5B1EAD7BC2E646F63> 国 家 职 业 标 准 1 可 编 程 序 控 制 系 统 设 计 师 国 家 职 业 标 准 1. 职 业 概 况 1.1 职 业 名 称 可 编 程 序 控 制 系 统 设 计 师 1.2 职 业 定 义 从 事 可 编 程 序 控 制 器 (PLC) 选 型 编 程, 并 对 应 用 系 统 进 行 设 计 集 成 和 运 行 管 理 的 人 员 1.3 职 业 等 级 本 职 业 共 设 四

More information

合 并 计 算 配 售 对 象 持 有 多 个 证 券 账 户 的, 多 个 证 券 账 户 市 值 合 并 计 算 确 认 多 个 证 券 账 户 为 同 一 配 售 对 象 持 有 的 原 则 为 证 券 账 户 注 册 资 料 中 的 账 户 持 有 人 名 称 有 效 身 份 证 明 文 件

合 并 计 算 配 售 对 象 持 有 多 个 证 券 账 户 的, 多 个 证 券 账 户 市 值 合 并 计 算 确 认 多 个 证 券 账 户 为 同 一 配 售 对 象 持 有 的 原 则 为 证 券 账 户 注 册 资 料 中 的 账 户 持 有 人 名 称 有 效 身 份 证 明 文 件 深 圳 市 场 首 次 公 开 发 行 股 票 网 下 发 行 实 施 细 则 ( 征 求 意 见 稿 ) 第 一 章 总 则 第 一 条 为 规 范 深 圳 市 场 首 次 公 开 发 行 股 票 网 下 发 行 行 为, 根 据 证 券 发 行 与 承 销 管 理 办 法 及 相 关 规 定, 制 定 本 细 则 第 二 条 本 细 则 所 称 网 下 发 行 是 指 首 次 公 开 发 行 股

More information

上海证券交易所会议纪要

上海证券交易所会议纪要 附 件 上 海 市 场 首 次 公 开 发 行 股 票 网 上 发 行 实 施 细 则 第 一 章 总 则 第 一 条 为 规 范 上 海 市 场 首 次 公 开 发 行 股 票 网 上 发 行 行 为, 根 据 证 券 发 行 与 承 销 管 理 办 法 及 相 关 规 定, 制 定 本 细 则 第 二 条 通 过 上 海 证 券 交 易 所 ( 以 下 简 称 上 交 所 ) 交 易 系 统 并

More information

第二讲 数列

第二讲   数列 Togisu XueD Persolized Eduio Developme Ceer 高 考 中 不 等 式 问 题 的 解 决 方 法 通 润 达 久 王 力 前 言 : 近 年 来 不 等 式 问 题 正 越 来 越 多 的 出 现 在 调 研 题 和 高 考 试 题 中 而 且 大 多 出 现 在 江 苏 高 考 的 填 空 压 轴 题 中 是 高 考 考 察 的 重 点 和 难 点 由 于

More information

易 迪 拓 培 训 专 注 于 微 波 射 频 天 线 设 计 人 才 的 培 养 网 址 :http://www.edatop.com 如 何 学 习 天 线 设 计 天 线 设 计 理 论 晦 涩 高 深, 让 许 多 工 程 师 望 而 却 步, 然 而 实 际 工 程 或 实 际 工 作 中 在 设 计 天 线 时 却 很 少 用 到 这 些 高 深 晦 涩 的 理 论 实 际 上, 我 们

More information

2. 本 次 修 改 后, 投 资 者 申 购 新 股 的 持 有 市 值 要 求 市 值 计 算 规 则 及 证 券 账 户 使 用 的 相 关 规 定 是 否 发 生 了 变 化? 答 : 未 发 生 变 化 投 资 者 申 购 新 股 的 持 有 市 值 是 指, 以 投 资 者 为 单 位

2. 本 次 修 改 后, 投 资 者 申 购 新 股 的 持 有 市 值 要 求 市 值 计 算 规 则 及 证 券 账 户 使 用 的 相 关 规 定 是 否 发 生 了 变 化? 答 : 未 发 生 变 化 投 资 者 申 购 新 股 的 持 有 市 值 是 指, 以 投 资 者 为 单 位 新 股 网 上 网 下 发 行 实 施 细 则 问 答 上 交 所 2016-01-05 一 网 上 发 行 业 务 问 答 1. 本 次 修 改 的 主 要 内 容 是 什 么? 答 : 本 次 修 改 的 主 要 内 容 包 括 : 一 是 取 消 了 投 资 者 在 申 购 委 托 时 应 全 额 缴 纳 申 购 资 金 的 规 定, 明 确 了 投 资 者 应 根 据 最 终 确 定 的 发

More information

GONGZUO JUJIAO 宝 山 区 领 军 人 才 名 单 宝 山 区 第 七 批 拔 尖 人 才 名 单 2

GONGZUO JUJIAO 宝 山 区 领 军 人 才 名 单 宝 山 区 第 七 批 拔 尖 人 才 名 单 2 GONGZUO JUJIAO 宝 山 区 命 名 表 彰 领 军 人 才 第 七 批 拔 尖 人 才 和 青 年 尖 子 1 GONGZUO JUJIAO 宝 山 区 领 军 人 才 名 单 宝 山 区 第 七 批 拔 尖 人 才 名 单 2 GONGZUO JUJIAO 旻 3 GONGZUO JUJIAO 宝 山 区 第 七 批 青 年 尖 子 名 单 4 GONGZUO JUJIAO 宝 山

More information

附 件 : 上 海 市 建 筑 施 工 企 业 施 工 现 场 项 目 管 理 机 构 关 键 岗 位 人 员 配 备 指 南 二 一 四 年 九 月 十 一 日 2

附 件 : 上 海 市 建 筑 施 工 企 业 施 工 现 场 项 目 管 理 机 构 关 键 岗 位 人 员 配 备 指 南 二 一 四 年 九 月 十 一 日 2 公 开 上 海 市 城 乡 建 设 和 管 理 委 员 会 文 件 沪 建 管 2014 758 号 上 海 市 城 乡 建 设 和 管 理 委 员 会 关 于 印 发 上 海 市 建 筑 施 工 企 业 施 工 现 场 项 目 管 理 机 构 关 键 岗 位 人 员 配 备 指 南 的 通 知 各 区 县 建 设 和 交 通 委 员 会 : 为 进 一 步 加 强 对 建 设 工 程 施 工 现

More information

2 2015 年 8 月 11 日, 公 司 召 开 2015 年 第 五 次 临 时 股 东 大 会, 审 议 通 过 了 关 于 公 司 <2015 年 股 票 期 权 激 励 计 划 ( 草 案 )> 及 其 摘 要 的 议 案 关 于 提 请 股 东 大 会 授 权 董 事 会 办 理 公

2 2015 年 8 月 11 日, 公 司 召 开 2015 年 第 五 次 临 时 股 东 大 会, 审 议 通 过 了 关 于 公 司 <2015 年 股 票 期 权 激 励 计 划 ( 草 案 )> 及 其 摘 要 的 议 案 关 于 提 请 股 东 大 会 授 权 董 事 会 办 理 公 证 券 代 码 :300017 证 券 简 称 : 网 宿 科 技 公 告 编 号 :2016-053 网 宿 科 技 股 份 有 限 公 司 关 于 调 整 公 司 2015 年 股 票 期 权 激 励 计 划 激 励 对 象 股 票 期 权 数 量 和 行 权 价 格 的 公 告 本 公 司 及 董 事 会 全 体 成 员 保 证 公 告 内 容 真 实 准 确 和 完 整, 没 有 虚 假 记

More information

导 数 和 微 分 的 概 念 导 数 的 几 何 意 义 和 物 理 意 义 函 数 的 可 导 性 与 连 续 性 之 间 的 关 系 平 面 曲 线 的 切 线 和 法 线 导 数 和 微 分 的 四 则 运 算 基 本 初 等 函 数 的 导 数 复 合 函 数 反 函 数 隐 函 数 以

导 数 和 微 分 的 概 念 导 数 的 几 何 意 义 和 物 理 意 义 函 数 的 可 导 性 与 连 续 性 之 间 的 关 系 平 面 曲 线 的 切 线 和 法 线 导 数 和 微 分 的 四 则 运 算 基 本 初 等 函 数 的 导 数 复 合 函 数 反 函 数 隐 函 数 以 2015 年 考 研 数 学 二 考 试 大 纲 考 试 科 目 : 高 等 数 学 线 性 代 数 考 试 形 式 和 试 卷 结 构 一 试 卷 满 分 及 考 试 时 间 试 卷 满 分 为 150 分, 考 试 时 间 为 180 分 钟. 二 答 题 方 式 答 题 方 式 为 闭 卷 笔 试. 三 试 卷 内 容 结 构 高 等 教 学 约 78% 线 性 代 数 约 22% 四 试 卷

More information

反 学 校 文 化 与 阶 级 再 生 产 小 子 与 子 弟 之 比 较 周 潇 作 者 通 过 对 北 京 某 打 工 子 弟 学 校 的 田 野 调 查 后 发 现 在 农 民 工 子 弟 中 间 盛 行 着 类 似 学 做 工 中 所 描 述 的 工 人 阶 级 小 子 的 反 学 校 文 化 但 是 由 于 制 度 安 排 与 社 会 条 件 的 差 异 子 弟 与 小 子 的 反 学 校

More information

现 场 会 议 时 间 为 :2016 年 5 月 19 日 网 络 投 票 时 间 为 :2016 年 5 月 18 日 -2016 年 5 月 19 日 其 中 通 过 深 圳 证 券 交 易 所 交 易 系 统 进 行 网 络 投 票 的 时 间 为 2016 年 5 月 19 日 9:30-

现 场 会 议 时 间 为 :2016 年 5 月 19 日 网 络 投 票 时 间 为 :2016 年 5 月 18 日 -2016 年 5 月 19 日 其 中 通 过 深 圳 证 券 交 易 所 交 易 系 统 进 行 网 络 投 票 的 时 间 为 2016 年 5 月 19 日 9:30- 证 券 代 码 :300439 证 券 简 称 : 美 康 生 物 公 告 编 号 :2016-046 宁 波 美 康 生 物 科 技 股 份 有 限 公 司 2015 年 度 股 东 大 会 决 议 公 告 公 司 及 董 事 会 全 体 成 员 保 证 信 息 披 露 的 内 容 真 实 准 确 完 整, 没 有 虚 假 记 载 误 导 性 陈 述 或 重 大 遗 漏 特 别 提 示 : 1 2016

More information

2016年德州市机构编制委员会

2016年德州市机构编制委员会 2016 年 德 州 市 机 构 编 制 委 员 会 办 公 室 部 门 预 算 -1- 第 一 部 分 部 门 概 况 目 录 一 主 要 职 能 二 部 门 预 算 单 位 构 成 第 二 部 分 2016 年 部 门 预 算 表 表 1 2016 年 收 支 预 算 总 表 表 2 2016 2016 年 收 入 预 算 表 ( 科 目 ) 表 3 2016 年 收 入 预 算 表 ( 单 位

More information

朱 丽 明 柯 美 云 周 丽 雅 袁 耀 宗 罗 金 燕 候 晓 华 陈 旻 湖 滥 用 安 非 他 命 会 增 加 得 心 脏 病 的 风 险 据 美 国 科 技 新 闻 网 报 道 根 据 纽 约 路 透 社 报 道 一 份 新 的 研 究 显 示 青 年 及 成 年 人 若 滥 用 安 非 他 命 会 增 加 得 心 脏 病 的 风 险 美 国 德 州 大 学 西 南 医 学 中 心

More information

证监会行政审批事项目录

证监会行政审批事项目录 事 项 目 录 项 目 对 象 中 华 人 民 共 和 国 证 券 法 第 十 一 条 : 保 荐 人 的 资 格 及 其 管 理 办 法 由 国 务 院 证 券 监 督 管 理 机 构 规 定 44001 保 荐 机 构 注 册 国 务 院 对 确 需 要 保 留 的 项 目 设 定 的 决 定 ( 国 务 院 令 第 412 号 ) 附 件 第 383 项 保 荐 机 构 和 保 荐 代 表 人

More information

西 南 大 学 硕 士 学 位 论 文 网 络 购 物 动 机 问 卷 的 编 制 及 实 测 姓 名 : 曹 建 英 申 请 学 位 级 别 : 硕 士 专 业 : 基 础 心 理 学 指 导 教 师 : 张 进 辅 20090401 网 络 购 物 动 机 问 卷 的

More information

3 复 试 如 何 准 备 4 复 试 成 绩 计 算 5 复 试 比 例 6 复 试 类 型 7 怎 么 样 面 对 各 种 复 试 04 05

3 复 试 如 何 准 备 4 复 试 成 绩 计 算 5 复 试 比 例 6 复 试 类 型 7 怎 么 样 面 对 各 种 复 试 04 05 1 复 试 流 程 2 复 试 考 查 形 式 02 03 3 复 试 如 何 准 备 4 复 试 成 绩 计 算 5 复 试 比 例 6 复 试 类 型 7 怎 么 样 面 对 各 种 复 试 04 05 2 怎 样 给 导 师 留 下 良 好 的 第 一 印 象 把 握 进 门 时 机 1 面 试 中 穿 着 的 瞒 天 过 海 3 无 声 胜 有 声 的 肢 体 语 言 育 4 眼 睛 是 心

More information

2016 年 荔 湾 区 财 政 核 定 支 出 汇 总 表 表 二 单 位 名 称 : 广 州 文 化 公 园 基 本 支 出 项 目 支 出 科 目 编 码 预 算 科 目 名 称 一 般 公 共 预 算 5,800.54 4,695.54 3,092.96 1,481.18 121.40 1,

2016 年 荔 湾 区 财 政 核 定 支 出 汇 总 表 表 二 单 位 名 称 : 广 州 文 化 公 园 基 本 支 出 项 目 支 出 科 目 编 码 预 算 科 目 名 称 一 般 公 共 预 算 5,800.54 4,695.54 3,092.96 1,481.18 121.40 1, 表 一 2016 年 荔 湾 区 收 支 预 算 总 表 单 位 名 称 : 广 州 文 化 公 园 预 算 收 入 预 算 支 出 项 目 预 算 数 项 目 预 算 数 一 一 般 公 共 预 算 拨 款 5,800.54 一 基 本 支 出 4,695.54 工 资 福 利 支 出 3,092.96 二 政 府 性 基 金 预 算 拨 款 商 品 和 服 务 支 出 121.40 三 国 有

More information

01

01 Zebra Technologies 利 用 移 动 技 术 提 高 货 运 公 司 工 作 效 率 和 成 本 效 益 货 运 物 流 自 动 化 案 例 在 当 前 严 峻 的 经 济 形 势 下, 公 路 货 运 公 司 开 始 寻 求 提 高 效 率 降 低 运 营 开 支 和 保 留 客 户 的 有 效 途 径 然 而, 他 们 面 临 的 冷 酷 现 实 是 燃 料 价 格 难 以 预

More information

抗 日 战 争 研 究 年 第 期

抗 日 战 争 研 究 年 第 期 田 子 渝 武 汉 抗 战 时 期 是 国 共 第 二 次 合 作 的 最 好 时 期 在 国 共 合 作 的 基 础 上 出 现 了 抗 日 救 亡 共 御 外 侮 的 局 面 这 个 大 好 局 面 的 出 现 与 中 共 长 江 局 的 丰 功 伟 绩 是 分 不 开 的 但 长 期 以 来 由 于 有 一 个 王 明 的 右 倾 错 误 直 接 影 响 了 对 它 的 全 面 科 学 准 确

More information

书面申请

书面申请 2016 年 度 滨 州 市 地 方 史 志 办 公 室 部 门 预 算 目 录 第 一 部 分 部 门 概 况 一 主 要 职 能 二 部 门 预 算 单 位 构 成 第 二 部 分 2016 年 部 门 预 算 表 一 2016 年 收 支 预 算 总 表 ( 功 能 科 目 分 类 ) 二 2016 年 收 支 预 算 总 表 ( 经 济 科 目 分 类 ) 三 2016 年 收 入 预 算

More information

上证指数

上证指数 上 证 与 修 正 方 法 一 ( 一 ) 计 算 公 式 1. 上 证 指 数 系 列 均 采 用 派 许 加 权 综 合 价 格 指 数 公 式 计 算 2. 上 证 180 指 数 上 证 50 指 数 等 以 成 份 股 的 调 整 股 本 数 为 权 数 进 行 加 权 计 算, 计 算 公 式 为 : 报 告 期 指 数 =( 报 告 期 样 本 股 的 调 整 市 值 / 基 期 )

More information

办 法 >( 修 订 稿 ) 的 议 案 关 于 提 请 任 子 行 网 络 技 术 股 份 有 限 公 司 股 东 大 会 授 权 董 事 会 办 理 公 司 限 制 性 股 票 激 励 计 划 相 关 事 宜 的 议 案 确 定 公 司 的 限 制 性 股 票 激 励 计 划 相 关 事 项 如

办 法 >( 修 订 稿 ) 的 议 案 关 于 提 请 任 子 行 网 络 技 术 股 份 有 限 公 司 股 东 大 会 授 权 董 事 会 办 理 公 司 限 制 性 股 票 激 励 计 划 相 关 事 宜 的 议 案 确 定 公 司 的 限 制 性 股 票 激 励 计 划 相 关 事 项 如 任 子 行 网 络 技 术 股 份 有 限 公 司 关 于 部 分 限 制 性 股 票 回 购 注 销 完 成 的 公 告 本 公 司 及 董 事 会 全 体 成 员 保 证 信 息 披 露 的 内 容 真 实 准 确 完 整, 没 有 虚 假 记 载 误 导 性 陈 述 或 重 大 遗 漏 特 别 提 示 : 1 任 子 行 网 络 技 术 股 份 有 限 公 司 ( 以 下 简 称 公 司 或

More information

·岗位设置管理流程

·岗位设置管理流程 实 施 岗 位 设 置 岗 位 设 置 编 码 受 控 状 态 执 行 心 门 行 政 人 力 资 控 制 门 总 经 办 源 各 职 能 门 行 政 人 力 资 源 总 经 办 总 经 理 根 据 公 司 发 展 战 略 进 行 职 能 分 解 和 机 构 设 置 工 作 分 析 根 据 人 力 资 源 规 划 确 定 编 制 意 见 职 责 划 分 与 岗 位 设 置 制 作 职 务 说 明 书

More information

<4D6963726F736F667420576F7264202D20C6F3D2B5C5E0D1B5CAA6B9FABCD2D6B0D2B5B1EAD7BC2E646F63>

<4D6963726F736F667420576F7264202D20C6F3D2B5C5E0D1B5CAA6B9FABCD2D6B0D2B5B1EAD7BC2E646F63> 企 业 培 训 师 国 家 职 业 标 准 1. 职 业 概 况 1.1 职 业 名 称 企 业 培 训 师 1.2 职 业 定 义 指 能 够 结 合 经 济 技 术 发 展 和 就 业 要 求, 研 究 开 发 针 对 新 职 业 ( 工 种 ) 的 培 训 项 目, 以 及 根 据 企 业 生 产 经 营 需 要, 掌 握 并 运 用 现 代 培 训 理 念 和 手 段, 策 划 开 发 培

More information

<4D6963726F736F667420576F7264202D2032303133C4EAB9A4B3CCCBB6CABFCAFDD1A7D7A8D2B5BFCEBFBCCAD4B4F3B8D9D3EBD2AAC7F3>

<4D6963726F736F667420576F7264202D2032303133C4EAB9A4B3CCCBB6CABFCAFDD1A7D7A8D2B5BFCEBFBCCAD4B4F3B8D9D3EBD2AAC7F3> 工 程 硕 士 数 学 考 试 大 纲 与 要 求 ( 包 括 高 等 数 学 和 线 性 代 数 ) 一 函 数 极 限 与 连 续 第 一 部 分 : 高 等 数 学 考 试 内 容 函 数 的 概 念 及 表 示 法 函 数 的 有 界 性 单 调 性 周 期 性 和 奇 偶 性 复 合 函 数 反 函 数 分 段 函 数 和 隐 函 数 基 本 初 等 函 数 的 性 质 及 其 图 形 初

More information

行政管理学考试题库

行政管理学考试题库 中 央 民 族 大 学 硕 士 研 究 生 入 学 考 试 初 试 科 目 考 试 大 纲 科 目 代 码 :815 科 目 名 称 : 行 政 管 理 学 I. 考 查 目 标 行 政 管 理 学 考 试 涵 盖 公 共 管 理 一 般 原 理 公 共 政 策 分 析 公 共 组 织 管 理 领 导 科 学 公 共 部 门 人 力 资 源 管 理 政 府 改 革 与 治 理 等 方 面 的 相 关

More information

定 位 和 描 述 : 程 序 设 计 / 办 公 软 件 高 级 应 用 级 考 核 内 容 包 括 计 算 机 语 言 与 基 础 程 序 设 计 能 力, 要 求 参 试 者 掌 握 一 门 计 算 机 语 言, 可 选 类 别 有 高 级 语 言 程 序 设 计 类 数 据 库 编 程 类

定 位 和 描 述 : 程 序 设 计 / 办 公 软 件 高 级 应 用 级 考 核 内 容 包 括 计 算 机 语 言 与 基 础 程 序 设 计 能 力, 要 求 参 试 者 掌 握 一 门 计 算 机 语 言, 可 选 类 别 有 高 级 语 言 程 序 设 计 类 数 据 库 编 程 类 全 国 计 算 机 等 级 考 试 调 整 方 案 2011 年 7 月, 教 育 部 考 试 中 心 组 织 召 开 了 第 五 届 全 国 计 算 机 等 级 考 试 (NCRE) 考 委 会 会 议, 会 议 完 成 NCRE 考 委 会 换 届 选 举, 并 确 定 了 下 一 步 改 革 和 发 展 的 目 标 在 新 的 历 史 时 期,NCRE 将 以 保 持 稳 定 为 前 提 以

More information

易 迪 拓 培 训 专 注 于 微 波 射 频 天 线 设 计 人 才 的 培 养 网 址 :http://www.edatop.com 射 频 和 天 线 设 计 培 训 课 程 推 荐 易 迪 拓 培 训 (www.edatop.com) 由 数 名 来 自 于 研 发 第 一 线 的 资 深 工 程 师 发 起 成 立, 致 力 并 专 注 于 微 波 射 频 天 线 设 计 研 发 人 才

More information

作 为 生 产 者 式 文 本 的 女 性 主 义 通 俗 小 说 梅 丽 本 文 借 鉴 文 化 研 究 理 论 家 约 翰 费 斯 克 的 生 产 者 式 文 本 这 一 概 念 考 察 女 性 主 义 通 俗 小 说 的 文 本 特 征 写 作 策 略 和 微 观 政 治 意 义 女 性 主 义 通 俗 小 说 通 过 对 传 统 通 俗 小 说 的 挪 用 和 戏 仿 传 播 女 性 主 义

More information

002 电 子 科 学 与 工 程 学 院 拟 招 生 150 人 联 系 人 : 周 老 师, 电 话 025-83492263 080901 物 理 电 子 学 电 路 分 析 电 磁 场 理 论 01 电 磁 物 理 与 微 波 电 子 学 02 光 子 学 与 光 电 技 术 03 微 纳

002 电 子 科 学 与 工 程 学 院 拟 招 生 150 人 联 系 人 : 周 老 师, 电 话 025-83492263 080901 物 理 电 子 学 电 路 分 析 电 磁 场 理 论 01 电 磁 物 理 与 微 波 电 子 学 02 光 子 学 与 光 电 技 术 03 微 纳 南 京 邮 电 大 学 2016 年 硕 士 研 究 生 招 生 专 业 目 录 001 通 信 与 信 息 工 程 学 院 拟 招 生 440 人 联 系 人 : 王 老 师, 电 话 :025-83492423 081001 通 信 与 信 息 系 统 科 目 01 移 动 通 信 与 无 线 技 术 02 无 线 数 据 与 移 动 计 算 03 下 一 代 通 信 网 络 技 术 数 字 信

More information

金融全渠道银行彩页中文版0702

金融全渠道银行彩页中文版0702 目 录 /CONTENT 02 华 为 全 渠 道 银 行 总 览 同 城 灾 备 中 心 呼 叫 中 心 跨 渠 道 协 同 多 媒 体 协 同 办 公 分 行 主 数 据 中 心 总 行 商 场 研 发 中 心 网 点 现 有 渠 道 优 化 电 话 营 销 中 心 创 新 网 点 票 据 影 像 数 据 管 理 社 区 / 企 业 渠 道 创 新 移 动 营 销 VTM 远 程 银 行 远 程

More information

河北省人大常委会机关车队

河北省人大常委会机关车队 河 北 省 人 大 常 委 会 机 关 服 务 中 心 2015 年 部 门 决 算 河 北 省 人 大 常 委 会 机 关 服 务 中 心 编 制 河 北 省 人 大 常 委 会 机 关 服 务 中 心 2015 年 部 门 决 算 公 开 目 录 第 一 部 分 部 门 概 况 一 部 门 职 责 二 部 门 情 况 第 二 部 分 2015 年 度 部 门 决 算 报 表 一 收 入 支 出

More information

光明乳业股份有限公司

光明乳业股份有限公司 光 明 乳 业 股 份 有 限 公 司 非 公 开 发 行 A 股 股 票 募 集 资 金 存 放 与 实 际 使 用 情 况 的 专 项 报 告 及 审 核 报 告 截 至 2012 年 12 月 31 日 止 审 核 报 告 光 明 乳 业 股 份 有 限 公 司 全 体 股 东 : 德 师 报 ( 核 ) 字 (13) 第 E0019 号 我 们 审 核 了 后 附 的 光 明 乳 业 股 份

More information