Microsoft PowerPoint - chap3.ppt

Size: px
Start display at page:

Download "Microsoft PowerPoint - chap3.ppt"

Transcription

1 MCS-51 CPU 的規劃模式 位元組位址 1F F 通用資料暫存器 暫存器庫 3 暫存器庫 2 暫存器庫 1 R7 R6 R5 R4 R3 R2 R1 R0 內部 RAM 位元組位址 暫存器庫 0 F0 F7 F6 F5 F4 F3 F2 F1 F0 B E0 E7 E6 E5 E4 E3 E2 E1 E0 ACC D0 D7 D6 D5 D4 D3 D2 - D0 PSW B BC BB BA B9 B8 IP A8 AF - - AC AB AA A9 A8 IE 83 非位元可存取 DPH 82 非位元可存取 DPL A0 非位元可存取 SP 非位元可存取 PCH 非位元可存取 PCL 位址與特殊功能暫存器 (SFR) 林銘波編著 --- 全華科技圖書公司 3.1 MCS-51 程式狀態語句 (PSW) PSW 位址 : D0H 重置值 : 00H 位元可存取 PSW.7 PSW.6 PSW.5 PSW.4 PSW.3 PSW.2 PSW.1 PSW.0 D7H D6H D5H D4H D3H D2H D1H D0H CY AC F0 RS1 RS0 OV - P 林銘波編著 --- 全華科技圖書公司 3.2

2 記憶器組織 資料記憶器 FFFFH 程式記憶器 FFFFH 內部資料記憶器 FFH 80H 8052 SFR 7FH RAM 00H 0000H 外部資料記憶器 內部程式記憶器 y000h xfffh ROM 0000H EA = 1 外部程式記憶器 EA = 0 林銘波編著 --- 全華科技圖書公司 3.3 位元可存取區 7F 一般用途資料區 ( 位元組存取 ) FF F0 E0 D0 F7 F6 F5 F4 F3 F2 F1 F0 E7 E6 E5 E4 E3 E2 E1 E0 B ACC D7 D6 D5 D4 D3 D2 - D0 PSW 位元組位址 30 2F 7F 7E 7D 7C 7B 7A E D 6F 6E 6D 6C 6B 6A C B 5F 5E 5D 5C 5B 5A A F 4E 4D 4C 4B 4A F 3E 3D 3C 3B 3A F 2E 2D 2C 2B 2A F 1E 1D 1C 1B 1A F 0E 0D 0C 0B 0A F F 08 暫存器庫 3 暫存器庫 2 暫存器庫 1 07 暫存器庫 0 00 預設暫存器庫 (R0 ~ R7) 內部 RAM 位元可存取區 暫存器庫區 位元組位址 B BC BB BA B9 B8 IP B0 B7 B6 B5 B4 B3 B2 B1 B0 P3 A8 AF - - AC AB AA A9 A8 IE A0 A7 A6 A5 A4 A3 A2 A1 A0 P2 99 非位元可存取 SBUF 98 9F 9E 9D 9C 9B 9A SCON P1 8D 8C 8B 8A 89 非位元可存取非位元可存取非位元可存取非位元可存取非位元可存取 88 8F 8E 8D 8C 8B 8A 非位元可存取 非位元可存取非位元可存取非位元可存取 特殊功能暫存器 (SFR) TH1 TH0 TL1 TL0 TMOD TCON PCON DPH DPL SP P0 林銘波編著 --- 全華科技圖書公司 3.4

3 MCS-51 未帶號整數 MSB D7 LSB D0 (a) 未帶號位元組整數 MSB LSB D0 D15 (b) 未帶號語句整數 林銘波編著 --- 全華科技圖書公司 3.5 MCS-51 帶號整數 MSB( 符號位元 ) D7 LSB D0 林銘波編著 --- 全華科技圖書公司 3.6

4 MCS-51 BCD 資料類型 D BCD 數字 D BCD 數字 林銘波編著 --- 全華科技圖書公司 3.7 字元串資料類型 M C S A s s e m b l y 4D D D 62 6C L a n g u a g e 4C 61 6E 林銘波編著 --- 全華科技圖書公司 3.8

5 MCS-51 定址方式 定址方式 格式 有效位址 立即資料定址 #data8 暫存器定址 Rn (R0 ~ R7) 直接定址 addr8 addr8 絕對定址 addr11 addr11 長程 ( 絕對 ) 定址 addr16 addr16 ( 暫存器 ) R0 R1 或 DPTR ( 暫存器 ) 相對定址 disp8 PC+ 符號擴展之 disp8 ( 基底 ) A+PC 或 A+DPTR 林銘波編著 --- 全華科技圖書公司 3.9 MCS-51 基本指令格式 立即資料 (a) 立即資料定址 ( 例如 MOV A,#23H) r r r (b) 暫存器定址 ( 例如 MOV A,R2) 直接位址 (c) 直接定址 ( 例如 MOV A,23H) A10~A8 A7~A0 (d) 絕對定址 ( 例如 ACALL 副程式位址 ) 林銘波編著 --- 全華科技圖書公司 3.10

6 MCS-51 基本指令格式 A15~A8 A7~A0 (e) 長程 ( 絕對 ) 定址 ( 例如 LJMP 標的位址 }) i (f) ( 暫存器 ) 間接定址 ( 例如 MOV A,@R1) 位移位址 (g) 相對定址 ( 雙位元組指令 ) ( 例如 SJMP disp8) 直接位址 / 立即資料 位移位址 (h) 相對定址 ( 三位元組指令 ) ( 例如 CJNE A,#23H,disp8) (i) ( 基底 ) 指標定址 ( 例如 MOVC A,@A+DPTR) 林銘波編著 --- 全華科技圖書公司 3.11 組譯程式假指令 1. 資料定義與儲存區域保留假指令 :DB DBIT DS 與 DW 請參閱第 節 2. 節區定義假指令 :SEGMENT RSEG CSEG DSEG BSEG ISEG 與 XSEG 3. 符號定義假指令 :EQU( 第 節 ) SET BIT CODE DATA IDATA 與 XDATA 4. 程式連繫假指令 :PUBLIC EXTRN 與 NAME 5. 組譯程式狀態控制假指令 :END ORG 與 USING, 其中 END 與 ORG 兩個假指令, 請參閱第 節 6. 巨集指令定義假指令 :ENDM LOCAL 與 MACRO ( 第 到 節 ) 林銘波編著 --- 全華科技圖書公司 3.12

7 SEGMENT 假指令 節區名字 SEGMENT 節區類型 [ 可重置位類型 ] 節區類型 1. BIT 2. CODE 3. DATA 4. IDATA 5. XDATA 可重置位類型 1. AT 位址 2. BITADDRESSABLE 3. INBLOCK 4. INPAGE 5. OVERLAYABLE 6. PAGE 7. UNIT 林銘波編著 --- 全華科技圖書公司 3.13 節區選取假指令 節區選取假指令可以選取先前以 SEGMENT 假指令定義的可重置位節區, 或是直接產生與定義一個新的絕對節區 選取可重置位節區 :RSEG (relocatable segment) 假指令 產生與定義一個絕對節區 :BSEG CSEG DSEG ISEG 與 XSEG 等假指令 BSEG AT 位址 ; 定義一個絕對 BIT 節區 CSEG AT 位址 ; 定義一個絕對 CODE 節區 DSEG AT 位址 ; 定義一個絕對 DATA 節區 ISEG AT 位址 ; 定義一個絕對 IDATA 節區 XSEG AT 位址 ; 定義一個絕對 XDATA 節區 林銘波編著 --- 全華科技圖書公司 3.14

8 節區選取假指令 1 ;ex3.4-1.a51 2 ;a relocatable DATA segment 3 ONCHIP SEGMENT DATA 4 ;a relocatable CODE segment 5 EEPROM SEGMENT CODE DSEG AT 30H OPR1: DS OPR2: DS 1 10 ;begin relocatable DATA segment RSEG ONCHIP TOTAL: DS COUNT: DS 1 14 ;begin relocatable EEPROM segment RSEG EEPROM BEGIN: MOV R0,#LOW OPR MOV R1,#LOW OPR E500 F 20 MOV A,LOW TOTAL 0006 AB00 F 21 MOV R3,LOW COUNT RET 23 END 林銘波編著 --- 全華科技圖書公司 3.15 符號定義假指令 符號 BIT 位元位址 (0 到 255 之間 ) 符號 CODE 指令碼位址 (0000H 到 0FFFFH 之間 ) 符號 DATA 資料位址 (0 到 255 之間 ) 符號 IDATA 內部資料位址 (0 到 255 之間 ) 符號 XDATA 外部資料位址 (0000H 到 0FFFFH 之間 ) 林銘波編著 --- 全華科技圖書公司 3.16

9 符號定義假指令 1 ;ex3.4-2.a51 2 ;Examples of symbols definition directives THREE EQU 03H CNTR_PORT SET P END_FLAG BIT 05H RESTART CODE 0000H PORT2 DATA 91H BUFFER IDATA 45H DATE XDATA 1200H 00A0 10 CNTR_PORT SET P2 11 END 林銘波編著 --- 全華科技圖書公司 3.17 其他假指令 ---USING 1 ;ex3.4-3.a51 2 ;The use of USING directive 3 ; CSEG AT 0000H 5 USING 2 ;using Bank E510 6 MOV A,AR0 ;AR0 is location 10H D008 7 MOV PSW,#08H;switch to Bank F8 8 MOV R0,A 9 USING 0 ;using Bank E MOV A,AR0 ;AR0 is location 00H D MOV PSW,#18H;switch to Bank 3 000B F8 12 MOV R0,A 000C RET 14 END 林銘波編著 --- 全華科技圖書公司 3.18

10 組譯程式 LC 原始程式 第一回合 ST MOT POT 第二回合 目的程式 組譯程式 組譯列表 林銘波編著 --- 全華科技圖書公司 3.19 組譯程式 -- 第一回合 開始 讀入資料 有新標記否? 是 與 LC 值一起存入 ST 否 列印錯誤訊息 找尋 POT 表 找到 型式 END 第二回合 未找到 DB, DW, DS, DBIT 未找到 找尋 MOT 表 計算需要的長度 找到 計算指令長度 處理表式 更新 LC 值 林銘波編著 --- 全華科技圖書公司 3.20

11 組譯程式 -- 第二回合 開始 讀入資料 列印錯誤訊息 未找到 找尋 POT 表 未找到 找尋 MOT 表 找到 給予指令長度, 格式, 及二進碼 找到 保留空間假指令 型式 轉換與輸出常數 END 定義資料假指令 計算需要的長度 清除與跳出 計算運算元表式 產生組合語言指令各部分 更新 LC 值 林銘波編著 --- 全華科技圖書公司 3.21 組譯程序與實例 1 ;ex4.4-4.a DSEG AT 30H SRCA: DS 8 ;source array DSTA: DS 8 ;destination array 5 ;block data move with general exit CSEG AT 0000H BLKMOV: MOV R0,#LOW SRCA ;set source pointer MOV R1,#LOW DSTA ;set dest. pointer 0004 E6 9 MLOOP: MOV A,@R0 ;transfer them 0005 B4FF02 10 CJNE A,#0FFH,SAVE ;end? F 11 AJMP DONE ;yes, done 000A F7 12 SAVE: ;save into destination 000B INC R0 ;point to next 000C INC R1 ;entry 000D AJMP MLOOP ;continue 000F DONE: RET 17 END BLKMOV 林銘波編著 --- 全華科技圖書公司 3.22

12 組譯程序與實例 NAME TYPE VALUE ATTRIBUTES BLKMOV... C ADDR 0000H A DONE.... C ADDR 000FH A DSTA.... D ADDR 0038H A MLOOP.... C ADDR 0004H A SAVE.... C ADDR 000AH A SRCA.... D ADDR 0030H A 林銘波編著 --- 全華科技圖書公司 3.23

Microsoft PowerPoint - chap4.ppt

Microsoft PowerPoint - chap4.ppt 定址方式 定址方式 格式 有效位址 立即資料定址 #data8 暫存器定址 Rn (R0 ~ R7) 直接定址 addr8 addr8 絕對定址 addr11 addr11 長程 ( 絕對 ) 定址 addr16 addr16 ( 暫存器 ) 間接定址 @Ri (@R0 @R1) 或 @DPTR R0 R1 或 DPTR ( 暫存器 ) 相對定址 disp8 PC+ 符號擴展之 disp8 ( 基底

More information

DPJJX1.DOC

DPJJX1.DOC 8051 111 2K 1 2 3 ' ' 1 CPU RAM ROM / A/D D/A PC CPU 40 68 10 20 8 51 PIII 8051 2 MCS51 8051 8031 89C51 8051 8031 89C51? MCS51 INTEL INTEL 8031 8051 8751 8032 8052 8752 8051 8051 8051 MCS51 8031 8031

More information

Microsoft PowerPoint - chap2.ppt

Microsoft PowerPoint - chap2.ppt 基本程式設計觀念 人 自然語言 ( 中文 英文...) 高階語言 (C C++ Java) 組合語言 (8051 或是 80x86) 機器語言 (8051 或是 80x86) 機器 林銘波編著 --- 全華科技圖書公司 2.1 計算機的階層式結構 應用程式 ( 或語言 ) 高階語言組合語言硬體 林銘波編著 --- 全華科技圖書公司 2.2 儲存程式計算機 資料輸入 中央處理器讀取 / 寫入控制 CPU

More information

Microsoft PowerPoint - chap5.ppt

Microsoft PowerPoint - chap5.ppt 邏輯運算指令的動作 0 1 0 1 0 0 1 1 OR 1 0 1 1 1 0 0 1 1 1 1 1 1 0 1 1 (a) OR 運算 0 1 0 1 0 0 1 1 XOR 1 0 1 1 1 0 0 1 1 1 1 0 1 0 1 0 (c) XOR 運算 希望設定為 1 的位元 罩網標的位元組 新標的位元組 不改變的位元 希望取補數的位元 罩網標的位元組 新標的位元組 不改變的位元 1

More information

微處理機期末專題

微處理機期末專題 微 處 理 機 期 末 專 題 自 動 鋼 琴 組 員 :b92611004 羅 鈞 瑋 b92611008 吳 妍 儂 b92611038 吳 韋 靜 b92611042 林 佳 穎 一 簡 介 本 組 的 主 題 是 自 動 鋼 琴 在 播 放 音 樂 的 同 時, 鋼 琴 會 自 動 按 下 琴 鍵, 被 按 下 的 琴 鍵 所 對 應 到 的 音 階, 就 是 正 在 撥 放 的 樂 曲 的

More information

目 录

目 录 1 Quick51...1 1.1 SmartSOPC Quick51...1 1.2 Quick51...1 1.3 Quick51...2 2 Keil C51 Quick51...4 2.1 Keil C51...4 2.2 Keil C51...4 2.3 1 Keil C51...4 2.4 Flash Magic...9 2.5 ISP...9 2.6...10 2.7 Keil C51...12

More information

Microsoft Word - 專題封面.doc

Microsoft Word - 專題封面.doc 逢 甲 大 學 資 訊 工 程 學 系 專 題 研 究 報 告 8051 小 遊 戲 -21 點 指 導 教 授 : 陳 德 生 學 生 : 許 博 益 中 華 民 國 九 十 六 年 六 月 I 目 錄 第 一 章 緒 論 1 1-1 研 究 背 景 1 1-2 研 究 動 機 2 1-3 研 究 目 的 3 1-3-1 21 點 源 起 3 1-3-2 21 點 規 則 3 第 二 章 微 電

More information

6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C C C51 C51 ANSI C MCS-51 C51 ANSI C C C51 bit Byte bit sbit

6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C C C51 C51 ANSI C MCS-51 C51 ANSI C C C51 bit Byte bit sbit 6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C51 6.1 C51 6.1.1 C51 C51 ANSI C MCS-51 C51 ANSI C C51 6.1 6.1 C51 bit Byte bit sbit 1 0 1 unsigned char 8 1 0 255 Signed char 8 11 128

More information

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0 SH79F6431 1. SH79F6431 1T 8051 FLASH SH79F JET51 Keil µ vision JTAG 1.1. SH79F6431 LQFP64 1.2. (Target Board) SH79F6431 1 V 1.0 1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F6431 1 2 1 B. 1(VCC/GND)

More information

PowerPoint 簡報

PowerPoint 簡報 微算機原理與實驗 (UEE 2301/1071 ) Chap 5. MCS-51 Addressing Modes 宋開泰 Office:EE709 Phone:5731865( 校內分機 :31865) E-mail:ktsong@mail.nctu.edu.tw URL:http://isci.cn.nctu.edu.tw 1 Addressing Modes Addressing mode is

More information

W77E58中文手册

W77E58中文手册 W77E58 77E58 8051 8051 8051 77E58 8051 1.5 3 COMS 32K EEPROM 1K SRAM 1 8 2 40M 4 3 8051 4 8051 5 4 8 I/O 6 4 I/O 44 PLCC QFP 7 16 / 8 12 9 10 11 1K 12 13 16 DPTR 14 15 DIP40 W77E58 25/40 PLCC44 W77E58P

More information

Microsoft PowerPoint - chap12.ppt

Microsoft PowerPoint - chap12.ppt 步並列資料轉移 CLK 位址與命令 資料 匯流排週期 (a) 單一時脈週期 匯流排週期 CLK 位址與命令 資料 匯流排週期 匯流排週期 (b) 多時脈週期 林銘波編著 --- 全華科技圖書公司 2. 閃脈控制方式 --- 來源裝置啟動 來源裝置 閃脈控制線 標的裝置 (a) 方塊圖 成立 閃脈控制線 (b) 時序圖 標的裝置將資料閘入資料暫存器中 林銘波編著 --- 全華科技圖書公司 2.2 閃脈控制方式

More information

Microsoft PowerPoint - chap7.ppt

Microsoft PowerPoint - chap7.ppt 轉換十六進制數字為七段顯示碼 轉換十六進制數字為七段顯示碼 a f g b e d c (a) 七段 LED 顯示器 7 6 5 4 3 2 1 0 位元 0 g f e d c b a 數碼 (b) 顯示器數碼編碼格式 輸入 g f e d c b a 十六進制輸入 g f e d c b a 十六進制 0 0 1 1 1 1 1 1 3F 8 1 1 1 1 1 1 1 7F 1 0 0 0 0

More information

幻灯片 1

幻灯片 1 3 8086 Tel:2656809 tjx@csu.edu.cn 2005-9-14 1 2005-9-14 2 8086 8 8086 8086 7 2005-9-14 3 PC 2005-9-14 4 2005-9-14 5 81616 2005-9-14 6 [ ] MOV AX, 3064H AX=3064H 16AX OP 64H 30H 2005-9-14 7 16 AX BX CX

More information

untitled

untitled 8086/8088 CIP /. 2004.8 ISBN 7-03-014239-X.... TP313 CIP 2004 086019 16 100717 http://www.sciencep.com * 2004 8 2004 8 1 5 500 787 1092 1/16 16 1/2 391 000 1 2 ii 1 2 CAI CAI 3 To the teacher To the student

More information

HD61202 HD HD61203 HD61202, HY HY HD61202 HD61202 HD61203 HD =4096 RAMRAM LCD 2HD HD HD /32--

HD61202 HD HD61203 HD61202, HY HY HD61202 HD61202 HD61203 HD =4096 RAMRAM LCD 2HD HD HD /32-- HD61202 C-7 1 HD61202 HD61202 8 HD61203 HD61202, HY-12864 HY-19264 HD61202 HD61202 HD61203 HD61202 1 6464=4096 RAMRAM LCD 2HD61202 64 3HD61202 68 68 4HD61202 1/32--1/64 HD61202 HD61202 2 CS1,CS2,CS3 CS1

More information

<4D F736F F D20B3E6B4B9A4F930365F32A443AC71C5E3A5DCBEB9B1B1A8EE2E646F63>

<4D F736F F D20B3E6B4B9A4F930365F32A443AC71C5E3A5DCBEB9B1B1A8EE2E646F63> 七段顯示器控制電路四位數 _ 使用解碼器驅動 +5 V 10 uf 8.2 k 12 MHz 20 pf 1 2 3 4 5 6 7 8 9 P1.0 P1.1 P1.2 P1.3 P1.4 P1.5 P1.6 P1.7 RESET 10 P3.0 11 12 13 14 15 16 17 18 19 20 P3.1 P3.2 P3.3 P3.4 P3.5 P3.6 P3.7 XTAL2 XTAL1

More information

Ps22Pdf

Ps22Pdf A B C D A B C D A B C D a a b c x x x x x x x x x x x x x x x x x a b c x a x x x x x x x x x x a b a b a b x x x x x x x x x x x x A B C A B C A B A B A x B C x D A B C a b c a b x x x x x x x A B A

More information

微處理機

微處理機 3-1 指令格式 標記運算碼運算元註解 標記 1. 標記前不可有空白, 否則會被視為運算碼 2. 標記代表一個 16 位元的記憶體實際位址 3. 標記名稱最多 32 個字元 ( 視組譯器不同而有所不同 ) 4. 標記有大小寫之分 5. 標記可有可無 運算碼 1. 運算碼與標記名稱間, 至少必須空一格, 如果沒有標記名稱, 則運算碼前最少要空一格, 否則會被視為標記 2. 運算碼大小寫相同 3. 可以是

More information

untitled

untitled EDM16080-01 Model No.: Editor: 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3

More information

Microsoft PowerPoint - chapter2.ppt

Microsoft PowerPoint - chapter2.ppt 本章目標 了解電腦的基本功能與原理 了解組譯程式與組合語言程式 了解組合語言程式的建立與執行 了解基本的組譯程式假指令 了解組譯程式如何組譯組合語言程式 2.1 基本程式設計觀念 人 自然語言 ( 中文 英文...) 高階語言 (C C++ Java) 組合語言 (80x86) 機器語言 (80x86) 機器 2.2 程式設計層次關係圖 #include "stdio.h" void main()

More information

1.1 ML_ONOFF = 1 Q 3 Q 8 C 0.3V M 2 L 1 ML_ONOFF = 0 Q 3 Q 8 C 1. + R31 VCC R21 10K ML_ONOFF R15 0:off 1:on 1K Green Light VCC=5V L1 Q VDD=12V C

1.1 ML_ONOFF = 1 Q 3 Q 8 C 0.3V M 2 L 1 ML_ONOFF = 0 Q 3 Q 8 C 1. + R31 VCC R21 10K ML_ONOFF R15 0:off 1:on 1K Green Light VCC=5V L1 Q VDD=12V C AUTOMATIC TROLLEY H K Hwang K K Chen J-S Lin S-C Wang M-L Li C-C Lin W-B Lin Dept. Of Electrical Engineering Far East College ABSTRACT This paper proposes an automatic trolley which can move automatically

More information

Microsoft PowerPoint - chap9.ppt

Microsoft PowerPoint - chap9.ppt 記憶器類型 記憶器元件主要分成 : RAM (Random Access Memory) SRAM (static RAM, 靜態隨意存取記憶器 ) DRAM (dynamic RAM, 動態隨意存取記憶器 ) ROM (Read Only Memory) ROM PROM (programmable ROM) EPROM (erasable programmable ROM) EEPROM (electrically

More information

12232A LED LED LED EL EL CCFL EL CCF

12232A LED LED LED EL EL CCFL EL CCF 12232A 0 50-20 +70-30 +85 LED LED LED EL EL CCFL EL CCF 122 x 32 1/32Duty 1/5Bias 6:00 STN( ), EL LED EL/100VAC 400HZ LED/4.2VDC 1 / VDD-VSS 0 6.5 V Ta=25 LCD VDD-V0 0 12.0 V V1 0 VDD V VDD-VSS - 4.75

More information

Ps22Pdf

Ps22Pdf ( ) ( 150 ) 25 15 20 40 ( 25, 1, 25 ), 1. A. B. C. D. 2. A. B. C. D. 3., J = 1 H = 1 ( A B, J', J, H ) A. A = B = 1, J' =0 B. A = B = J' =1 C. A = J' =1, B =0 D. B = J' = 1, A = 0 4. AB + AB A. AB B. AB

More information

專題最終版.doc

專題最終版.doc The Principle and Application of the Electric Combination Lock The Principle and Application of the Electric Combination Lock Abstract Recently, there are more and more burglaries in the society. It's

More information

51 C 51 isp 10 C PCB C C C C KEIL

51 C 51 isp 10   C   PCB C C C C KEIL http://wwwispdowncom 51 C " + + " 51 AT89S51 In-System-Programming ISP 10 io 244 CPLD ATMEL PIC CPLD/FPGA ARM9 ISP http://wwwispdowncom/showoneproductasp?productid=15 51 C C C C C ispdown http://wwwispdowncom

More information

Microsoft Word - IRFWX_A051_C_SyncMOS_with_STC_APN_SC_.doc

Microsoft Word - IRFWX_A051_C_SyncMOS_with_STC_APN_SC_.doc EEPROM 应用说明 1 适用产品 :SM59D03G2 SM59D04G2 series 2 应用范围 : 针对需使用 EEPORM 功能替换 STC 89C5X 的应用及汇编语言之范例程序 ( 使用内部扩充内存为暂存区 ) 3 功能说明 : 3.1 本公司上述产品 EEPORM 功能皆可替换 STC89C5X, 仅需对特殊功能缓存器定义 ( 详见表 1) 及 ISP 命令定义 ( 详见表 2)

More information

<313034A4BDB67DA4C0B56FBA5DB3E65FBD64A5BB2E786C7378>

<313034A4BDB67DA4C0B56FBA5DB3E65FBD64A5BB2E786C7378> 科 別 : 國 文 科 (A 區 ) 分 發 16 名 1 600110129 黃 毅 潔 國 立 豐 原 高 級 商 業 職 業 學 校 2 600110446 鄭 安 芸 國 立 南 投 高 級 中 學 3 600110632 李 孟 毓 桃 園 市 立 大 園 國 際 高 級 中 學 4 600110492 洪 珮 甄 南 投 縣 立 旭 光 高 級 中 學 5 600110262 柯 懿 芝

More information

单片机学习教程

单片机学习教程 . 89S51 1. 2.p1 3.8 3 4.8 3. 1. 0-F 2. 0000 0255. 1. : BB 2. : ( --- ) : :. 1. 2..232.I 2 C 1. X24C02 MCS-51 2. : 22H 24C02 50H 3. : ; 8 4. :I2C. / 1. 1 2. 2. 1. 1. 2. 2.. 1. 1: 2. 2: 3. 3 1 LED 1 89S51

More information

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File 51 C 51 51 C C C C C C * 2003-3-30 pnzwzw@163.com C C C C KEIL uvision2 MCS51 PLM C VC++ 51 KEIL51 KEIL51 KEIL51 KEIL 2K DEMO C KEIL KEIL51 P 1 1 1 1-1 - 1 Project New Project 1 2 Windows 1 3 N C test

More information

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe   Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L 1 SH88F516 8051 64K FLASH 1024 EEPROM SH88F516 JET51 S-Lab Keil µ vision ISP51 Keil µ vision ISP51 ISP51 PC RS232 MCU SH88F516 SH88F516 1.1 SH88F516 1.1 SH88F516 SH88Fxx: QFP44, DIP40 RAM Flash E2 ADC

More information

<4D6963726F736F667420576F7264202D203130B5A5C6ACBBFACAB5D1E9D6B8B5BCCAE92E646F63>

<4D6963726F736F667420576F7264202D203130B5A5C6ACBBFACAB5D1E9D6B8B5BCCAE92E646F63> 单 片 机 及 接 口 技 术 实 验 指 导 书 张 勇 编 计 算 机 与 通 信 工 程 学 院 信 息 与 通 信 工 程 系 2004.12 1 - 前 言 单 片 机 及 接 口 技 术 是 通 信 工 程 专 业 的 专 业 技 能 课 程, 在 专 业 知 识 结 构 体 系 中 具 有 十 分 重 要 的 地 位, 课 程 的 实 践 性 很 强, 学 习 必 须 理 论 和 实

More information

竞赛报名与报名审核

竞赛报名与报名审核 2014 年 全 国 职 业 院 校 技 能 大 赛 高 职 组 广 东 省 选 拔 赛 工 程 造 价 基 本 技 能 赛 项 竞 赛 指 南 主 办 : 广 东 省 教 育 厅 承 办 : 广 州 城 建 职 业 学 院 协 办 : 广 联 达 软 件 股 份 有 限 公 司 目 录 一. 竞 赛 的 几 个 重 要 时 间...1 二. 竞 赛 时 间 地 点 及 费 用...1 ( 一 )

More information

Microsoft PowerPoint - chapter5.ppt

Microsoft PowerPoint - chapter5.ppt 本章目標 了解 80x86 的邏輯運算指令與程式設計 了解 80x86 的位元運算指令與程式設計 了解 80x86 的移位與循環指令與程式設計 了解 80x86 的符號擴展指令與特殊指令的動作 了解 80x86 的字元串運算指令與程式設計 了解 80x86 的 CP 控制與旗號位元指令的動作 林銘波編著 全華科技圖書公司 5.1 邏輯運算指令動作 0 1 0 1 0 0 1 1 OR 1 0 1 1

More information

zt

zt ! " " " " " " " " " " !" %$$#! " "& ((! "!"#!"!" #!#$ "#$!$ "$!"##!"$!!"#!"!" % #$%" % # "% &!!!& ()*+,,-!& ()*+,,-*! "!,-!,-* "!)&*+,,-!)&*+,,-* "&(!$%!"! &!& ()&0,;!/) (&-:A 2-1,;!/) +2(192>*.) /0-1

More information

1 TPIS TPIS 2 2

1 TPIS TPIS 2 2 1 1 TPIS TPIS 2 2 1. 2. 3. 4. 3 3 4 5 4 TPIS TPIS 6 5 350 Mark Coil F3/F6 350 M 150 M 25 M 7.12M 8 M F3 F6 F4 F7 F8 8M AA 7 350 28V 5V IC HCPL2731 0.5mA 6 8 (TPIS) TPIS 9 7 IC AT89C2051 AT89C2051 CMOS8

More information

第5章:汇编语言程序设计

第5章:汇编语言程序设计 第 5 章 : 汇编语言程序设计 程 汇编语言指令格式 系统伪指令 存储器选择方式 常用子程序 1 汇编语言程序设计 PIC 指令系统 语言系统 指 CPU 编 器语言 器语言 器语言 设计 用 语言 设计 语言 汇编语言 2 汇编语言指令格式 汇编语言指令格式 ( 指令 ) label opcode operand comment 指令 用 存 指令 指令语 3 汇编语言指令格式 1 指令 用 指令

More information

CA24064B LED LED LED EL EL CCFL EL CCF /

CA24064B LED LED LED EL EL CCFL EL CCF / CA24064B 0 50-20 +70-30 +85 LED LED LED EL EL CCFL EL CCF 39 2 6 0755-81995643/27890716 0 13713911853 0755-27890716 1 : VDD-VSS 0 6 V LCD VDD-V0 Ta=25 0 28.0 V VI 0 VDD V : VDD-VSS --- 4.75 5.0 5.25 V

More information

四、通 讯 规 约

四、通 讯 规 约 HY-ML2000 RS232 RS422 RS-485 9 RS232 1----GND 3---RXD 4---TXD RS422 6--T+,7--T-,8--R-, 9--R+ 00 0FFH 256 0# 1# 2# 3# 4# 5# 6# 7# 8# 14# 18# HY-ML2000 ( ) 0# ( BJ DISA ) 1 EB 90 EB 90 02...( )... (L) (H)

More information

untitled

untitled 2015 138 8 13 8:00 14:00 http://zj.sceea.cn 1 www.sceea.cn APP 1 2 6 6 2 2015 2015 8 13 3 1156 2 12 1 16 1 1160 4 21 4 1161 4 06 4 1162 1 01 1 1168 1 19 1 1169 2 07 2 1254 4 00 4 1261 1 88 1 1262 7 4 00

More information

学习MSP430单片机推荐参考书

学习MSP430单片机推荐参考书 MSP430 16 MSP430 C MSP430 C MSP430 FLASH 16 1 CPU 16 ALU 16 PC SP SR R4~R15 2 3 00-FFH 100-1FFH 4 5 1 2 51 24 27 6 1 2 3 4 5 6 4 12 SR SP SR CPU SR CPU C Z N GIE CPUOff CPU OscOff SCG0 SCG1 CPU EXIT SP

More information

Microsoft Word 定址法實驗.doc

Microsoft Word 定址法實驗.doc 8051 定址法實驗 - 利用 Keil μvision3 發展工具來學習 指令格式 : 標記 : 運算碼運算元 ; 註解 Label: Op Code Operand ;Comments Loop: MOV A #3FH ; 指令格式範例 定址法 : 8051 的定址法可分為下列六種 : 立即定址法 (Immediate Addressing) 暫存器定址法 (Register Addressing)

More information

» n ¹ú KS0108 x ÍÍÙ q n KS0108 KS KS0107 KS0108 KS *32 128*64 192*64 KS0108 KS0108 KS0107 KS =4096 RAM RAM LCD 2 KS

» n ¹ú KS0108 x ÍÍÙ q n KS0108 KS KS0107 KS0108 KS *32 128*64 192*64 KS0108 KS0108 KS0107 KS =4096 RAM RAM LCD 2 KS KS0108 KS0108 8 KS0107 KS0108 KS0108 128*32128*64 192*64 KS0108 KS0108 KS0107 KS0108 1 6464=4096 RAMRAM LCD 2KS0108 64 3KS0108 68 68 4KS0108 1/48--1/64 KS0108 CS1,CS2,CS3 CS1 CS2 CS3 E E KS0108 E R/W R/W=1

More information

µPSD3000 系列

µPSD3000 系列 8032 FLASH MCU µpsd3251f-40t6 µpsd3251 8032 FlashPSD Flash SRAM I/O I 2 C, ADC and PWM 8032, 16 / FlashPSD µpsd32 JTAG ISP 2K SRAM Flash - 64K Flash - 16K Flash - Flash 8032 12 I2C ADC 6 I/O 37 I/O 16

More information

1

1 W7E65 1. W7E65 ISP Flash EPROM ISP Flash EPROM 52 W7E65 64K Flash EPROM 4K Flash EPROM 4K Flash EPROM loader 64K ROM 1K RAM 4 I/O 4 I/O P4 3 16 / 2 W7E65 Flash EPROM W7E65 2 2 2. CMOS 12 64K ISP Flash

More information

民國八十九年台灣地區在校學生性知識、態度與行為研究調查

民國八十九年台灣地區在校學生性知識、態度與行為研究調查 84 年 台 灣 地 區 在 校 學 生 性 知 識 態 度 與 行 為 研 究 調 查 過 錄 編 碼 簿 題 號 變 項 名 稱 變 項 說 明 選 項 數 值 說 明 備 註 i_no 學 生 編 號 問 卷 流 水 號 location 學 校 所 在 縣 市 編 號 1 台 北 市 2 基 隆 市 3 台 中 市 4 台 南 市 5 高 雄 市 6 新 竹 市 7 嘉 義 市 21 宜 蘭

More information

() () () () () () () () DDRAM () II

() () () () () () () () DDRAM () II 液晶模块说明书 SPEC NO YM2232A REV NO. 液晶显示模块产品说明书 产品类型 : 产品型号 : 产品描述 : 标准产品 YM2232A 22x32 图形点阵模块, 控制器 :SED52,LED 背光 客户名称 : 客户确认 : 编写 : Dexun Zou 审核 : HCC 批准 : Jingxi Yang 发行日期 : 22.8 大连佳显电子有限公司 地址 : 大连市沙河口区工华街

More information

戲劇研究 創刊號 詞之雅化 實為 折子戲 源生之三個重要背景 歷代戲曲劇種如先秦至唐代之 戲曲小戲 宋金雜劇院本 北曲雜劇四折每折作獨立性演出 乃至明清民間 小戲與南雜劇之一折短劇 均實為折子戲之 先驅 則明正德至嘉靖間北劇南 戲選本之 摘套 與 散齣 迎神賽社禮節傳簿 中之 零折散齣 均可 視之為

戲劇研究 創刊號 詞之雅化 實為 折子戲 源生之三個重要背景 歷代戲曲劇種如先秦至唐代之 戲曲小戲 宋金雜劇院本 北曲雜劇四折每折作獨立性演出 乃至明清民間 小戲與南雜劇之一折短劇 均實為折子戲之 先驅 則明正德至嘉靖間北劇南 戲選本之 摘套 與 散齣 迎神賽社禮節傳簿 中之 零折散齣 均可 視之為 戲 劇 研 究 200 年1月 創刊號 頁1 2 論說 折子戲 曾永義 世新大學講座教授 緒論 折子戲 這一戲曲名詞 大家耳熟能詳 但如果進一步思考 1. 折子戲 之名始於何時 2. 折子戲 之詞彙結構如何形成 3.如果把 折子戲 當作一生命體 那麼其源生 形成 成熟與衰老的不同 階段 各自如何 其源生 形成的背景如何 其成熟興盛和衰老頹廢的原因又是 如何 4.當折子戲成熟之時 折子戲本身具有何等樣的周延義涵

More information

Microsoft Word - ISSFA-0134_A_AP_User-definedDownload_SC_.doc

Microsoft Word - ISSFA-0134_A_AP_User-definedDownload_SC_.doc 自定义下载应用说明 一 适用产品 :SM59XX 系列 SM59D XX 系列 SM59R XX 系列二 应用方式 : 可以让使用者自定义 command 作为进入 ISP 刻录的通关指令, 透过 UART 连接 ISAP 软件做联机更新三 操作说明 ( 使用 SM59D04G2 为例 ): 1. ISAP 操作方式 : 1.1 先将主程序及 ISP 服务程序烧进 MCU 中 1.2 将 MCU 放至系统版上,

More information

2014教师资格证考试《中学综合素质》仿真模拟题(4)

2014教师资格证考试《中学综合素质》仿真模拟题(4) 2016 教 师 资 格 证 考 试 中 学 综 合 素 质 仿 真 模 拟 题 (4) 一 单 项 选 择 题 ( 在 每 小 题 列 出 的 四 个 备 选 项 中 只 有 一 个 是 符 合 题 目 要 求 的, 错 选 多 选 或 未 选 均 不 得 分 本 大 题 共 29 小 题, 每 小 题 2 分, 共 58 分 ) 1. 教 师 要 具 有 符 合 时 代 特 征 的 学 生 观

More information

1832 6 1863 1872 1875 1877 187 1879 3 1 2 4 1880 1824 1862 3 186 1865 1870 1872 1877 1879 1882 500

1832 6 1863 1872 1875 1877 187 1879 3 1 2 4 1880 1824 1862 3 186 1865 1870 1872 1877 1879 1882 500 1949 61 72 1985 38 21 9 36 1985 212 326 1141 18 3 85 1592 6 1832 6 1863 1872 1875 1877 187 1879 3 1 2 4 1880 1824 1862 3 186 1865 1870 1872 1877 1879 1882 500 1884 11 8 16 1826 1862 1855 1865 1868 6 1874

More information

論鄭玄對《禮記‧月令》的考辨

論鄭玄對《禮記‧月令》的考辨 19997 183-196 論 鄭 玄 對 禮 記 月 令 的 考 辨 183 論 鄭 玄 對 禮 記 月 令 的 考 辨 一 問 題 的 背 景 20b 8a 1 472 24 20a 33 7a 2 3 1 35 60 64 472 240241 2 1a 3 19b 184 4 5 二 鄭 玄 考 辨 月 令 成 書 時 代 及 來 源 的 論 證 65 4 20b 282 5 235244

More information

单片机原理及应用实验指导书.doc

单片机原理及应用实验指导书.doc 1 2 3 4...2...4...9...9 AEDK598 MCS51...9 MCS51...10...10...10...10...10...10...11 P1...12...12...12....12...12...13 P3...14...14...14...14...14...14 I/O...15...15...15...15...15...16...17...17...17...17...17...18...19...19

More information

*_* IAP In Applications Program, *_* ROM RAM A/D D/A KELL C51 2 0531-86213622 Micro Controller Unit( ) INTEL MCS-48 MCS-51 MCS-96 51 MCS-51 8 MCS-96 16 INTEL / 51 87 LPC W78L DS87 GSM97 ATMEL 89C51

More information

Ps22Pdf

Ps22Pdf A A B C D A B C D A B C D A B C D A B C D A B C D A B C D A B C D A B C D A B C D A B C D A B C D B C D F G I J A A B C D A B C D A B C D A B C D A B C D A B C D A B C D A B C D A B C D A B C D A B C D

More information

! "#$%& $()*+#$, $(-.&,./.+#/(-.&01( &-#&(&$# (&2*(,#-3.,14& $ +()5(*-#5(-#/-/#(-1#&-+)(& :;<<= > A B?

! #$%& $()*+#$, $(-.&,./.+#/(-.&01( &-#&(&$# (&2*(,#-3.,14& $ +()5(*-#5(-#/-/#(-1#&-+)(& :;<<= >  A B? ! "#$%& $()*+#$, $(-.&,./.+#/(-.&01( &-#&(&$# (&2*(,#-3.,14& $ +()5(*-#5(-#/-/#(-1#&-+)(&- 67789:;

More information

2011-论文选集-2.cdr

2011-论文选集-2.cdr ! "#$# $$ "#$#$$" " $% &%!$ $ "#$$ " ! "!#!$ %" #& # ( #$ ) )& )# )$ ** "& ")! ! "" # $% & &( ( # ) )** )*+ )*$ )) ))" ),+ )," -./ ) ) ) " )++ )+" )%,, !"#" $ ! " #$% & ( & ) % #$% #$% & * #$%#$% #$% (

More information

untitled

untitled 2016 133 1 7 28 19:00 29 14:00 http://zj.sceea.cn www.sceea.cn APP 1 2 2 6 6 2016 2016 7 28 3 2016 2016 2016 0363 1 17 1 1183 1 18 1 1184 2 41 1 45 1 1205 1 03 1 1210 3 25 1 29 2 1240 4 01 ( ) 4 1291 2

More information

, 7, Windows,,,, : ,,,, ;,, ( CIP) /,,. : ;, ( 21 ) ISBN : -. TP CIP ( 2005) 1

, 7, Windows,,,, : ,,,, ;,, ( CIP) /,,. : ;, ( 21 ) ISBN : -. TP CIP ( 2005) 1 21 , 7, Windows,,,, : 010-62782989 13501256678 13801310933,,,, ;,, ( CIP) /,,. : ;, 2005. 11 ( 21 ) ISBN 7-81082 - 634-4... - : -. TP316-44 CIP ( 2005) 123583 : : : : 100084 : 010-62776969 : 100044 : 010-51686414

More information

<4D F736F F D F F315FAAFEA5F333AAF9B645C2E5C0F8AA41B0C8C249BCC6B24DB3E6B443C5E9A5D3B3F8AEE6A6A12E646F63>

<4D F736F F D F F315FAAFEA5F333AAF9B645C2E5C0F8AA41B0C8C249BCC6B24DB3E6B443C5E9A5D3B3F8AEE6A6A12E646F63> 門 診 醫 療 服 務 點 數 清 單 媒 體 申 報 格 式 及 填 表 說 明 97.5.1 更 新 版 ( 檔 案 名 稱 : DTLFA, 每 筆 長 度 246 BYTES) 項 次 資 料 名 稱 格 式 中 文 名 稱 / 資 料 說 明 ==== ======================== ==== ================================== *01

More information

Microsoft PowerPoint - MicroProcessor05_MSC51 HW

Microsoft PowerPoint - MicroProcessor05_MSC51 HW 微處理機原理 Unit 5: MCS-51 Hardware 中原大學機械工程學系張耀仁 justin@cycu.edu.tw Intel 8051 Intel 8052/8031 MCS-51/52 系列比較 Intel 在 1981 年首先推出第一個 8 位元的 8051 微控制器 8052 與 8031 特性上幾乎相同 任何在 8051/8031 上所發展的軟體程式, 均可直接在 8052 上執行,

More information

Microsoft Word - ISSFA-0237_A_SM39R_series_EEPROM_APN_TC_.doc

Microsoft Word - ISSFA-0237_A_SM39R_series_EEPROM_APN_TC_.doc EEPROM 功能使用方法 1 適用產品 : 1.1 SM39R16A2/ SM39R12A2/ SM39R08A2 1.2 SM39R4051/ SM39R2051 1.3 SM39R04G1/ SM39R02G1 2 EEPROM 功能概述 : 2.1 使用 code flash 模擬為 Internal EEPROM, 在程序執行時, 可將 code flash 作為 data flash 儲存資料使用

More information

untitled

untitled EDM12832-08 : 25-1 : 116600 : (0411)7612956 7632020 7631122 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

TSINGTEK DISPLAY CO.,LTD LCD CONTROLLER & DRIVER ST7920 OR EQUIVALENT (f) 639 2A f B1

TSINGTEK DISPLAY CO.,LTD LCD CONTROLLER & DRIVER ST7920 OR EQUIVALENT (f) 639 2A f B1 TSINGTEK DISPLAY CO.,LTD LCD CONTROLLER & DRIVER ST7920 OR EQUIVALENT 588 4 1 0571-85121224 85121742 85121304(f) 639 2A095 0571-88256346 89902095 f B1618 010-62051209 62000662 62568913 82036512 f http://www.tsingtek.com

More information

2-2 第二章系統分析 XTAL1/ (19/18): 時脈接腳 1. 使用內部振盪器 C1 XTAL1 C2 VSS 2. 使用外部時脈 圖 2-2 使用內部振盪器接線圖 時脈輸入 空接.. 時脈輸入 XTAL1 XTAL1 時脈輸入 XTAL1 VSS VSS VSS (a) (b) (c) 圖

2-2 第二章系統分析 XTAL1/ (19/18): 時脈接腳 1. 使用內部振盪器 C1 XTAL1 C2 VSS 2. 使用外部時脈 圖 2-2 使用內部振盪器接線圖 時脈輸入 空接.. 時脈輸入 XTAL1 XTAL1 時脈輸入 XTAL1 VSS VSS VSS (a) (b) (c) 圖 8051 單晶片 C 語言設計實務 2-1 2-1 接腳說明 P1.0 P1.1 P1.2 P1.3 P1.4 P1.5 P1.6 P1.7 RST (RXD) P3.0 (TXD) P3.1 (INT0) P3.2 (INT1) P3.3 (T0) P3.4 (T1) P3.5 (WR) P3.6 (RD) P3.7 XTA L2 XTA L1 VSS 1 2 3 4 5 6 7 8 9 10 11

More information

!!""# #$ # %& (&)* +,-./* /*+ 4-.* / /+!""#: ;-1< #$: =-< #!""" > > #??? #??? #??> ## #??? >!$ > > $"

!!# #$ # %& (&)* +,-./* /*+ 4-.* / /+!#: ;-1< #$: =-< #! > > #??? #??? #??> ## #??? >!$ > > $ "##!!$! %& (&)* +,-./*01-2 3./*+ 4-.*5+ 6 7./208 918 /+ "##!: ;-1>!!>>? @!>>A !!""# #$ # %& (&)* +,-./*01-2 3./*+ 4-.*5+ 6 7./208 918 /+!""#: ;-1< #$: =-< #!""" > > #??? #??? #??> ## #???

More information

证券期货市场之主要诚信规范

证券期货市场之主要诚信规范 证 券 期 货 市 场 严 重 违 法 失 信 行 为 之 典 型 案 例 汇 编 二 〇 一 一 年 十 二 月 目 录 背 景 介 绍... 3 一 内 幕 交 易 泄 露 内 幕 信 息... 4 ( 一 ) 定 义... 4 ( 二 ) 法 律 责 任... 4 ( 三 ) 典 型 案 例... 4 1. 李 际 滨 黄 文 峰 内 幕 交 易 案... 4 2. 况 勇 张 蜀 渝 徐 琴

More information

02所有分支机构的营业场所和电话.xls

02所有分支机构的营业场所和电话.xls 分 支 机 构 名 称 分 支 机 构 地 址 邮 编 第 一 联 系 电 话 第 二 联 系 电 话 ( 统 一 总 机 ) 中 国 平 安 人 寿 保 险 股 份 有 限 公 司 湖 南 分 公 司 湖 南 省 长 沙 市 劳 动 中 路 58 号 京 电 大 厦 3 至 6 楼 410007 0731-85601560 中 国 平 安 人 寿 保 险 股 份 有 限 公 司 广 西 分 公 司

More information

Microsoft PowerPoint - chapter6.ppt

Microsoft PowerPoint - chapter6.ppt 本章目標 了解模組化與結構化程式設計技巧 了解 80x86 的程式連結與程式模組宣告方式 了解副程式 巢路副程式 與遞回副程式 了解副程式的參數傳遞方式 了解巨集指令的定義與使用 了解巨集指令相關的假指令 6.1 模組化程式設計 組合語言的模組化程式設計通常由下列幾個層次輔助完成 : 1. 副程式 (subroutine) 2. 組譯程式假指令 3. 巨集指令 (macro) 4. 中斷結構 (interrupt

More information

# # # # # # = #, / / / / # 4 # # # /# 02-1 / 0 /? / 0 / 0? # # / >

# # # # # # = #, / / / / # 4 # # # /# 02-1 / 0 /? / 0 / 0? # # / > # # # # # # #,, # # # # # - #. /#. / 0 #. 0 4 1. 04 0 #. ##1 2-1 0 1. 04 # # # 3 4 0 4 3 < # : # 1 0 5 5 5 # # : # 4 678 #. 0 # 0. #678 # 0 678 678 # 0 # 4 0 : =>8 # 0 =>8 # 4.?@= # 0 0 # 4 # 0 : =>8 0

More information

cs22016202076

cs22016202076 2016 ( ) ( ) ( ) 2016 76 B1816 2016 76 2016 ( ) ( ) ( ) 1....B1820 2. ( ) ( )...B1820 3. 2 ( )...B1820 4. 3...B1820 3....B1822 5. 3A 3D...B1822 3A....B1822 3B....B1824 3C....B1824 3D....B1828 6. 4 ( )...B1830

More information

untitled

untitled 2015 141 8 14 8:00 12:00 1 http://zj.sceea.cn www.sceea.cn APP 9 1 2 2 6 6 2015 2015 8 14 3 1156 1 16 1 1160 1 21 1 1162 1 01 1 1264 2 06 2 1275 1 04 1 1357 5 03 2 15 2 29 1 1358 9 07 2 14 2 15 2 4 16

More information

台北市立成功高中九十學年度第一學期高三國文科期末考試題

台北市立成功高中九十學年度第一學期高三國文科期末考試題 台 北 市 立 成 功 高 級 中 學 一 0 二 學 年 度 第 一 學 期 範 圍 1. 課 本 : 第 五 冊 L13 典 論 論 文 第 六 冊 L1 諫 逐 客 書 L4 庖 丁 解 牛 2. 課 外 讀 本 : 與 吳 質 書 高 三 國 文 科 期 末 考 試 題 電 腦 卡 上 請 將 班 級 座 號 姓 名 劃 記 清 楚, 錯 誤 者 一 律 扣 十 分 一 單 一 選 擇 題

More information

!!""# $ %#" & $$ % $()! *% $!*% +,-. / 0 %%"#" 0 $%1 0 * $! $#)2 "

!!# $ %# & $$ % $()! *% $!*% +,-. / 0 %%# 0 $%1 0 * $! $#)2 ! """"""""""""""""""" " !!""# $ %#" & $$ % $()! *% $!*% +,-. / 0 %%"#" 0 $%1 0 * $! $#)2 " !"#$%#$&!!!!!!!!!!!!!!!!!!!!!!!!!!!"#$%& (& #) *+&,"-./%0 1 2"0*-"3* #4 5%&6&4"&00 78 9+& :"/;& 7< 9+& =#4-%%/

More information

标题

标题 文学蓝皮书 9 网络文学 趋向主流化 酝酿新格局 摘 要 2015 年的网络文学 在中央重视 政府主导 民间先 行 资本发力等诸多因素联手推动下 呈现出借势发 展和强势进取的良好势头 网络小说创作 在虚构类 的玄幻与仙侠 写实类的历史与都市 都有好的和比 较好的力作佳构联袂而来 主流体制组建网络文学机 构 IP 热 愈演愈烈 都从不同的侧面和层面推动网 络文学进而做大做强 使之成为当代文学中最具成长

More information

(C) 比 得 上 (D) 如 果 17. ( ) 聖 賢 經 傳 和 傳 奇 小 說 兩 個 傳 字, 其 音 義 關 係 為 何? (A) 音 同 義 異 (B) 音 義 皆 同 (C) 義 同 音 異 (D) 音 義 皆 異 18. ( ) 下 列 選 項 中 的 形 似 字, 何 者 讀 音

(C) 比 得 上 (D) 如 果 17. ( ) 聖 賢 經 傳 和 傳 奇 小 說 兩 個 傳 字, 其 音 義 關 係 為 何? (A) 音 同 義 異 (B) 音 義 皆 同 (C) 義 同 音 異 (D) 音 義 皆 異 18. ( ) 下 列 選 項 中 的 形 似 字, 何 者 讀 音 國 中 國 文 B4:L7 考 試 卷 年 班 座 號 : 姓 名 : 一 國 字 及 注 音 1. 1 謹 ㄔˋ : 2 裝 ㄕˋ : 2. 1 ㄕㄨˊ 大 於 是 : 2 私 ㄕㄨˊ : 3. 歙 縣 : 4. 拘 泥 : 5. 不 宜 痴 : 6. 1 經 傳 : 2 傳 承 : 7. ㄏㄨㄟ 諧 : 8. 徽 州 : 9. 閒 ㄒㄧㄚˊ : 10. 康 ㄒㄧ : 11. 默 而 識 之 :

More information

REPORT ID: SS-220D COMPILED BY: HONG KONG HOUSING SOCIETY 香港房屋協會 PROJECT:THS2018 HONG KONG HOUSING SOCIETY 香港房屋協會 T-HOME TRANSITIONAL RENTAL HOUSING S

REPORT ID: SS-220D COMPILED BY: HONG KONG HOUSING SOCIETY 香港房屋協會 PROJECT:THS2018 HONG KONG HOUSING SOCIETY 香港房屋協會 T-HOME TRANSITIONAL RENTAL HOUSING S Page 1 of 7 000001 1333 000002 392 000003 1321 000004 945 000005 1065 000006 30 000007 704 000008 219 000009 118 000010 1032 000011 256 000012 731 000013 1245 000014 203 000015 525 000016 62 000017 996

More information

sgdm-chap11.fm

sgdm-chap11.fm 第 11 章 维 护 与 检 查 11.1 异 常 诊 断 与 处 理 措 施 - - - - - - - - - - - - - - - - 11-2 11.1.1 警 报 显 示 一 览 - - - - - - - - - - - - - - - - - - - - - - 11-2 11.1.2 警 告 显 示 一 览 - - - - - - - - - - - - - - - - - - -

More information

!!!!"#$ " " %& ( " # " " " " " "$%%& " $%% " "!!

!!!!#$   %& (  #      $%%&  $%%  !! ! "##$ % % % % % % % % &#!" % % #$%& (%&!! !!!!"#$ " " %& ( " # " " " " " "$%%& " $%% " "!! ! "#!"#$ $ $ $ $ %# %& $ &# ()*$ " & %!! ! " "!! !!!!" "! #$%& "# $()*$(+, $%& ())* $% (+,-.. /-.. 0&* 0%* "!!

More information

(Microsoft Word - Motion Program \270\305\264\272\276\363 \307\245\301\366 \271\327 \270\361\302\367.doc)

(Microsoft Word - Motion Program \270\305\264\272\276\363 \307\245\301\366 \271\327 \270\361\302\367.doc) : TBFAT-G5MP-MN004-11 1 GX Series PLC Program Manual 2 GX Series PLC Program Manual Contents Contents...3 1... 1-1 1.1... 1-2 1.2... 1-3 1.2.1... 1-3 1.2.2... 1-4 1.2.3... 1-4 1.2.4... 1-6 1.3... 1-7 1.3.1...

More information

穨古代韓國的巫與日官2.PDF

穨古代韓國的巫與日官2.PDF 1 2 3 4 1 1 1997 187-218 221-293 28 1998 477-454 1999 139-207 2 3 4 5 2 16 92000 85-112 202000 197-233 3 1976 4 31967 155-184 5 131990 23-49 1996 605-629 6 7 8 Trois Freres Irkut Malta Malta 9 1973 1982

More information

: DIN / VDE / EN IEC DIN EN IEC IEC pr EN IEC DIN EN IEC DIN VDE 0530 IEC DIN EN 60 0

: DIN / VDE / EN IEC DIN EN IEC IEC pr EN IEC DIN EN IEC DIN VDE 0530 IEC DIN EN 60 0 : M17/50Hz, 2002 s : DIN / VDE / EN IEC DIN EN 60 0341 IEC 60 0341 IEC 60 085 pr EN 50 347 IEC 60 072 DIN EN 60 03412 IEC 60 03412 DIN VDE 0530 IEC 60 0348 8 DIN EN 60 0347 IEC 60 0347 DIN 42 925 IEC 60

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6B0B8C0FDB5BCD1A75FD1F9D5C22E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6B0B8C0FDB5BCD1A75FD1F9D5C22E646F63> 因 为 路 过 你 的 路, 因 为 苦 过 你 的 苦, 所 以 快 乐 着 你 的 快 乐, 追 逐 着 你 的 追 逐 内 容 简 介 本 书 根 据 2005 年 下 半 年 实 施 的 全 国 计 算 机 技 术 与 软 件 专 业 技 术 资 格 ( 水 平 ) 考 试 嵌 入 式 系 统 设 计 师 级 考 试 大 纲 精 神, 在 深 入 研 究 历 年 计 算 机 技 术 与 软

More information

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File 51 C 51 51 C C C C C C * 2003-3-30 pnzwzw@163.com C C C C KEIL uvision2 MCS51 PLM C VC++ 51 KEIL51 KEIL51 KEIL51 KEIL 2K DEMO C KEIL KEIL51 P 1 1 1 1-1 - 1 Project New Project 1 2 Windows 1 3 N C test

More information

实 信 用 的 原 则 " 其 中, 诚 实 信 用 原 则 是 指 民 事 主 体 进 行 民 事 活 动 时, 均 应 诚 实, 不 作 假, 不 欺 诈, 不 损 害 他 人 利 益 和 社 会 利 益, 正 当 地 行 使 权 利 和 履 行 义 务 甲 将 平 房 售 与 丙 而 未 告

实 信 用 的 原 则  其 中, 诚 实 信 用 原 则 是 指 民 事 主 体 进 行 民 事 活 动 时, 均 应 诚 实, 不 作 假, 不 欺 诈, 不 损 害 他 人 利 益 和 社 会 利 益, 正 当 地 行 使 权 利 和 履 行 义 务 甲 将 平 房 售 与 丙 而 未 告 2012 年 司 法 考 试 模 拟 试 题 及 习 题 详 细 解 析 一 单 项 选 择 题, 每 题 所 给 的 选 项 中 只 有 一 个 正 确 答 案 本 部 分 1-50 题, 每 题 1 分, 共 50 分 1 甲 有 平 房 一 间 某 日, 甲 得 知 乙 将 于 该 平 房 南 建 高 楼 一 栋, 一 旦 高 楼 建 成, 该 平 房 即 无 阳 光 可 见 次 日, 甲 将

More information

1 2 / 3 1 A (2-1) (2-2) A4 6 A4 7 A4 8 A4 9 A ( () 4 A4, A4 7 ) 1 (2-1) (2-2) ()

1 2 / 3 1 A (2-1) (2-2) A4 6 A4 7 A4 8 A4 9 A ( () 4 A4, A4 7 ) 1 (2-1) (2-2) () (39mm E-Mail ( )( ), : : 1 1 ( ) 2 2 ( ) 29mm) WSK ( 1 2 / 3 1 A4 2 1 3 (2-1) 2-1 4 (2-2) 2-2 5 A4 6 A4 7 A4 8 A4 9 A4 10 11 ( () 4 A4, 5 6 7 8 A4 7 ) 1 (2-1) (2-2) () 1 2 (2-1) 3 (2-2) 4 5 6 7 (8 ) 9

More information

要 求 服 装 统 一 各 队 自 带 比 赛 球 槌 队 长 及 教 练 标 志 大 会 提 供 比 赛 用 球 和 号 码 布 ( 五 ) 比 赛 所 用 球 槌 须 为 中 国 门 球 协 会 2016 年 度 专 业 器 材 供 应 商 企 业 的 产 品, 企 业 名 称 和 品 牌 请

要 求 服 装 统 一 各 队 自 带 比 赛 球 槌 队 长 及 教 练 标 志 大 会 提 供 比 赛 用 球 和 号 码 布 ( 五 ) 比 赛 所 用 球 槌 须 为 中 国 门 球 协 会 2016 年 度 专 业 器 材 供 应 商 企 业 的 产 品, 企 业 名 称 和 品 牌 请 竞 赛 规 程 一 比 赛 时 间 和 地 点 时 间 :2016 年 8 月 7 日 至 13 日 地 点 : 湖 北 省 利 川 市 二 竞 赛 织 指 导 单 位 : 中 国 门 球 协 会 主 办 单 位 : 中 国 门 球 协 会 门 球 之 苑 编 辑 部 利 川 市 人 民 政 府 承 办 单 位 : 湖 北 省 门 球 协 会 恩 施 州 老 年 人 体 育 协 会 利 川 市 文

More information

4 / ( / / 5 / / ( / 6 ( / / 7 1 2 / 3 ( 4 ( 2003 8 ( 2

4 / ( / / 5 / / ( / 6 ( / / 7 1 2 / 3 ( 4 ( 2003 8 ( 2 : / ( 6 (2003 8 : ( 1 ( ( / / (,, ( ( - ( - (39mm 29mm 2 ( 1 2 3-6 3 6-24 6-48 12-24 8-12 WSK / WSK WSK 1 4 / ( / / 5 / / ( / 6 ( / / 7 1 2 / 3 ( 4 ( 2003 8 ( 2 9 5 ( 10 3 11 / (600 4 5 AA 710 AB 720 730

More information

山东2014第四季新教材《会计基础》冲刺卷第三套

山东2014第四季新教材《会计基础》冲刺卷第三套 2016 年 会 计 从 业 考 试 会 计 基 础 冲 刺 卷 3 一 单 项 选 择 题 ( 本 题 共 20 小 题, 每 小 题 1 分, 共 20 分 在 下 列 每 小 题 的 备 选 项 中, 有 且 只 有 一 个 选 项 是 最 符 合 题 目 要 求 的, 请 将 正 确 答 案 前 的 英 文 字 母 填 入 题 后 的 括 号 内, 不 选 错 选 均 不 得 分 ) 1.

More information

民 國 105 年 大 專 程 度 義 務 役 預 備 軍 官 預 備 士 官 考 選 簡 章 目 錄 壹 考 選 依 據 1 貳 考 ( 甄 ) 選 對 象 1 參 資 格 規 定 1 肆 員 額 及 專 長 類 別 2 伍 報 名 及 選 填 志 願 日 期 方 式 3 陸 選 填 官 科 (

民 國 105 年 大 專 程 度 義 務 役 預 備 軍 官 預 備 士 官 考 選 簡 章 目 錄 壹 考 選 依 據 1 貳 考 ( 甄 ) 選 對 象 1 參 資 格 規 定 1 肆 員 額 及 專 長 類 別 2 伍 報 名 及 選 填 志 願 日 期 方 式 3 陸 選 填 官 科 ( 民 國 105 年 大 專 程 度 義 務 役 預 備 軍 官 預 備 士 官 考 選 期 程 表 日 期 執 行 項 目 3 月 1 日 (8 時 起 ) 至 3 月 21 日 (17 時 止 ) 網 路 報 名 並 完 成 列 印 3 月 22 日 (17 時 止 ) 各 校 承 辦 人 員 收 報 名 件 截 止 3 月 30 日 4 月 11 日 5 月 18 日 5 月 27 日 (17

More information

. (A) (B) (C) A (D) (E). (A)(B)(C)(D)(E) A

. (A) (B) (C) A (D) (E). (A)(B)(C)(D)(E) A . () () () () () (A) (B) (C) B (D) (E). (A) (B) (C) E (D) (E) (A) (B) (C) (D). () () () () E (A) (B) (C) (D) (E). C (A) (B) (C) (D) (E). (A) (B) (C) (D) D (E). () - () - () - () - () - D (A) (B) (C) (D)

More information

1. ( )(1999 11 ) A. B. C. D. 2. ( )(2000 11 ) A. : B. : C. : D. : 3. ( )(20001 11 ) A. : B. : C. : D. : 1 D : 2

1. ( )(1999 11 ) A. B. C. D. 2. ( )(2000 11 ) A. : B. : C. : D. : 3. ( )(20001 11 ) A. : B. : C. : D. : 1 D : 2 340 : 3 1 1. ( )(1999 11 ) A. B. C. D. 2. ( )(2000 11 ) A. : B. : C. : D. : 3. ( )(20001 11 ) A. : B. : C. : D. : 1 D : 2 ( ) ( ) 2 A B D C C 3 C C A B D 3 6 : (1) : (2) A. : ( )- ( ) 4 : B. : ( ) ( )

More information

!!! "!! # " $ % & % & " &"($

!!! !! #  $ % & % &  &($ "!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!! "!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!"!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!

More information

! "! "! # $ # # %#!# $# &# # ()*+, )-.) /# () () 0# 1,2.34, 4*.5)-*36-13)7,) ,9,.).6.(+ :# 13).+;*7 )-,7,<*7,-*=,>,7?#

! ! ! # $ # # %#!# $# &# # ()*+, )-.) /# () () 0# 1,2.34, 4*.5)-*36-13)7,) ,9,.).6.(+ :# 13).+;*7 )-,7,<*7,-*=,>,7?# ! " "!! " "!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!! " #$$%!#$ #$$%!& #$$%! # (! #! (! # # ( $!! )! #! (!!! )!!! )!!! )!! # (! #! (!!! )!!! )!!! )! " "! *! " # ! "! "! # $ # # %#!# $# &# # ()*+, )-.) /# () ()

More information

97 04 25 0970002232 97 12 31 1-7 1 2 1 0 1 0 1 0 1 0 1 0 1 0 1 2 24 A1. 0 1 ( 6 ) 2 ( 6 ) 3 4 A1a.? 5 6 0 1 A1b.? 0 1 2 A2. 0 1 A2b. A2c. A2a. A2d. 1 A3. 1 A4 2 0 A4 A3a.?? 0 A4 1 A3b. 0 A4 1 A3c.?? 1

More information

粤社保函〔2013〕80号

粤社保函〔2013〕80号 맣 뚫 쪡 짧 믡 놣 쿕 믹 뷰 맜 샭 뻖 粤 社 保 函 2016 120 号 맘폚뾪햹2016쓪뛈쪡횱웳튵횰릤믹놾퇸샏뷰 쇬좡룱죏횤폐맘쫂쿮뗄춨횪 参 加 省 直 企 业 职 工 社 会 保 险 各 单 位 和 离 退 休 人 员, 社 会 申 办 退 休 人 员 : 根 据 国 家 和 省 的 有 关 规 定, 省 社 保 局 从 2016 年 4 月 1 日 起, 开 展 2016 年

More information

,768 32,767 32K JMP Jnnn (386+) LOOP CALL [Label:] JMP short/near/far address L10: jmp jmp L20: L10 L20

,768 32,767 32K JMP Jnnn (386+) LOOP CALL [Label:] JMP short/near/far address L10: jmp jmp L20: L10 L20 (Jump) (Loop) (Conditional jump) CMP CALL AND SAR/SHR TEST JMP NOT SAL/SHL Jnnn* OR RCR/ROR LOOP XOR RCL/ROL RETn * nnn, JNE JL -128 127-32,768 32,767 32K JMP Jnnn (386+) LOOP CALL [Label:] JMP short/near/far

More information

比 賽 表 Competition Schedule 報 到 : 比 賽 開 始 前 15 分 鐘 Reporting : 15 minutes before the scheduled time for the match 各 參 賽 隊 伍 必 須 依 照 大 會 編 定 的 出 場 比 賽,

比 賽 表 Competition Schedule 報 到 : 比 賽 開 始 前 15 分 鐘 Reporting : 15 minutes before the scheduled time for the match 各 參 賽 隊 伍 必 須 依 照 大 會 編 定 的 出 場 比 賽, 比 賽 表 Competition Schedule 報 到 : 比 賽 開 始 前 15 分 鐘 Reporting : 15 minutes before the scheduled time for the match 各 參 賽 隊 伍 必 須 依 照 大 會 編 定 的 出 場 比 賽, 每 場 賽 事 於 裁 判 召 集 出 場 5 分 鐘 後 仍 未 能 出 場 作 賽 或 參 2016

More information