Microsoft Word - DPJBXZXKFJMKSJ01.doc

Size: px
Start display at page:

Download "Microsoft Word - DPJBXZXKFJMKSJ01.doc"

Transcription

1 第 章 单片机基础知识. 概述.. 单片机发展历程单片机专业名称为 Micro Controller Unit( 微控制器件 ), 是由 Intel 公司发明的, 最早的系列是 MCS-48, 后来有了 MCS-5 常说的 5 系列单片机就是 MCS-5(Micro Controller System), 这是一种 8 位的单片机 后来 Intel 公司把它的核心技术转让给世界上很多小公司, 所以就有许多公司生产 5 系列兼容单片机, 例如, 飞利浦的 87LPC 系列 华邦的 W78 系列 达拉斯的 DS87 系列 现代的 GSM97 系列等 目前在我国比较流行的就是美国 ATMEL 公司的 89C5, 它是一种带 Flash ROM 的单片机, 本书的内容就是基于该型号的单片机来开展相关设计和实验仿真的 讲到这里, 也许有的读者会有疑问, 为什么平时在各种书上看到全是讲解 等型号的单片机, 二者又有什么不同呢? 其实 与 89C5 同属于一个系列, 只是 89C5 的单片机更新型一些 目前 89C5 已经被 89S5 代替,89S5 兼容 89C5, 同时近几年也出现了像宏晶公司研发生产的 STC89C 系列的 5 内核的单片机, 该单片机最大的特点就是可以利用串口线在线下载程序, 使用非常方便, 因此该系列单片机近几年占据了国内 5 单片机的大部分市场..2 几种常见的单片机除了上述介绍的单片机, 还经常在各种刊物上看到 AVR 系列和 PIC 系列单片机, 这么多的单片机, 应该先学哪一种呢? 在没有学习单片机之前, 这是一个令很多初学者非常困惑的问题 AVR 系列单片机是 ATMEL 公司生产的一种 8 位单片机, 采用的是 RISC 精简指令集单片机结构, 所以其技术和 5 系列有所不同, 开发设备和 5 系列也是不通用的,AVR 系列一条指令的运行速度可以达到纳秒级, 即每秒 次, 是 8 位单片机中的高端产品, 由于其出色性能, 目前应用范围越来越广, 大有取代 5 系列的趋势, 所以学完 5 系列, 还有必要学习 AVR 系列 PIC 系列单片机则是美国 MICROCHIP 公司生产的另一种 8

2 位单片机, 采用的也是 RISC 的指令集, 其指令系统和开发工具与 5 系列更是不同, 但由于其价格低和性能出色, 目前用户越来越多, 国内也有很多公司在推广此系列, 不过 PIC 的影响力远没有 5 系列大, 所以作为初学者,5 系列仍然是首选 以上几种只是比较常见的系列, 日常应用中还有许多其他公司生产的各种各样的单片机, 例如,Motorola 的 MC68H 系列 TI 的 MSP430C 系列 德国的西门子 PLC 等, 都有各自的结构体系, 并不与 5 系列兼容, 这里不再深入介绍, 感兴趣的读者可在入门后自己研究, 下面介绍 5 系列单片机的结构及组成..3 单片机的结构及组成 单片机并行总线开发及模块设2 计单片机到底是什么, 究竟能做什么呢? 其实单片机就是一种能进行数学和逻辑运算, 根据不同使用对象完成不同控制任务的面向控制而设计的集成电路, 就像在计算机中可以用不同的软件在相同的硬件上实现不同的功能 单片机其实也是如此, 同样的芯片可以根据不同的要求做出截然不同的产品, 只不过计算机是面向应用的, 而单片机是面向控制的, 例如, 控制一个指示灯的亮和灭, 控制一台电机的启动和停止等 那么单片机的内部究竟由哪些部件组成呢? 大家都知道计算机中有很多的部件, 如 CPU( 中央处理器 ) RAM( 内存条 ) ROM( 程序存储器 ) 输入/ 输出设备 ( 并行口 / 串行口 ) 等, 在单片机中这些部件也都具备, 并且全部集成在一块芯片上, 这就是单片机名称的由来 如图 - 所示为单片机的典型组成结构 单片机提供静态逻辑操作功能, 工作频率可以低至 0Hz, 支持两种软件编程的节约电源管理模式, 一种是空闲模式, 一种是电源关闭模式 在空闲模式下, 单片机的 CPU 停止工作, 而内部数据存储器 定时器 串口和中断系统仍然工作 在电源关闭模式下, 单片机保存数据存储器的内容, 晶体振荡器工作, 其余的部分停止工作, 直到有中断或硬件复位时, 单片机芯片的其他部分才能工作 如图 -2 所示是 AT89S5 的内部结构图 图 - 单片机的典型组成结构

3 第章单片机基础知识3 PSEN ALE EA/VPP RST 图 -2 单片机 AT89S5 的内部结构图.2 数的进制及位和字节的含义.2. 数制及其转换.3 种基本数制 数制指的是数据的表现形式 在计算机中常用的数制有二进制数 十进制数和十六进制数 无论是什么数制, 将这个数制所包含的数码个数称为基, 将各个数字所具有的数值称为权 () 十进制数 (Decimal) 十进制数是日常生活中最为常用的数制形式 十进制数的基为 0, 其包含 0 个数码, 即 十进制数的权是以 0 为底的幂, 每个数所处位置不同, 则其代表值不同 前一个数的权值为其相邻后一个数权值的 0 倍 例如, 一个十进制数为 D, 则其代表的数值为 : D= 从左到右各位代表的权值为 : 也就是平常所说的千 百 十 个

4 单片机并行总线开发及模块设4 计(2) 二进制数 (Binary) 二进制数是计算机中的基本数据形式, 所有数据在计算机中都以二进制数形式进行存储和运算 二进制数的基为 2, 在二进制数中只包含 0 和 两个数码 二进制数的权是以 2 为底的幂, 每个数所处位置不同, 则其代表值不同 前一个数的权值为其相邻后一个数权值的 2 倍 例如, 一个二进制数为 0.00B, 则其代表的十进制数值为 : 00.0B= = = 从左到右各位代表的权值为 : /2 /4 /8 /6 二进制数之所以能够成为计算机工作基本数据形式, 这是由计算机中的电路工作原理决定的 计算机中的电路通常只有两种状态, 在二进制数中可以用 代表电路中的高电平, 用 0 代表电路中的低电平 ; 或者用 代表电路中的导通, 用 0 代表电路中的截止 采用二进制数可以方便地对电路进行计数工作 (3) 十六进制数 (Hexadecimal) 十六进制数的基为 6, 在十六进制数中包含了 6 个数码, 各个数码表示如下 : A B C D E F 十六进制数的权是以 6 为底的幂, 与二进制数相同, 每个数所处位置不同, 则其代表值不同 前一个数的权值为其相邻后一个数权值的 6 倍 例如, 一个十六进制数为 F72.C4H, 则其代表的十进制数值为 : F72.C4H= = 从左到右各位代表的权值为 : 相对于二进制数而言, 十六进制数能够用较少的位数表现较大的数值, 便于书写和记忆 由于二进制数与十六进制数的转换非常简单, 所以在计算机中的数值常常采用十六进制数来表示 在汇编语言中, 为了将十六进制数中的 A B C D E F 与字母区分开来, 书写时常常会在其前面加一个 0 例如 F7H 写作 0F7H 2. 数制间的转换 由于不同数制的特性不同, 常常需要将一个数转换为十进制数来理解数值大小, 将一个数转换为十六进制数来编写程序, 将一个数转换为二进制数来理解对应数据在计算机中的含义 下面介绍计算机中不同数制的转换方法 () 转换为十进制数在前面介绍数制的过程中已经介绍了二进制数和十六进制数转换为十进制数的方法 将一个二进制数或十六进制数转换为十进制数只需将该数各个数位的基与权相乘累加即可 例如 :

5 000B= =8 B5H= =8 (2) 十六进制数与二进制数的转换由于十六进制数的基 6 是二进制数基 2 的 4 次方, 所以二进制数与十六进制数的转换十分简单 十六进制数转换为二进制数时, 只需从右至左将各个位上的数以二进制数表示出来即可 二进制数转换为十六进制数时, 整数部分需要从右至左依次将 4 个二进制数表示成一个十六进制数, 左侧不满 4 个时在前面补 0 到 4 个即可 小数部分自左向右每 4 位一组, 最后不满 4 位的在后面补 0, 写出对应的十六进制数即可 如表 - 所示为 0~5 的十进制数 二进制数 十六进制数的转换关系表 表 - 不同数制的数据转换表 十进制数 D 二进制数 B 十六进制数 H 十进制数 D 二进制数 B 十六进制数 H A B C D E F 例如, 一个二进制数 00000B 要转换为十六进制数, 其过程如下 : 00000B= =4B5H 一个十六进制数 A3FBH 转换为二进制数的过程如下 : A3FBH= B (3) 十进制数转换为二进制数或者十六进制数将一个十进制数转换为二进制数时, 对于十进制数整数部分采用 除 2 取整 的方法, 小数部分采用 乘 2 取整 的方法 十进制数转换为十六进制数时可以采用类似的 除 6 取整 以及 乘 6 取整 的方法 由于十六进制数与二进制数之间的转换十分简单, 在十进制数转换为十六进制数时, 也可以先转换为二进制数继而转换为十六进制数 下面以一个十进制数 D 为例来介绍 除 2 取整 以及 乘 2 取整 方法的使用 D 整数部分为 78, 转换为二进制数时将此数不停除 2 直到商为 0 为止, 然后将所有余数逆向整合即可得到想要的二进制数 2 78 余数为 0 低位 2 39 余数为 2 9 余数为 2 9 余数为 2 4 余数为 余数为 0 2 余数为 高位 第章单片机基础知识5

6 如上所示将余数逆向整合得到 78D=000B 小数部分 转换为二进制数时将该数的纯小数部分不停乘以 2 直至乘积为 为止, 将所有结果整数部分一次组合即可得到想要的二进制数 =.625 整数部分为 高位 =.25 整数部分为 =0.5 整数部分为 = 整数部分为 低位 如上所示将整数顺次整合得到 0.825D=0.0B 将小数部分以及整数部分整合得到 D=000.0B 单片机并行总线开发及模块设6 计.2.2 数和物理现象的关系在数字电路中, 可以用一盏灯的亮和灭来表示电平的高和低, 即用 表示高电平 ( 亮 ), 用 0 表示低电平 ( 灭 ), 如果现在有两盏灯, 那么会有几种状态呢? 如表 -2 所示为两盏灯的亮灭与二进制数之间的对应关系 表 -2 两盏灯的亮灭与二进制数之间的对应关系第一盏灯第二盏灯 两盏灯的组合可以表示 4 种状态, 即 00,0,0, 这样看来, 灯的亮和灭这种物理现象同数字确实有着某种联系, 如果把各状态按一定的规律排好, 那么电平的高或低就可以用数字来表示了, 换句话说, 不同的数字可以代表不同数量灯的电平高或低, 例如, 0000,000,000,00,000,00,00,0,000,00,00,0,00, 0,0,, 这 6 种组合就可以代表 4 盏灯的不同状态.2.3 位和字节的含义在单片机中一盏灯 ( 实际上是一根线 ) 可看作一位, 有 0 和 有两种状态, 分别对应电平的低和高, 是单片机最基本的数量单位, 用 bit 来表示 8 盏灯 (8 根线 ) 有 256 种状态, 这 8 盏灯 ( 也就是 8 位 ) 可称为一个字节, 用 B( 即 BYTE) 表示 那么单片机是如何来储存这些数字所代表的字节的状态的呢? 后文介绍存储器时将做详细说明.3 5 单片机基本硬件结构.3. 硬件结构前面提到过单片机的内部结构是由 CPU ROM RAM 等组成, 现在介绍外部引脚 如图 -3 所示为单片机的引脚图, 这就是实验中要用的 89C5 单片机的外部引脚图 如

7 表 -3 所示为 89C5 单片机引脚分配表 DIP P.0 P. P.2 P.3 P.4 P.5 P.6 P.7 RST (RXD)P3.0 (TXD)P3. (INT0)P3.2 (INT)P3.3 (T0)P3.4 (T)P3.5 (WR)P3.6 (RD)P3.7 XTAL2 XTAL GND VCC P0.0(AD0) P0.(AD) P0.2(AD2) P0.3(AD3) P0.4(AD4) P0.5(AD5) P0.6(AD6) P0.7(AD7) EA/VPP ALE/PROG PSEN P2.7(A5) P2.6(A4) P2.5(A3) P2.4(A2) P2.3(A) P2.2(A0) P2.(A9) P2.0(A8) 图 -3 89C5 单片机的引脚图 表 -3 89C5 单片机引脚分配表 引脚号 引脚名称 说 明 ~8 P.0~P.7 端口 P 9 RST 复位信号输入端 0~7 P3.0~P3.7 端口 P3, 该端口具备第二功能, 详见.3.2 节 8 XTAL2 时钟振荡器输出端, 内部振荡器输出端 9 XTAL 时钟振荡器输入端, 内部振荡器输入端 20 GND 电源地 2~28 P2.0~P2.7 端口 P2 29 PSEN 外部程序存储器从程序存储器中取指令或读取数据时, 该信号有效 30 ALE/ PROG 地址锁存信号访问外部存储器时, 该信号锁存低 8 位地址 ; 无 RAM 时, 此引脚输出晶振的 6 分频信号 3 EA/VPP 程序存储器有效地址,EA= 时从内部开始执行程序 ;EA=0 时从外部开始执行程序 32~39 P0.7~P0.0 端口 P0 40 VCC 电源正.3.2 端口结构分析从.3. 节的硬件结构中可以看出,89C5 单片机总共有 4 组端口,P0 P P2 和 P3, 第章单片机基础知识7

8 了解这 4 组端口的结构原理对于日后的编程会有很大的帮助, 由于这 4 组端口结构不尽相同, 下面分别介绍单片机总的 4 组端口 由于每组端口都是由 8 位组成, 故在下面的讲解中, 只以每组端口的其中一位来解释.P0 口的结构及工作原理 P0 口字节地址为 80H, 位地址 80H~87H P0 端口 8 位中的一位结构图如图 -4 所示 单片机并行总线开发及模块设8 计图 -4 P0 端口位结构图由图 -4 可见,P0 端口由锁存器 输入缓冲器 多路开关 一个非门 一个与门及场效应管驱动电路构成 图 -4 中标号为 P0.X 引脚的图标, 表示引脚可以是 P0.0~P0.7 的任何一位, 即在 P0 口有 8 个与图 -4 所示相同的电路组成 下面先介绍组成 P0 口的每个单元部分 () 输入缓冲器在 P0 口中, 有两个三态的缓冲器, 学过数字电路的读者都知道三态门有 3 个状态, 即在其输出端可以是高电平 低电平, 同时还有一种高阻状态 ( 或称为禁止状态 ), 图 -4 中, 上面一个是读锁存器的缓冲器, 也就是说, 要读取 D 锁存器输出端 Q 的数据, 需要使读锁存器中这个缓冲器的三态控制端 ( 图 -4 中标号为 读锁存器 端 ) 有效, 下面一个是读引脚的缓冲器, 要读取 P0.X 引脚上的数据, 也要使标号为 读引脚 的三态缓冲器的控制端有效, 引脚上的数据才会传输到单片机的内部数据总线上 (2)D 锁存器构成一个锁存器, 通常要用一个时序电路 ( 时序的单元电路内容请参考数字电路相关知识 ), 一个触发器可以保存一位二进制数 ( 即具有保持功能 ), 在 5 单片机的 32 根 I/O 口线中, 都是用一个 D 触发器来构成锁存器的 图 -4 中的 D 锁存器,D 端是数据输入端, CP 是控制端 ( 即时序控制信号输入端 ),Q 是输出端, Q 是反向输出端 对于 D 锁存器来讲, 当 D 输入端有一个输入信号, 如果这时控制端 CP 没有信号 ( 即时序脉冲没有到来 ), 这时输入端 D 的数据是无法传输到输出端 Q 及反向输出端 Q 的 如果时序控制端 CP 的时序脉冲到达, 这时 D 端输入的数据就会传输到 Q 及 Q 端 数据传送过来后, 当 CP 时序控制端的时序信号消失时, 输出端还会保持着上次输入端 D 的数据 ( 即把上次的数据锁存起来 ) 如果下一个时序控制脉冲信号到来, 这时 D 端的数据才再次传送到 Q 端, 从而改变 Q 端的状态

9 (3) 多路开关在 5 单片机中, 当内部的存储器够用时 ( 即不需要外扩展存储器时, 这里讲的存储器包括数据存储器及程序存储器 ),P0 口可以作为通用的输入 / 输出端口 ( 即 I/O) 使用, 对于 803( 内部没有 ROM) 的单片机, 或者编写的程序超过了单片机内部的存储器容量需要外扩存储器时,P0 口就作为地址 / 数据总线使用 那么这个多路选择开关就是用于选择是作为普通 I/O 口使用还是作为地址 / 数据总线使用的选择开关了 从图 -4 可知, 当多路开关与下端接通时,P0 口作为普通的 I/O 口使用 ; 当多路开关是与上端接通时,P0 口作为地址 / 数据总线使用 (4) 输出驱动从图 -4 中可看出,P0 口的输出是由两个 MOS 管组成的推拉式结构, 也就是说, 这两个 MOS 管一次只能导通一个, 当 Vl 导通时,V2 截止, 当 V2 导通时,Vl 截止 上面已对 P0 口的各单元部件进行了详细的讲解, 下面研究一下 P0 口作为 I/O 口及地址 / 数据总线使用时的具体工作过程 () 作为 I/O 端口使用时的工作原理 P0 口作为 I/O 端口使用时, 多路开关的控制信号为 0( 低电平 ), 如图 -4 所示, 多路开关的控制信号同时和与门的一个输入端相接, 与门的逻辑特点是 全 l 出, 有 0 出 0, 那么控制信号如果是 0, 这时与门输出的也是一个 0( 低电平 ), 此时 Vl 管就截止, 在多路控制开关的控制信号是 0( 低电平 ) 时, 多路开关是与锁存器的 Q 端相接的 ( 即 P0 口作为 I/O 口线使用 ) P0 口用作 I/O 口线, 其由数据总线向引脚输出 ( 即输出状态 Output) 的工作过程 : 写锁存器信号 CP 有效, 数据总线的信号的输出流程为锁存器的输入端 D 锁存器的反向输出 Q 端 多路开关 V2 管的栅极 V2 管的漏极 输出端 P0.X 前面已经介绍过, 当多路开关的控制信号为低电平 0 时, 与门输出为低电平,Vl 管是截止的, 所以作为输出口时, P0 是漏极开路输出状态, 类似于 OC 门, 当驱动上接电流负载时, 需要外接上拉电阻 如图 -5 所示就是由内部数据总线向 P0 口输出数据的流程图 图 -5 P0 口内部数据总线向引脚输出时的流程图 P0 口用作 I/O 口线, 其由一引脚向内部数据总线输入 ( 即输入状态 Input) 的工作过程, 数据输入时 ( 读 P0 口 ) 有以下两种情况 : 第一种情况是读引脚, 即读芯片引脚上的数据 读引脚数时, 读引脚缓冲器打开 ( 即 第章单片机基础知识9

10 单片机并行总线开发及模块设计三态缓冲器的控制端要有效 ), 通过内部数据总线输入 如图 -6 所示为 P0 口读引脚时的流程图 图 -6 P0 口读引脚时的流程图第二种情况是读锁存器, 通过打开读锁存器三态缓冲器读取锁存器输出端 Q 的状态 如图 -7 所示为 P0 口读锁存器时的流程图 / P0.X D Q CP Q +VCC 0 & V V2 P0.X 图 -7 P0 口读锁存器时的流程图 在输入状态下, 从锁存器和从引脚上读取的信号一般是一致的, 但也有例外 例如, 当从内部总线输出低电平后, 锁存器 Q=0, Q =l, 场效应管 V2 开通, 端口线呈低电平状态, 此时无论端口线上外接的信号是低电平还是高电平, 从引脚读入单片机的信号都是低电平, 因而不能正确地读入端口引脚上的信号 又如, 当从内部总线输出高电平后, 锁存器 Q=, Q =0, 场效应管 V2 截止, 如果外接引脚信号为低电平, 从引脚上读入的信号就与从锁存器读入的信号不同 为此,803 单片机在对端口 P0~P3 的输入操作有如下约定 : 凡属于读 改 写方式的指令, 从锁存器读入信号, 其他指令则从端口引脚线上读入信号 读 改 写指令的特点是, 从端口输入 ( 读 ) 信号, 在单片机内加以运算 ( 修改 ) 后, 再输出 ( 写 ) 到该端口上 下面是几条读 改 写指令的示例 ORL P0, A P0 AP0 INC P P+ P DEC P3 P3- P3 CPL P2 P2 P2 这样安排的原因在于读 改 写指令需要得到端口原输出的状态, 修改后再输出, 读 0

11 锁存器而不是读引脚, 可以避免因外部电路的原因使原端口的状态被读错 注意 :P0 端口是 803 单片机的总线口, 分时出现数据 D7~D0 低 8 位地址 A7~A0 以及三态, 用来连接存储器 外部电路与外部设备 P0 端口是使用最广泛的 I/O 端口 (2) 作为地址 / 数据复用口使用时的工作原理在访问外部存储器时,P0 口作为地址 / 数据复用口使用, 这时多路开关控制信号为 l, 与门解锁, 与门输出信号电平由地址 / 数据线信号决定 ; 多路开关与反相器的输出端相连, 地址信号经地址 / 数据线 反相器 V2 场效应管栅极 V2 漏极输出 例如, 控制信号为 l, 地址信号为 0 时, 与门输出低电平,Vl 管截止 ; 反相器输出高电平,V2 管导通, 输出引脚的地址信号为低电平 如图 -8 所示为 P0 口作为地址线, 控制信号为, 地址信号为 0 时的工作流程图 图 -8 P0 口作为地址线, 控制信号为, 地址信号为 0 时的工作流程图反之, 控制信号为 l 地址信号为 l, 与门输出为高电平,Vl 管导通 ; 反相器输出低电平,V2 管截止, 输出引脚的地址信号为高电平 如图 -9 所示为 P0 口作为地址线, 控制信号为, 地址信号为 时的工作流程图 图 -9 P0 口作为地址线, 控制信号为, 地址信号为 时的工作流程图可见, 在输出地址 / 数据信息时,Vl V2 管是交替导通的, 负载能力很强, 可以直接与外设存储器相连, 无须增加总线驱动器 P0 口又作为数据总线使用, 在访问外部程序存储器时,P0 口输出低 8 位地址信息后, 将变为数据总线, 以便读指令码 ( 输入 ) 在存取 第章单片机基础知识

12 单片机并行总线开发及模块设计指令期间, 控制信号为 0,Vl 管截止, 多路开关也跟着转向锁存器反相输出端 Q ;CPU 自动将 0FFH(, 即向 D 锁存器写入一个高电平 ) 写入 P0 口锁存器, 使 V2 管截止, 在读引脚信号控制下, 通过读引脚三态门电路将指令码读到内部总线 如图 -0 所示为 P0 口作为数据总线, 取指期间工作流程图 图 -0 P0 口作为数据总线时取指期间工作流程图如果该指令是输出数据, 如 MOVX@DPTR,A, 该指令将累加器的内容通过 P0 口数据总线传送到外部 RAM 中, 则多路开关控制信号为, 与门解锁, 与输出地址信号的工作流程类似, 数据由地址 / 数据线 反相器 V2 场效应管栅极 V2 漏极输出 如果该指令是输入数据 ( 读外部数据存储器或程序存储器 ), 如 MOVX A,@DPTR, 该指令将外部 RAM 某一存储单元内容通过 P0 口数据总线输入到累加器 A 中, 则输入的数据仍通过读引脚三态缓冲器到内部总线, 其过程类似于读取指令码流程图 通过以上分析可以看出, 当 P0 作为地址 / 数据总线使用时, 在读指令码或输入数据前, CPU 自动向 P0 口锁存器写入 0FFH, 破坏了 P0 口原来的状态 因此, 不能再作为通用的 I/O 端口 注意 : 系统设计中务必注意, 程序中不能再含有以 P0 口作为操作数 ( 包含源操作数和目的操作数 ) 的指令 当由 P0 口输入数据时, 由于外部输入信号既加在缓冲输入端上, 又加在驱动电路的漏极上 如果这时 T2 是导通的, 则引脚上的电位始终被钳位在 0 电平上, 输入数据不可能被正确地读入 因此, 在输入数据时, 应先把 P0 口置, 使两个输出 FET 均关断, 使引脚 浮置, 成为高阻状态, 这样才能正确地插入数据, 这就是准双向口 I/O 口作为输入口时有两种工作方式, 即读端口与读引脚, 读端口时实际上并不从外部读入数据, 而是把端口锁存器的内容读入到内部总线, 经过某种运算或变换后再写回到端口锁存器, 只有读端口时才真正地把外部的数据读入到内部总线, 图 -0 中的两个三角形表示的就是输入缓冲器,CPU 将根据不同的指令分别发出读端口或读引脚信号以完成不同的操作, 这是由硬件自动完成的 读引脚时, 就是把端口作为外部输入线时, 首先要通过外部指令把端口锁存器置, 然后再进行读引脚操作, 否则就可能读入出错, 为什么? 看图 -0 中, 如果不对端口置, 端口锁存器原来的状态有可能为 0,Q 端为 0, Q 端为, 2

13 加到场效应管栅极的信号为, 该场效应管就导通, 对地呈现低阻抗, 此时即使引脚上输入的信号为, 也会因端口的低阻抗而使信号变低, 使得外加的 信号读入后不一定是, 若先执行置 操作, 则可以使场效应管截止, 引脚信号直接加到三态缓冲器中, 实现正确的读入, 由于在输入操作时还必须附加一个准备动作, 所以这类 I/O 口被称为准双向口, 89C5 的 P0 P P2 P3 口作为输入时都是准双向口 接下来再看另一个问题, 从图 -0 中可以看出, 这 4 个端口还有一个差别, 除了 P 口外,P0 P2 P3 口都还有其他功能, 这些功能又作什么用的呢? 下面就来详细讲解这个问题 每个 I/O 端口都有一个 8 位数据锁存器和两个 8 位数据缓冲器 P0~P3(8 位锁存器 ) 是 SFR, 有各自的端口地址, 可直接用指令寻址, 用于存放需要输出的数据 数据输入时只有缓冲没有锁存, 各引脚上输入的数据必须一直保持到 CPU 将其读走为止, 如图 - 所示为 P0 位结构图 图 - P0 位结构图从图 - 中可以看出,P0 口的内部有一个二选一的选择器, 受内部信号的控制, 如果在图 - 中的位置, 则处在 I/O 口工作方式, 此时相当于一个准双向口输入, 须先将 P0 口置, 每根口线可以独立定义为输入或输出, 但是必须在口线上加上拉电阻, 如果将开关拨向另一个方向, 则作为地址 / 数据复用总线用, 此时不能逐位定义为输入 / 输出, 有两种用法, 当作数据总线用时输入 8 位数据, 当作地址总线用时则输出低 8 位地址, 注意, 当 P0 口作为地址 / 数据复用总线用之后就不能再作 I/O 口使用了 那么什么叫做地址 / 数据复用? 这其实是当单片机的并行口不够用时需要扩展输入 / 输出口时的一种用法, 具体使用方法会在后续的章节中逐步讲解 利用 P0 口进行扩展外部存储器和 I/O 时,P0 口将作为地址和数据分时复用,CPU 发控制信号, 打开与门, 使 MUX 打向上边, 形成推拉式结构, 数据信号可直接读入或输出到内部总线 利用 P0 作为通用 I/O 时, 此时 P0 口是一个准双向口,CPU 发控制信号, 封锁与门, 使上拉管截止,MUX 打向下边, 与 D 触发器 Q 连接 输入程序举例 : MOV P0, #FFH 输出程序举例 : MOV A, P0 第章单片机基础知识3

14 单片机并行总线开发及模块设计2.P 口的结构及工作原理 P 口字节地址为 90H, 位地址为 90H~97H, 如图 -2 所示为 P 位结构图 图 -2 P 位结构图 与 P0 不同,P 口只能作为 I/O 口使用, 无 MUX, 但其内部有一个上拉电阻, 所以连接外围负载时不需要外接上拉电阻, 这一点 P P2 P3 都一样 输入程序举例 : MOV P, #FFH MOV A, P 输出程序举例 : MOV A, P 3.P2 口的结构及工作原理 P2 口字节地址为 A0H, 位地址为 A0H~A7H, 如图 -3 所示为 P2 位结构图 图 -3 P2 位结构图 P2 口作为 I/O 口线时用法与 P0 口一样, 当内部开关拨向另一个方向, 即作地址输出时, 可以输出程序存储器或外部数据存储器的高 8 位地址, 并与 P0 口输出的低地址一起构成 6 位的地址线 4

15 第章单片机基础知识5 注意 : 和数据总线的区别, 数据总线是 8 位的, 很多书上都会提到 5 单片机是 8 位数据总线,6 位地址总线, 但都不会解释有什么不同, 看到这里读者应该明白二者的区别 6 位的地址总线可以寻址 64KB 的程序存储器或外部数据存储器, 后续章节会讲解, 此处要注意的是当 P2 口作为地址总线时, 高 8 位地址线是 8 位一起输出的, 不能像 I/O 口线那样逐位定义, 这与 P0 口是一样的 当 P2 口用来扩展外存储器和 I/O 时, 作为高 8 位地址输出, 当进行外部存储器或 I/O 设备读写操作时,CPU 自动发出控制信号, 打开与门, 使 MUX 拨向上边 当 P2 口当作通用 I/O 时,CPU 自动发出控制信号,MUX 拨向下边, 与 D 触发器 Q 连接 输入程序举例 : MOV P2, #FFH MOV A, P2 输出程序举例 : MOV A, P2 4.P3 口的结构及工作原理 P3 口字节地址为 B0H, 位地址为 B0H~B7H 如图 -4 所示为 P3 位结构图 图 -4 P3 位结构图 P3 口作为 I/O 口线用时同其他的端口相同, 也是准双向口, 不同的是,P3 口的每一位都有另一种功能, 也叫第二功能, 具体作用在用到时将详细解释 当 P3 口作为通用 I/O 口时, 准双向口第二功能端保持高电平 输入程序举例 : MOV P3, #FFH MOV A, P3 输出程序举例 : MOV A, P3 当 P3 口作为第二功能时, 锁存器输出 Q=, 如表 -4 所示为 P3 口第二功能列表

16 单片机并行总线开发及模块设计表 -4 P3 口第二功能列表 端口号 第二功能 注 释 P3.0 RXD 串行输入端 P3. TXD 串行输出端 P3.2 INT0 外部中断 0 输入端 P3.3 INT 外部中断 输入端 P3.4 T0 定时 / 计数器 0 外部事件计数输入端 P3.5 T 定时 / 计数器 外部事件计数输入端 P3.6 WR 外部数据存储器写选通信号, 低电平有效 P3.7 RD 外部数据存储器读选通信号, 低电平有效 既然单片机的引脚有第二功能, 那么 CPU 是如何识别的呢? 这是一个令许多初学者困 惑的问题, 其实单片机的第二功能是不需要人工干预的, 也就是说只要 CPU 执行到相应的 指令, 就自动转成了第二功能 思考 : 输入和输出口简称 I/O 口, 是单片机与外部电路接口的唯一途径,4 个并行口的结构 是有一定区别的, 如何根据系统的设计要求和产品用途来正确灵活地使用是初学者 必须掌握的基本功, 还需要清楚其功能和用途 5. 应用注意事项 () 在无片外扩展存储器的系统中, 这 4 个端口的每一位都可以作为准双向通用 I/O 端口使用 在具有片外扩展存储器的系统中,P2 口作为高 8 位地址线,P0 口作为双向总线, 分时作为低 8 位地址和数据的输入 / 输出线 (2)P0 口作为通用双向 I/O 口使用时, 必须外接上拉电阻 (3)P3 口除了作通用 I/O 口使用外, 各位还具有第二功能 当 P3 口某一位用于第二功能作输出时, 则不能再作通用 I/O 口使用 (4) 当 P0~P4 端口用作输入时, 为了避免误读, 都必须先向对应的输出锁存器写入, 使 FET 截止, 然后再读端口引脚, 例如以下程序 : MOV P, #0FFH MOV A, P.4 单片机存储器知识介绍.4. 概述. 存储器的工作原理存储器就是用来存放数据的地方, 是利用电平的高或低来存放数据的, 也就是说, 存储器实际上存放的是电平的高或低的状态, 而不是习惯上认为的 这样的数字 如图 -5 所示为存储器的内部结构示意图 6

17 第章单片机基础知识7 图 -5 存储器的内部结构示意图从图 -5 可以看出, 一个存储器就像一个小抽屉, 一个小抽屉里有 8 个小空间, 也就是单片机的 8 位小盒子, 每个小盒子用来存放 位电荷, 电荷通过与其相连的电线传进来或释放掉, 至于电荷在小盒子里是怎样存放的, 可以把电线想象成水管, 小盒子里的电荷就像是水, 这样就好理解了, 存储器中的一个小抽屉称为一个单元, 相当于 个字节, 而一个小盒子就相当于 位, 有了这样一个构造, 就可以开始存放数据了 例如, 要放进一个数据 00000, 只要把第 号小盒子里存满电荷, 而其他小盒子里的电荷放掉即可 可是问题又出来了, 一个存储器有好多相同的单元, 线是并联的, 看 D7~D0 在放入电荷时, 会将电荷放入所有的字节单元中, 而释放电荷时, 会把每个单元中的电荷都放掉, 这样, 不管存储器有多少个字节单元, 都只能放同一个数, 这当然不是所希望出现的, 因此, 要在结构上稍作变化, 图 -5 中, 在每个单元上有一根线与译码器相连, 想要把数据放进哪个单元, 就通过译码器给那个单元发信号, 由译码器通过这根线把相应的开关打开, 这样电荷就可以自由地进出了, 那么这样是不是就能随意地向存储器写入或者读出数据了呢? 其实还不能, 继续看图 -5 中与 D7~D0 相连的还有一个控制器, 这根连线叫写入 / 读出控制线, 当向存储器写入数据时, 必须先把开关切换到写入端, 而要读出数据时, 需先把开关切换到读出端, 片选端则是为了区分不同的存储器设置的 2. 存储器的片选及总线的概念上面简单了解了存储器的工作原理, 下面再来关注另外一个问题, 送入每个字节的 8 根线从何而来? 其实是从单片机的外部引脚上接过来的, 一般这 8 根线除了接一个存储器之外还要接其他器件, 这 8 根线既然不是存储器和单片机之间专用的, 如果总是将某个单元接在这 8 根线上, 就会出现问题, 例如, 存储器单元中的数值是, 另一个存储器的单元数值是 , 那么这根线是处于高电平还是低电平? 所以必须让它们分离, 方法很简单, 当外面的线接到集成电路的引脚上后, 不直接接到各单元, 而是在中间加一组开关, 这组开关就是控制器, 看图 -5, 平时让开关打开, 如果确实要向这个存储器中写入数据, 或要从存储器中读出数据, 再让开关切换到相应的位置即可, 这组开关由 3 根引线选择读控制端 写控制端和片选端, 要将数据写入, 先由控制器选中该片, 然后发出相应的写信号, 开关切换到相应的位置, 并将传过来的数据 电荷写入片中, 如果要读信

18 单片机并行总线开发及模块设计号, 先选中该片, 然后发出读信号, 开关也切换到相应的位置上, 数据就被送出去了, 另外, 读和写信号还同时受到译码器的控制, 由于片选端不同, 所以虽有读或写信号, 但没有片选信号, 另一个存储器就不会错误响应而造成冲突 那么会不会同时选中两个存储器呢? 设计好的系统不会出现这种问题, 如果出现同时选中两个存储器的情况, 那就是电路出现故障了 从上面的介绍中可知, 用来传递数据的 8 根线并不是专用的, 而是由很多器件共用, 所将其称为数据总线 (BUS) 与之联系紧密的还有地址线 5 单片机共有 6 根地址线, 后面章节将详细讲解 既然单片机存储器内存放的是数据, 为什么还要有地址的概念呢? 这好比寄信, 要寄一封信, 就必须写好信的内容, 然后在信封上填写详细地址, 邮局才能按地址投递 给单片机传送数据也一样, 除了要给出立即数 ( 犹如信的内容 ), 还必须知道这个数送达的地址 ( 犹如信的地址或邮政编码 ), 所以必须给每个寄存器 ( 即半导体存储器 ) 规定不同的地址, 只不过在单片机中地址的编码也是用数字来表示的, 那么单片机中有多少个寄存器, 寄存器的地址又是如何规定的呢? 下面看一下 5 单片机的存储结构及空间分配 单片机的存储器结构一般有两种基本形式 : 一种是在通用微型计算机中广泛采用的将程序存储器和数据存储器合用一个存储空间的结构, 称为普林斯顿 (Princeton) 结构或冯 诺依曼结构 ; 另一种是将程序存储器 ROM 和数据存储器 RAM 截然分开, 分别寻址的结构, 称为哈佛 (Har-Vard) 结构 Intel 公司的 MCS-5 和 80C5 系列单片机采用的是哈佛结构 目前的单片机较多采用程序存储器 ROM 和数据存储器 RAM 截然分开的结构 在哈佛结构中, 两种存储器单独编址, 指令不一样 内外 ROM 统一编址 (MOVC), 内外 RAM 分开编址 ( 内 MOV, 外 MOVX), 外设和外 RAM 要统一编址 (MOVX), 占用一部分地址单元 在物理层面, 有 4 个相互独立的存储空间 : 片内和片外程序存储器 ; 片内和片外数据存储器 在逻辑上, 有 3 个彼此独立的地址空间, 即片内外统一编地址的 64KB 程序存储器地址空间 256B 的片内数据存储器地址空间和 64KB 片外数据存储器地址空间 如图 -6 所示为 MCS-5 系列存储器地址空间分配图 内部数据存储器外部数据存储器程序存储器 图 -6 MCS-5 系列存储器地址空间分配图 8

19 第章单片机基础知识9.4.2 程序存储器 89C5 单片机最多可寻址 64KB 的程序存储器 89C5 的内部有 4KB 的 Flash ROM 空间, 其寻址范围为 0000H~0FFFH, 换算为十进制数, 即为 0~4095( 单位 : 字节 ) 这 4KB 的 ROM 空间用于存放为单片机编写的程序 单片机执行指令时就是一条一条顺序地从 ROM 中寻找指令并执行 如图 -7 所示为单片机 ROM 空间 图 -7 单片机 ROM 空间 EA 0 时, 全部自动执行片外程序存储器 ; EA 时, 先执行片内程序存储器, 执行完 4KB 后, 自动执行片外程序存储器.4.3 数据存储器 89C5 单片机数据存储地址空间由内部和外部数据存储器空间组成, 需要注意的是, 内部和外部数据存储器空间存在地址重叠, 如图 -8 所示 如图 -9 所示为 AT89S5 单片机特殊功能寄存器分布图. 内部数据存储器 89C5 内部共有 28B 的 RAM 空间, 加上内部特殊功能寄存器空间, 其寻址范围为 00H~FFH, 可以被分成 4 个区域 : () 区域 00H~FH, 安排了 4 组工作寄存器, 每组用 8B, 共 32B, 分别为 R0~R7 在同一时刻, 只能用其中的一组工作寄存器, 实现控制要用到程序状态字 PWS 中的 RS0 RS 两位 (2) 区域 20H~2FH, 共 6B, 除了可以作为一般的 RAM 单元读写外, 还可以对每个字节的每一位, 即每一个抽屉中的每一个小盒子进行操作, 并且对这些位都规定了固定的位地址, 从 20H 单元的第 0 位开始到 2FH 单元的第 7 位结束, 共 28 位

20 单片机并行总线开发及模块设计FFFFH FFH 80H 7FH 00H SFR 内部 RAM 0000H 外部 RAM 64KB 图 -8 数据存储器示意图 图 -9 AT89S5 单片机特殊功能寄存器分布图 (3) 区域 30H~7FH, 是一般的 RAM 单元地址, 共 80B 内部数据存储器可用以下关键字说明 data: 直接寻址区, 为内部 RAM 的低 28B, 即 00H~7FH idata: 间接寻址区, 包括整个内部 RAM 区 00H~FFH bdata: 可位寻址区,20H~2FH (4) 区域 80H~FFH, 是特殊功能寄存器地址 89C5 提供 28B 的 SFR 寻址区, 该区域中可位寻址 字节寻址或字寻址, 用以控制定时器 计数器 串口 I/O 及其他部件, 可由以下几种关键字说明 sfr: 字节寻址, 如 sfr P0=0x80; 指定 P0 口地址为 80H sfr6: 字寻址, 如 sfr T2=0xCC; 指定 Timer2 口地址,T2L=0xCC,T2H=0xCD sbit: 位寻址, 如 sbit EA=0xAF; 指定第 0xAF 位为 EA, 即中断允许 还可以有如下定义方法 : SbitV0=PSW^2;( 定义 V0 为 PSW 的第 2 位 ) 20

21 2. 外部数据存储器 外部 RAM 视使用情况可由以下关键字标识 xdata: 可指定多达 64KB 的外部直接寻址区, 地址范围为 0000H~0FFFFH pdata: 能访问 页 (256B) 的外部 RAM, 主要用于紧凑模式 (Compact Model) 3. 关于数据存储器 () 根据地址总线宽度, 在片外可扩展的存储器最大容量为 64KB, 地址范围为 0000H~FFFFH (2) 片外数据存储器与程序存储器的操作使用不同的指令和控制信号, 允许两者的地址重复, 因此, 片外要扩展的数据存储器与程序存储器各为 64KB (3) 片外数据存储器与片内数据存储器的操作指令亦不同 ( 对片外 RAM 用 MOV 指令 ), 所以也允许二者的地址重复, 内部数据存储器的地址为 00H~FFH, 外部扩展数据存储器的地址可以为 0000H~FFFFH 注意 : 采用 R0 Rl 或 DPTR 寄存器间址方式访问片外数据存储器 当采用 R0 Rl 间址时只能访问低 256B, 采用 DPTR 间址可访问整个 64KB 空间.4.4 单片机存储模式 存储模式决定了没有明确指定存储类型的变量 函数参数等的默认存储区域, 共 3 种.Small 模式 所有默认变量参数均装入内部 RAM, 优点是访问速度快, 缺点是空间有限, 只适用于小程序 2.Compact 模式 所有默认变量均位于外部 RAM 区的一页 (256B), 具体页可由 P2 口指定, 在 STARTUP.A5 文件中说明, 也可用 pdata 指定, 优点是空间较 Small 模式宽裕, 速度较 Small 模式慢, 较 Large 模式快, 是一种中间状态 3.Large 模式 所有默认变量可放在多达 64KB 的外部 RAM 区, 优点是空间大, 可存变量多, 缺点是速度较慢.5 单片机 CPU 的时序.5. 单片机的时序. 时序的由来 单片机执行指令的过程就是顺序地从 ROM 程序存储器中取出指令, 一条一条地顺序执行, 然后进行一系列微操作控制, 来完成各种指定的动作, 在协调内部的各种动作时必须要有一定的顺序, 换句话说, 就是这一系列微操作控制信号在时间上要有一个严格的先 第章单片机基础知识2

22 单片机并行总线开发及模块设计后次序, 这种次序就是单片机的时序, 好比学校上课时用的铃声, 为了保证课堂秩序, 学校必须在铃声的统一协调下安排各个课程和活动, 那么单片机的时序是如何规定的呢? 2. 时序的周期 计算机每访问一次存储器的时间, 称为一个机器周期, 它是一个时间基准, 就像日常生活中使用的秒一样, 计算机中一个机器周期包括 2 个振荡周期 而振荡周期就是振荡源的周期, 也就是使用的晶振的时间周期, 一个 2M 晶振的时间周期是 T=/f, 也就是 /2µs, 那么 2M 晶振的单片机的一个机器周期就应该等于 2 /2µs, 也就是 µs 在 89C5 单片机中, 有些指令只要一个机器周期, 而有些指令则需要 2~3 个机器周期, 另外还有两条指令需要 4 个机器周期, 衡量指令执行时间的长短要用到一个新的概念 指令周期, 即执行一条指令所需的机器周期 Intel 公司规定了每一条指令执行的机器周期, 当然这不需要记住, 不过在这里 DJNZ 指令是要记住的, 它是双周期指令, 执行一次需要两个机器周期, 即 2µs, 则 2M 晶振的延时时间是 µs=25000µs, 也就是 25ms, 即 0.25s, 所以 LED 灯闪烁很快.5.2 单片机的时钟电路大家已经知道, 单片机是在一定的时序控制下工作的, 那么时序和时钟又有什么关系呢? 时钟是时序的基础, 单片机本身就如同一个复杂的同步时序电路, 为了保证同步工作方式的实现, 电路要在唯一的时钟信号控制下按时序工作, 那么单片机内的时钟是如何产生的呢?. 内部时钟电路在 MCS-5 单片机的内部, 有一个高增益的反相放大器, 其输入端为引脚 XTAL(9), 输出端为引脚 XTAL2(8), 只要在外部接上两个电容和一个晶振, 就能构成一个稳定的自激振荡器, 如图 -20 所示 这里主要讲解电容和晶振的选择 晶振的大小与单片机的振荡频率有关, 待介绍串行接口时再详细讲解, 电容的大小影响振荡器振荡的稳定性和起振的快速性, 通常选择 0~30pF 的瓷片电容或校正电容 另外在设计电路时, 晶振和电容应尽可能地靠近芯片以减少 PCB 板的分布电容, 保证振荡器工作的稳定性, 提高系统的抗干扰能力 单片机的时钟振荡电路有两种方式 : 图 -20 单片机的时钟振荡电路 () 由单片机外部的独立振荡电路产生的时钟信号, 通过单片机 XTAL 引脚输入, 22

23 再经 2 分频后为单片机提供工作时钟信号 (2) 通过外接晶振和两个 30pF 的起振电容, 与单片机内部的反相器构成振荡电路, 产生振荡信号, 经 2 分频后为单片机提供工作时钟信号 在这里采用了第二种方式获得时钟振荡信号 2. 外部时钟电路除了内部时钟方式外, 单片机还可以采用引入外部时钟的振荡方式 当系统由多片单片机组成时, 为了保证各单片机之间时钟信号的同步, 就应当引入唯一公用的外部脉冲信号作为各单片机的振荡脉冲, 此时应将 XTAL2 悬空不用, 外部脉冲信号由 XTAL 引入, 如图 -20 的右图所示 振荡周期 : 为单片机提供定时信号的振荡源的周期, 若为内部产生方式, 为石英晶体的振荡周期 时钟周期 : 也称为状态周期, 用 S 表示 时钟周期是计算机中最基本的时间单位, 在一个时钟周期内,CPU 完成一个最基本的动作 MCS-5 单片机中一个时钟周期为振荡周期的 2 倍 机器周期 : 完成一个基本操作 ( 如取指令 存储器读 存储器写等 ) 所需要的时间称为机器周期 MCS-5 的一个机器周期含有 6 个时钟周期 指令周期 : 完成一条指令所需要的时间称为指令周期 MCS-5l 的指令周期含 ~ 4 个机器周期不等, 其中多数为单周期指令, 还有 2 周期和 4 周期指令 4 周期指令只有乘 除两条指令 如图 -2 所示为 MCS-5 单片机各种周期的相互关系 图 -2 MCS-5 单片机各种周期的相互关系.6 单片机的外部接口及其扩展.6. 中断系统在 CPU 与外设交换信息时, 存在一个快速的 CPU 与慢速的外设间的矛盾 为解决这 第章单片机基础知识23

24 单片机并行总线开发及模块设计个问题, 发展了中断的概念 当 CPU 正在处理某项事务时, 如果外界或内部发生了紧急事 件, 要求 CPU 暂停正在处理的工作转而去处理这个紧急事件, 待处理完以后再回到原来被 中断的地方, 继续执行原来被中断的程序, 这样的过程称为中断 向 CPU 提出中断请求的 源称为中断源 当 CPU 正在处理一个优先级低的中断请求时, 如果发生另一个优先级比它高的中断请 求,CPU 将暂停正在处理的中断源的处理程序, 转去处理优先级高的中断请求, 待处理完 以后, 再回到原来正在处理的低级中断程序, 这种高级中断源中断低级中断源的中断处理 称为中断嵌套 5 单片机总共有 6 个中断源, 包括两个外部中断 3 个定时器中断和一个 串行接口中断 6 个中断源可分为两级中断优先级, 每个中断源都有一个对应中断请求标 志位, 设置在特殊功能寄存器 TCON 和 SCON 中 在 5 单片机中断系统中, 中断允许或 禁止是由片内的中断允许寄存器 IE 控制的, 中断寄存器各位的含义如表 -5 所示 表 -5 中断寄存器位功能 符 号 位 置 功 能 EA IE.7 全局中断使能位 如果 EA=0, 中断全部关闭 如果 EA=, 相应位定 - IE.6 保留 ET2 IE.5 定时器 2 中断使能位 ES IE.4 串口中断使能位 ET IE.3 定时 中断使能位 EX IE.2 外部中断 使能位 ET0 IE. 定时器 0 中断使能位 EX0 IE.0 外部中断 0 使能位 AT89S5 对每一个中断请求源都可以编程为高优先级中断源或低优先级中断源 中断 优先级是由片内的中断优先级寄存器 IP 控制的,IP 寄存器中各位的功能如表 -6 所示 中 断优先级控制寄存器 IP 中的各个控制位都可由编程来置位或复位 ( 用位操作指令或字节操 作指令 ), 单片机复位后 IP 中各位均为 0, 各个中断源均为低优先级中断源 表 -6 中断优先级控制寄存器 符 号 位 置 功 能 - IP.7 保留 - IP.6 保留 PT2 IP.5 定时器 2 中断优先级控制位 PS IP.4 串口中断优先级控制位 PT IP.3 定时器 优先级控制位 PX IP.2 外部中断 优先级控制位 PT0 IP. 定时器 0 优先级控制位 PX0 IP.0 外部中断 0 优先级控制位.6.2 定时器 / 计数器 AT89S5 单片机内部有 3 个 6 位可编程定时器, 简称为定时器 T0 T 和 T2 由于 T0 和 T 定时器的结构和早期的 5 系列单片机系统相同, 这里不再叙述, 主要讲解关于 24

25 T2 定时器的结构 操作模式和控制寄存器 T2CON 的作用是控制定时器 2 的工作模式 启 停, 以及标志定时器 2 的溢出和中断情况 定时器 2 的格式如表 -7 所示 表 -7 定时器 2 控制寄存器 T2CON 位序号 位符号 位功能 7 TF2 定时器 2 溢出标志 当定时器 2 溢出时, 由硬件使 TF2 置, 并且申请中断, 必须由软件清零 当 RCLK 或者 TCLK 等于 时,TF2 不会被置位 6 EXF2 定时器 2 外部标志位 当 T2EX 引脚上有负跳变, 同时 EXEN2 等于, 处于捕捉模式或再装载模式时,EXF2 被置位 5 RCLK 接收时钟使能位 4 TCLK 发送时钟使能位 3 EXEN2 定时器 2 外部使能位 2 TR2 定时器 2 启动 停止位 C/T2 定时器 2 定时计数选择位 0 CP/RL2 捕捉模式 再装载模式选择位 定时器 2 的工作模式通过 T2CON 设置, 有 3 种工作模式 : 捕捉模式 自动再加载模 式 ( 加或减计数器 ) 和用作波特率发生器 定时器 2 的工作模式如表 -8 所示 表 -8 定时器 2 的工作模式 RCLK+TCLK CP / RL2 TR2 MODE 0 (RCLK 和 TCLK 全为 0) 0 6 位自动装载模式 0 (RCLK 和 TCLK 全为 0) 6 位捕捉模式 ( 仅 RCLK 和 TCLK 其中一个为 ) X 波特率发生器 X X 0 关.6.3 串口 AT89S5 单片机内部的串行口是全双工的, 即能同时发送和接收数据 发送缓冲器只能写入而不能读出 ; 接收缓冲器只能读出而不能写入 串行接口还有接收缓冲的作用, 即从接收寄存器中读出前一个已收到的字节之前就能开始接收第二字节 两个串行接口数据缓冲器 ( 实际上是两个寄存器 ) 通过特殊功能寄存器 SBUF 访问 写入 SBUF 的数据存储在发送缓冲器中, 用于串行发送 ; 从 SBUF 读出的数据来自接收缓冲器 两个缓冲器共用一个地址 99H( 特殊功能寄存器 SBUF 的地址 ), 与通用的 5 单片机串行接口相同, 不再详细叙述.6.4 特有寄存器辅助寄存器 AUXR 的作用是设定单片机工作时外部端口的一些时序, 各位的功能如表 -9 所示, 在复位以后的值为 00 0B 第章单片机基础知识25

26 单片机并行总线开发及模块设计表 -9 辅助寄存器 AUXR 位序号 位符号 位功能 WDIDLE WDT 在空闲模式下的禁止 / 允许位当 WDIDLE=0 时,WDT 在空闲模式下继续计数当 WDIDLE= 时,WDT 在空闲模式下暂停计数 3 DISRTO 禁止 / 允许 WDT 溢出时的复位输出当 DISRTO=0 时,WDT 定时器溢出时, 在 RST 引脚输出一个高电平脉冲当 DISRTO= 时,RST 引脚为输入脚 2 0 DISALE ALE 禁止 / 允许位当 DISALE=0 时,ALE 有效, 发出恒定频率脉冲当 DISALE= 时,ALE 仅在 CPU 执行 MOVC 和 MOVX 类指令时有效, 不访问外寄存器时,ALE 不输出脉冲信号 辅助寄存器 AUXR 的作用也是设定单片机工作时外部端口的一些时序 寄存器 AUXR 各位的功能如表 -0 所示, 在复位以后的值为 0B 表 -0 辅助寄存器 AUXR 位序号 位符号 位功能 DPS 数据指针寄存器选择位当 DPS=0 时, 选择数据指针寄存器 DPRT0 当 DPS= 时, 选择数据指针寄存器 DPRT AT89S5 有一个看门狗, 以前的 80C52 和 AT89C52 是没有的 看门狗可以看作是当 CPU 在执行指令出现混乱时恢复系统的一个方法 AT89S5 的看门狗由一个 4 位计数器 和看门狗定时器复位寄存器 (WDTRST) 组成 为了启动看门狗, 用户必须按顺序向看门 狗定时器复位寄存器写入 EH 和 EH 当看门狗启动以后, 用户必须隔一定时间向看门狗 定时器复位寄存器写入 EH 和 EH 以防止看门狗溢出 在单片机处于电源关闭模式时, 不需要向 WDTRST 写入数值 AT89S5 有 3 个锁定位, 可以编程也可以不编程, 通过 3 26

27 个锁定位的设定,AT89S5 可以获得不同的功能, 具体的功能如表 - 所示 表 - 编程锁定位说明 模 式 LB LB2 LB3 功 能 U U U 没有编程锁定特征 2 P U U 来自外部程序存储器的 MOVC 指令被禁止, 以防止从内部程序存储器中读取代码 在单片机复位时, 引脚 EA 被采样和锁定 对内部程序存储器 FLASH 的编程被禁止 3 P P U 同模式 2, 但校验被禁止 4 P P P 同模式 3, 但从外部程序存储器执行程序被禁止 第章单片机基础知识27

DPJJX1.DOC

DPJJX1.DOC 8051 111 2K 1 2 3 ' ' 1 CPU RAM ROM / A/D D/A PC CPU 40 68 10 20 8 51 PIII 8051 2 MCS51 8051 8031 89C51 8051 8031 89C51? MCS51 INTEL INTEL 8031 8051 8751 8032 8052 8752 8051 8051 8051 MCS51 8031 8031

More information

Microsoft Word - 第1章 MCS-51单片机基础.docx

Microsoft Word - 第1章 MCS-51单片机基础.docx 51 单片机应用开发实战手册 作者 : 华清远见 第 1 章 MCS-51 单片机基础 本章目标 单片机作为嵌入式微控制器在工业测控系统 智能仪器和家用电器中得到广泛 应用 虽然单片机的品种很多, 但 MCS-51 系列单片机仍不失为单片机中的主流机 型 本章主要介绍 MCS-51 单片机的基础知识和学习要点, 以目前应用最为广泛的 AT89SXX 系列单片机为例, 介绍单片机的历史发展 应用领域

More information

W77E58中文手册

W77E58中文手册 W77E58 77E58 8051 8051 8051 77E58 8051 1.5 3 COMS 32K EEPROM 1K SRAM 1 8 2 40M 4 3 8051 4 8051 5 4 8 I/O 6 4 I/O 44 PLCC QFP 7 16 / 8 12 9 10 11 1K 12 13 16 DPTR 14 15 DIP40 W77E58 25/40 PLCC44 W77E58P

More information

51 C 51 isp 10 C PCB C C C C KEIL

51 C 51 isp 10   C   PCB C C C C KEIL http://wwwispdowncom 51 C " + + " 51 AT89S51 In-System-Programming ISP 10 io 244 CPLD ATMEL PIC CPLD/FPGA ARM9 ISP http://wwwispdowncom/showoneproductasp?productid=15 51 C C C C C ispdown http://wwwispdowncom

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File 51 C 51 51 C C C C C C * 2003-3-30 pnzwzw@163.com C C C C KEIL uvision2 MCS51 PLM C VC++ 51 KEIL51 KEIL51 KEIL51 KEIL 2K DEMO C KEIL KEIL51 P 1 1 1 1-1 - 1 Project New Project 1 2 Windows 1 3 N C test

More information

另外,AT89S52 可降至 0Hz 静态逻辑操作, 支持 2 种软件可选择节电模式 空闲模式下,CPU 停止工作, 允许 RAM 定时器/ 计数器 串口 中断继续工作 掉电保护方式下,RAM 内容被保存, 振荡器被冻结, 单片机一切工作停止, 直到下一个中断或硬件复位为止 8 位微控制器 8K 字

另外,AT89S52 可降至 0Hz 静态逻辑操作, 支持 2 种软件可选择节电模式 空闲模式下,CPU 停止工作, 允许 RAM 定时器/ 计数器 串口 中断继续工作 掉电保护方式下,RAM 内容被保存, 振荡器被冻结, 单片机一切工作停止, 直到下一个中断或硬件复位为止 8 位微控制器 8K 字 AT89C52 中文资料 AT89C52 的中文资料 AT89C52 是美国 Atmel 公司生产的低电压 高性能 CMOS 8 位单片机, 片内含 8KB 的可反复檫写的程序存储器和 12B 的随机存取数据存储器 (RAM), 器件采用 Atmel 公司的高密度 非易失性存储技术生产, 兼容标准 MCS- 51 指令系统, 片内配置通用 8 位中央处理器 (CPU) 和 Flash 存储单元, 功能强大的

More information

at89S52.doc

at89S52.doc 主要性能 与 MCS-51 单片机产品兼容 8K 字节在系统可编程 Flash 存储器 1000 次擦写周期 全静态操作 :0Hz~33Hz 三级加密程序存储器 32 个可编程 I/O 口线 三个 16 位定时器 / 计数器 八个中断源 全双工 UART 串行通道 低功耗空闲和掉电模式 掉电后中断可唤醒 看门狗定时器 双数据指针 掉电标识符 8 位微控制器 8K 字节在系统可编程 Flash R 功能特性描述

More information

Microsoft Word - 專題封面.doc

Microsoft Word - 專題封面.doc 逢 甲 大 學 資 訊 工 程 學 系 專 題 研 究 報 告 8051 小 遊 戲 -21 點 指 導 教 授 : 陳 德 生 學 生 : 許 博 益 中 華 民 國 九 十 六 年 六 月 I 目 錄 第 一 章 緒 論 1 1-1 研 究 背 景 1 1-2 研 究 動 機 2 1-3 研 究 目 的 3 1-3-1 21 點 源 起 3 1-3-2 21 點 規 則 3 第 二 章 微 電

More information

目 录

目 录 1 Quick51...1 1.1 SmartSOPC Quick51...1 1.2 Quick51...1 1.3 Quick51...2 2 Keil C51 Quick51...4 2.1 Keil C51...4 2.2 Keil C51...4 2.3 1 Keil C51...4 2.4 Flash Magic...9 2.5 ISP...9 2.6...10 2.7 Keil C51...12

More information

PowerPoint Presentation

PowerPoint Presentation 7.2 并行接口 二. 可编程并行接口芯片 8255A 的功能及其应用 与 CPU 一侧相连的引脚 D0 PA0 ~ ~ ~ ~ ~ D7 PA7 RESET PC7 WR RD PC4 PC3 PC0 A0 PB0 A1 PB7 CS PA 口 PC 口上半部 PC 口下半部 PB 口 A 组 B 组 与 I/O 设备相连的引脚 7.2 并行接口二. 可编程并行接口芯片 8255A 的功能及其应用

More information

电子驿站技术文档

电子驿站技术文档 AT89C52 中文资料 电子驿站 http:// www.ourmpu.com E-mail: support@ourmpu.com AT89C52 是美国 ATMEL 公司生产的低电压, 高性能 CMOS 8 位单片机, 片内含 8k bytes 的可反复擦写的只读程序存储器 (PEROM) 和 256 bytes 的随机存取数据存储器 (RAM), 器件采用 ATMEL 公司的高密度 非易失性存储技术生产,

More information

6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C C C51 C51 ANSI C MCS-51 C51 ANSI C C C51 bit Byte bit sbit

6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C C C51 C51 ANSI C MCS-51 C51 ANSI C C C51 bit Byte bit sbit 6 C51 ANSI C Turbo C C51 Turbo C C51 C51 C51 C51 C51 C51 C51 C51 C51 6.1 C51 6.1.1 C51 C51 ANSI C MCS-51 C51 ANSI C C51 6.1 6.1 C51 bit Byte bit sbit 1 0 1 unsigned char 8 1 0 255 Signed char 8 11 128

More information

STC90C51RC-cn.pdf

STC90C51RC-cn.pdf STC90.STC90C5RC/RD+ STC90C5RC/RD+ 805 5.5V - 3.3V 3.6V - 2.0V V 040MHz080MHz48MHz 4K / 8K / 3K / 6K / 32K / 40K/ 48K/ 56K/ 6K 28052RAM I/O35/39P/P2/P3/P4805I/OP0 I/O ISP/ IAP RxD/P3.0, TxD/P3. EEPROM MAX80

More information

128K Flash EPROM 的程序?\(Bank=64K\) 切?

128K Flash EPROM 的程序?\(Bank=64K\) 切? 应用说明 华邦 8 位单片机 (8051 内核 ) 应用说明 华邦 8 位单片机 (8051 内核 ) ANSC-UC08-0007 目录 - 1. 应用说明 :...4 1.1...4 1.2 相关寄存器介绍...4 1.2.1 串行口控制寄存器 (SCON)... 4 1.2.2 串行数据缓冲寄存器 (SBUF)... 5 1.2.3 串行口控制 1 (SCON1)... 5 1.2.4 串行数据接收缓冲

More information

Microsoft PowerPoint - 第一章(07版).PPT

Microsoft PowerPoint - 第一章(07版).PPT 单片机原理及综合设计 嵌入式微控制器的开发入门 微机及单片机原理原理实验室综合楼 419 室 大连理工大学电信学院陈育斌 1 ( 理论课 ) 上课时间表 (10~17 周 ) 周次 星期 一 二 三 四 五 六 日 1 至 6 时间地点 大五节综 309 (18:00) 大连理工大学电信学院陈育斌 2 课程总体安排 总学时 : 理论课 + 实验课 =36 学时 其中 : 理论课 :24: 学时 ;

More information

第十四章 STC单片机比较器原理及实现

第十四章 STC单片机比较器原理及实现 第 14 章 STC 单片机比较器 原理及实现 何宾 2015.02 1 本章主要内容 STC 单片机比较器结构 STC 单片机比较器寄存器组 STC 单片机比较器应用 2 STC 单片机比较器结构 STC15W 系列单片机内置了模拟比较器 对于 STC15W201S STC15W404S, 以及 STC15W1K16S 系 列单片机的比较器内部结构 3 STC 单片机比较器结构 S T C 15W

More information

Microsoft PowerPoint - vlsi_chapter02

Microsoft PowerPoint - vlsi_chapter02 第 2 章 MOSFET 逻辑设计 本章目录 2.1 理想开关 2.2 MOSFET 开关 2.3 基本的 CMOS 逻辑门 2.4 CMOS 复合逻辑门 2.5 传输门电路 2.6 时钟控制和数据流控制 2017-9-7 第 2 章 MOSFET 逻辑设计 1 2.1 理想开关 1 高电平有效的控制开关 2 低电平有效的控制开关 y = x? 2017-9-7 第 2 章 MOSFET 逻辑设计

More information

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe   Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L 1 SH88F516 8051 64K FLASH 1024 EEPROM SH88F516 JET51 S-Lab Keil µ vision ISP51 Keil µ vision ISP51 ISP51 PC RS232 MCU SH88F516 SH88F516 1.1 SH88F516 1.1 SH88F516 SH88Fxx: QFP44, DIP40 RAM Flash E2 ADC

More information

AT89S51

AT89S51 AT89S51 中文资料 AT89S51 是美国 ATMEL 公司生产的低功耗, 高性能 CMOS8 位单片机, 片内含 4kbytes 的可系统编程的 Flash 只读程序存储器, 器件采用 ATMEL 公司的高密度 非易失性存储技术生产, 兼容标准 8051 指令系统及引脚 它集 Flash 程序存储器既可在线编程 ( ISP) 也可用传统方法进行编程及通用 8 位微处理器于单片芯片中,ATMEL

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

图 内部结构图 8251 的外部引脚如图 所示, 共 28 个引脚, 每个引脚信号的输入输出方式如图中的箭 头方向所示

图 内部结构图 8251 的外部引脚如图 所示, 共 28 个引脚, 每个引脚信号的输入输出方式如图中的箭 头方向所示 实验题目 : 串行接口芯片 8251 实验目的 : 1. 掌握 8251 的工作方式及应用 2. 了解有关串口通讯的知识 串行接口芯片 8251 3. 掌握使用 8251 实现双机通讯的软件编程和电路连接 实验设备 : IA-32 架构的微机系统及应用教学平台两套 实验原理 : 1. 8251 的基本性能 性能 : 8251 是可编程的串行通信接口, 可以管理信号变化范围很大的串行数据通信 有下列基本

More information

学年本科教学和思政工作情况汇报

学年本科教学和思政工作情况汇报 微机原理与接口技术 第 0 章计算机基础知识 浙江大学 王晓萍 内容提要 计算机是计算数学与微电子学相结合的产物 微电子学的基本电 路及集成电路是计算机的硬件基础, 而计算数学的计算方法与数据结 构则是其软件基础 本章分为 3 个教学单元 补充介绍计算机的基础知识, 包括常用的数制与转换, 微机中数值的表示方法, 以及字母 字符的二进制编码 ; 微机的基本组成电路 (6 种逻辑电路 触发器 寄存器

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 STC 单片机比较器原理及实现 主讲 : 何宾 Email:hebin@mail.buct.edu.cn 2016.03 STC 单片机比较器结构 STC15W 系列单片机内置了模拟比较器 对于 STC15W201S STC15W404S, 以及 STC15W1K16S 系列单片机的比较器内部结 构 STC 单片机比较器结构 从图中可以看出, 比较器正端输入 CMP+ 的输入电压来自单片机的 P5.5

More information

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0 SH79F6431 1. SH79F6431 1T 8051 FLASH SH79F JET51 Keil µ vision JTAG 1.1. SH79F6431 LQFP64 1.2. (Target Board) SH79F6431 1 V 1.0 1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F6431 1 2 1 B. 1(VCC/GND)

More information

<4D6963726F736F667420576F7264202D20B9F9B0EABBCDBBAFAB48DEB3B4C1A5BDB3F8A7692E646F63>

<4D6963726F736F667420576F7264202D20B9F9B0EABBCDBBAFAB48DEB3B4C1A5BDB3F8A7692E646F63> 臺 北 市 立 松 山 高 級 工 農 職 業 學 校 資 訊 科 專 題 製 作 報 告 題 目 : 反 彈 空 間 指 導 老 師 : 余 耀 銘 學 生 : 廖 國 銓 趙 信 瑋 中 華 民 國 102 年 5 月 摘 要 在 這 高 速 科 技 的 起 飛 下, 科 技 都 建 立 起 於 基 礎, 有 些 人 把 這 基 礎 轉 為 理 論, 教 給 大 眾 學 習 ; 有 些 人 利

More information

<4D F736F F F696E74202D20B5DA35D5C2CEA2B4A6C0EDC6F7B9A4D7F7D4ADC0ED2E707074>

<4D F736F F F696E74202D20B5DA35D5C2CEA2B4A6C0EDC6F7B9A4D7F7D4ADC0ED2E707074> 第 5 章 微处理器工作原理 1 5.1 8086 处理器 2 1. 管脚定义 3 8086/88 管脚描述 8086:16 位微处理器, 16 位外部数据总线 8088:16 位微处理器, 8 位外部数据总线 GND AD14 AD13 AD12 AD11 AD10 AD9 AD8 AD7 AD6 AD5 AD4 AD3 AD2 AD1 AD0 NMI INTR CLK GND 1 2 3 4 5

More information

專題最終版.doc

專題最終版.doc The Principle and Application of the Electric Combination Lock The Principle and Application of the Electric Combination Lock Abstract Recently, there are more and more burglaries in the society. It's

More information

MSP430X1XX 系列微控制器具有以下特征 结构框图 超低功耗结构体系 A 额定工作电流在 1MHz V 工作电压 C11X P11X 和 E11X 为 V 从备用模式唤醒为 6 S 丰富的中断能力减少了查询的需要灵活强大的处理能力源操作数有七种寻址模

MSP430X1XX 系列微控制器具有以下特征 结构框图 超低功耗结构体系 A 额定工作电流在 1MHz V 工作电压 C11X P11X 和 E11X 为 V 从备用模式唤醒为 6 S 丰富的中断能力减少了查询的需要灵活强大的处理能力源操作数有七种寻址模 新一代超低功耗 16 位单片机 TI MSP430 系列 美国 TI 公司的 MSP430 系列单片机可以分为以下几个系列 X1XX X3XX X4XX 等等 而且 在不断发展 从存储器角度 又可分为 ROM C 型 OTP P 型 EPROM E 型 Flash Memory F 型 系列的全部成员均为软件兼容 可以方便地在系列各型号间移植 MSP430 系列单片机 的 MCU 设计成适合各种应用的

More information

水晶分析师

水晶分析师 大数据时代的挑战 产品定位 体系架构 功能特点 大数据处理平台 行业大数据应用 IT 基础设施 数据源 Hadoop Yarn 终端 统一管理和监控中心(Deploy,Configure,monitor,Manage) Master Servers TRS CRYSTAL MPP Flat Files Applications&DBs ETL&DI Products 技术指标 1 TRS

More information

SM2965

SM2965 产品清单 SM2965C40, 主频 40MHz, 内带 64KB 闪存的 MCU 总体描述 SM2965 系列产品是一种内嵌 64KB 闪存和 1K 字节 RAM 的 8 位单片微控制器它是 80C52 微控制器家族的派生产品具有在系统可编程 (ISP) 功能其 PDIP 封装具有 32 个 I/O 口而 PLCC/QFP 封装则具有多达 36 个 I/O 口 64K 字节的闪存既可以当作程序空间又可以当作数据空间或者数据和程序混合空间其硬件特征和强大的指令系统使它成为一种性能价格比高的控制器片上闪存的编程可以使用商用编程器进行并行编程也可以根据其

More information

微處理機期末專題

微處理機期末專題 微 處 理 機 期 末 專 題 自 動 鋼 琴 組 員 :b92611004 羅 鈞 瑋 b92611008 吳 妍 儂 b92611038 吳 韋 靜 b92611042 林 佳 穎 一 簡 介 本 組 的 主 題 是 自 動 鋼 琴 在 播 放 音 樂 的 同 時, 鋼 琴 會 自 動 按 下 琴 鍵, 被 按 下 的 琴 鍵 所 對 應 到 的 音 階, 就 是 正 在 撥 放 的 樂 曲 的

More information

<4D F736F F D203034CAB5D1E9CBC D20B5C4494F20BDD3BFDACAB5D1E92E646F63>

<4D F736F F D203034CAB5D1E9CBC D20B5C4494F20BDD3BFDACAB5D1E92E646F63> 实验四 ARM 的 I/O 接口实验 一 实验目的 1. 了解 S3C44B0X 的通用 I/O 接口 2. 掌握 I/0 功能的复用并熟练的配置, 进行编程实验二 实验设备 1. EL-ARM-830 教学实验箱,PentiumII 以上的 PC 机, 仿真器电缆 2. PC 操作系统 WIN98 或 WIN2000 或 WINXP,ARM SDT2.5 或 ADS1.2 集成开发环境, 仿真器驱动程序

More information

电子驿站技术文档

电子驿站技术文档 AT89C2051 中文资料 电子驿站 http:// www.ourmpu.com E-mail: support@ourmpu.com AT89C2051 中文资料 AT89C2051 是美国 ATMEL 公司生产的低电压, 高性能 CMOS 8 位单片机, 片内含 2k bytes 的可反复擦写的只读程序存储器 (PEROM) 和 128 bytes 的随机存取数据存储器 (RAM), 器件采用

More information

Microsoft Word - SC16C550应用实例.doc

Microsoft Word - SC16C550应用实例.doc SCC0 应用实例. 概述 SCC0 是 PHILIPS 推出的一款高性能的 UART 芯片, 其具有 字节 FIFO 和 IrDA 编 / 解码模块 本文将结合电路和程序演示如何使用 SCC0 接收和回送 UART 数据 读者可以根据图 制作 SCC0 的 DEMO 版 ; 在 KEIL C 开发环境下, 可利用 PVRD 的 SOFTICE 功能, 方便调试 SCC0 的各种功能. 电路分析 图

More information

单片机原理及应用实验指导书.doc

单片机原理及应用实验指导书.doc 1 2 3 4...2...4...9...9 AEDK598 MCS51...9 MCS51...10...10...10...10...10...10...11 P1...12...12...12....12...12...13 P3...14...14...14...14...14...14 I/O...15...15...15...15...15...16...17...17...17...17...17...18...19...19

More information

部分编号派生器件编号 (P89CXX) 操作频率, 最大 (V) 温度范围 (B) 封装 (AA,BB,PN) P89C51 FLASH U=33MHz B=0 70 AA=PLCC P89C52 FLASH F= BB=PQFP P89C54 FLASH PN=PDIP P89C58

部分编号派生器件编号 (P89CXX) 操作频率, 最大 (V) 温度范围 (B) 封装 (AA,BB,PN) P89C51 FLASH U=33MHz B=0 70 AA=PLCC P89C52 FLASH F= BB=PQFP P89C54 FLASH PN=PDIP P89C58 PHILIPS P89C5x 单片机数据手册 1 概述 P89C51/89C52/89C54/89C58 含有非易失 FLASH 并行可编程的程序存储器所有器件都是通过引导装载器串行编程 ISP 见 P89C51RC+/89C51RD+ 数据手册种系列单片机采用先进 CMOS 工艺的单片位微控制器是 80C51 微控制器系列的派生和 80C51 指令相同特点 FLASH 器件选择表 ROM/EPRO

More information

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File 51 C 51 51 C C C C C C * 2003-3-30 pnzwzw@163.com C C C C KEIL uvision2 MCS51 PLM C VC++ 51 KEIL51 KEIL51 KEIL51 KEIL 2K DEMO C KEIL KEIL51 P 1 1 1 1-1 - 1 Project New Project 1 2 Windows 1 3 N C test

More information

fgfdg

fgfdg CYGNAL 应用笔记 AN006 Cygnal C8051 IDT71V124 128Kx8 SRAM A[16:8] 地址总线 A[16:8] 74VHC573 8 位锁存器 ALE LE AD[7:0] 地址 / 数据总线 D Q A[7:0] I/O[7:0] CS CS /WR WE /RD OE 引言 图 1. 外部 SRAM 接口框图 本应用笔记的目的是介绍如何将一个通用 SRAM 芯片或一个按存储器寻址的外设部件与

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 The BitCoin Scripting Language 交易实例 交易结构 "result": { "txid": "921a dd24", "hash": "921a dd24", "version": 1, "size": 226, "locktime": 0, "vin": [ ], "vout": [ ], "blockhash": "0000000000000000002c510d

More information

HT46R47 f SYS =4MHz 3.3~5.5V f SYS =8MHz 4.5~5.5V 13 位双向输入 / 输出口 1 个与输入 / 输出共用引脚的外部中断输入 8 位带溢出中断的可编程定时 / 计数器 具有 7 级预分频器 石英晶体或 RC 振荡器 位的程序存储器 P

HT46R47 f SYS =4MHz 3.3~5.5V f SYS =8MHz 4.5~5.5V 13 位双向输入 / 输出口 1 个与输入 / 输出共用引脚的外部中断输入 8 位带溢出中断的可编程定时 / 计数器 具有 7 级预分频器 石英晶体或 RC 振荡器 位的程序存储器 P HT46R/47R/48R/49R 系列 选型指南 HT46R22 f SYS =4MHz 3.3~5.5V f SYS =8MHz 4.5~5.5V 19 位双向输入 / 输出口 1 个与输入 / 输出口线共用的外部输入 16 位具有 7 级预分频带溢出中断的可编程定时 / 计数器 内置石英晶体或 RC 振荡器 2048 14 位的程序存储器 PROM 64 8 位的数据存储器 RAM 支持发声的

More information

<4D F736F F D20C7B0CBC4D5C2D7F7D2B5CCE22E646F6378>

<4D F736F F D20C7B0CBC4D5C2D7F7D2B5CCE22E646F6378> 第一章第二章 Q2 1: 高序 : 最低位字节存储在字的最低位 ; 低序 : 最低位字节存储在字的最高位 ; Q2 2: 冯. 诺依曼结构 : 数据和指令都存储在同一存储器中 ; 哈佛结构 : 数据和程序存储在各自独立的存储器中 Q2 3: a) ARM 有 16 个通用寄存器,r0 r15, 其中 r15 还被用作程序计数器 b) CPSR 是程序状态寄存器, 包含了条件码标识 中断禁止位 当前处理器模式和其他状态

More information

Microsoft Word - IRFWX_A051_C_SyncMOS_with_STC_APN_SC_.doc

Microsoft Word - IRFWX_A051_C_SyncMOS_with_STC_APN_SC_.doc EEPROM 应用说明 1 适用产品 :SM59D03G2 SM59D04G2 series 2 应用范围 : 针对需使用 EEPORM 功能替换 STC 89C5X 的应用及汇编语言之范例程序 ( 使用内部扩充内存为暂存区 ) 3 功能说明 : 3.1 本公司上述产品 EEPORM 功能皆可替换 STC89C5X, 仅需对特殊功能缓存器定义 ( 详见表 1) 及 ISP 命令定义 ( 详见表 2)

More information

untitled

untitled EDM16080-01 Model No.: Editor: 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3

More information

untitled

untitled EDM12864-03 : 25-1 : 116600 : (0411)7612956 7632020 7612955 : (0411)7612958 Model No.: Editor: 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

µPSD3000 系列

µPSD3000 系列 8032 FLASH MCU µpsd3251f-40t6 µpsd3251 8032 FlashPSD Flash SRAM I/O I 2 C, ADC and PWM 8032, 16 / FlashPSD µpsd32 JTAG ISP 2K SRAM Flash - 64K Flash - 16K Flash - Flash 8032 12 I2C ADC 6 I/O 37 I/O 16

More information

器之 间 向一致时为正 相反时则为负 ③大量电荷的定向移动形成电 流 单个电荷的定向移动同样形成电流 3 电势与电势差 1 陈述概念 电场中某点处 电荷的电势能 E p 与电荷量 q Ep 的比值叫做该点处的电势 表达式为 V 电场中两点之间的 q 电势之差叫做电势差 表达式为 UAB V A VB 2 理解概念 电势差是电场中任意两点之间的电势之差 与参考点的选择无关 电势是反映电场能的性质的物理量

More information

1

1 W7E65 1. W7E65 ISP Flash EPROM ISP Flash EPROM 52 W7E65 64K Flash EPROM 4K Flash EPROM 4K Flash EPROM loader 64K ROM 1K RAM 4 I/O 4 I/O P4 3 16 / 2 W7E65 Flash EPROM W7E65 2 2 2. CMOS 12 64K ISP Flash

More information

SST SPAC SST SoftICE SST89C5x/SST89x554RC /564RD /SST89x516/5xRD / SoftICE SoftICE MCU SoftICE SS

SST SPAC SST SoftICE SST89C5x/SST89x554RC /564RD /SST89x516/5xRD / SoftICE SoftICE MCU SoftICE SS SST SoftICE SST89C5x/SST89x554RC /564RD /SST89x516/5xRD2 1...2 1.1...2 1.2...2 1.3 /...2 2 SoftICE...2 3 SoftICE MCU...2 4 SoftICE...3 4.1 SST BootLoader SOFTICE...3 4.2 SoftICE SST MCU...6 5 SoftICE...7

More information

<4D F736F F D20B5A5C6ACBBFAD4ADC0EDD3EBD3A6D3C3BCB B3CCD0F2C9E8BCC65FB5DA33B0E65F2DD6D5B8E52D4E65772DBFB1CEF3B1ED2DB3F6B0E6C9E72E646F6378>

<4D F736F F D20B5A5C6ACBBFAD4ADC0EDD3EBD3A6D3C3BCB B3CCD0F2C9E8BCC65FB5DA33B0E65F2DD6D5B8E52D4E65772DBFB1CEF3B1ED2DB3F6B0E6C9E72E646F6378> 单片机原理与应用及 C51 程序设计 ( 第 3 版 )- 终稿 -New 勘误表 1. P18 页 11 行原来 : 汇编语言 机器语言 功能 MOV AL,01H 1011 0000 0000 0011B ; 把 01 送入累加器 A ADD AL,02H 0000 0100 0000 0101B ;02 与 A 中内容相加, 结 果存入 A HLT 11110100B ; 停止操作 汇编语言

More information

AT89C2051中文资料.doc

AT89C2051中文资料.doc 图形点阵液晶显示模块使用手册 TG12864C(L) 广州捷胜吉电子科技 地址 : 广州市天河区天河路 561# 新赛格电子城 B2226 电话 :(020)33550997 13829772038 网址 :WWW.GZJSJDZ.COM E-mail:CJKD@21CN.COM 目 录 ( 一 ) 概述 (1) ( 二 ) 外形尺寸图 (1) ( 三 ) 模块主要硬件构成说明 (2) ( 四 )

More information

第 卷 第 期 年 月 半 导 体 学 报! " # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% )

第 卷 第 期 年 月 半 导 体 学 报!  # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% ) 第 卷 第 期 年 月!"# $%&'%' $!&'#%#$1/#1 $'! /18103 2?/03101?/18103 /3 0,?/0301.13 )*+!!! '!,!! -.&' $!'! 4%%&1)/1(7%&)03(%)%&,%*(1&0)%$-0*,%30)17*1*)0(+1(1+&1*+*),)1; &113(%44(10&.0701&0-&00*/)%;()1%-1+%&0)0*1*)%

More information

第5章:汇编语言程序设计

第5章:汇编语言程序设计 第 5 章 : 汇编语言程序设计 程 汇编语言指令格式 系统伪指令 存储器选择方式 常用子程序 1 汇编语言程序设计 PIC 指令系统 语言系统 指 CPU 编 器语言 器语言 器语言 设计 用 语言 设计 语言 汇编语言 2 汇编语言指令格式 汇编语言指令格式 ( 指令 ) label opcode operand comment 指令 用 存 指令 指令语 3 汇编语言指令格式 1 指令 用 指令

More information

» n ¹ú KS0108 x ÍÍÙ q n KS0108 KS KS0107 KS0108 KS *32 128*64 192*64 KS0108 KS0108 KS0107 KS =4096 RAM RAM LCD 2 KS

» n ¹ú KS0108 x ÍÍÙ q n KS0108 KS KS0107 KS0108 KS *32 128*64 192*64 KS0108 KS0108 KS0107 KS =4096 RAM RAM LCD 2 KS KS0108 KS0108 8 KS0107 KS0108 KS0108 128*32128*64 192*64 KS0108 KS0108 KS0107 KS0108 1 6464=4096 RAMRAM LCD 2KS0108 64 3KS0108 68 68 4KS0108 1/48--1/64 KS0108 CS1,CS2,CS3 CS1 CS2 CS3 E E KS0108 E R/W R/W=1

More information

untitled

untitled EDM12832-08 : 25-1 : 116600 : (0411)7612956 7632020 7631122 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

* 4 6 R P r p . 1 2 3 4 7 89bk 6 5 1 2 3 4 5 6 7 8 9 0 bk r bl bm bn^ bo bl br bq bpbo bn bm [ ] [ ] [ ] bp 8 2 4 6 bq p [ ] [SET] br clckbt bs bs bt ck cl. 1 2 1 2+- 3 3 . 1 2 3 4 5 6 7 8 9 bk bl bm

More information

Microsoft PowerPoint - Ch CPU总线及其形成.ppt [兼容模式]

Microsoft PowerPoint - Ch CPU总线及其形成.ppt [兼容模式] 2018 版 微机原理与接口技术 第五章 总线及其形成 dminghao@xidian.edu.cn 董明皓 1 2 3 4 5 总线定义及分类几种常用芯片 8086 的引脚功能及时序系统总线的形成 8088 与 8086 的差异 1 2 3 4 5 总线定义及分类几种常用芯片 8086 的引脚功能及时序系统总线的形成 8088 与 8086 的差异 综述 本门课学习的内容 内存 外设 1 外存 1

More information

Microsoft Word - LMB402CBC-AppNote-V0.1.doc

Microsoft Word - LMB402CBC-AppNote-V0.1.doc LMB402CBC 液晶显示模块应用参考 深圳市拓普微科技开发有限公司 版本描述日期编者 0.1 新版本 2006-02-09 杨军 http://www.topwaysz.com 1 / 1 目录 1 概述 3 2 硬件接口 3 3 软件特性 3 3.1 控制器接口信号说明 3 3.2 模块指令系统 4 4 显示数据存储器的地址 4 5 字符发生器 CGRAM 的地址 5 6 应用举例 5 6.1

More information

CH559指令周期.doc

CH559指令周期.doc CH55X 汇编指令周期表 CH55X 汇编指令概述 : 型号包含 : a. 指令单周期型号 :CH557 CH558 CH559; b. 指令 4 周期型号 :CH551 CH552 CH553 CH554; c. 非跳转指令的指令周期数与指令字节数相同 ; d. 跳转指令含 MOVC/RET/CALL 通常比字节数多若干个周期 ; e.movc 指令多 4 或 5 个周期 ( 下条指令地址为奇数时多

More information

从MCS51向AVR的快速转换.PDF

从MCS51向AVR的快速转换.PDF MCS51 AVR MCS51 AVR ATMEL AVR RISC MCS51 AVR 1 MHZ 1MIPS 8MHZ AVR 224MHZ MCS51 FLASH EEPROM ISP WatchDog AVR MCS51 AT90S1200/2313 AT89C1051/2051 AT90S4414/8515 AT89C51/52 PCB / AVR C ICCAVR60 AVR C IAR

More information

(10) 在满足串行口接收中断标志位 RI SCON.0 =0 的条件下, 置允许接收位 REN(SCO N.4)=1 就会启动接收一帧数据进入输入移位寄存器, 并装载到接收 SBUF 中, 同时使 RI =l 当发读 SBUF 命令时 ( 执行 MOV A,SBUF 指令 ), 即是由接收缓冲器

(10) 在满足串行口接收中断标志位 RI SCON.0 =0 的条件下, 置允许接收位 REN(SCO N.4)=1 就会启动接收一帧数据进入输入移位寄存器, 并装载到接收 SBUF 中, 同时使 RI =l 当发读 SBUF 命令时 ( 执行 MOV A,SBUF 指令 ), 即是由接收缓冲器 微机原理与接口技术 -- 历年考研真题复习资料 微型计算机的基本组成由微处理器 存储器 I/O 接口电路和系统总线构成, 输入 / 输出 (I /O) 接口和系统总线组成的, 即中央处理器 CPU( 通常包括运算器和控制器 )+ 存储器 + 输入 / 输出 (I/O) 接口, 单片微处理机就是把 (CPU 存储器和 I/O 接口电路 ) 等部件都集成在电路芯片上, 华中科技大学微机原理与接口技术一

More information

R/W

R/W (HD44780 KS0066 ) 3 3 5 6 10 14 HD44780/KS0066 16 2 LCM 8 1 40 4 LCD HD44780/KS0066 HD44100 IC PCB 0.1 CMOS 1. 2. 3. 4. 5. RH60% 6. 1. 2. 3. PCB 3 4. 5. 6. 1. 280 C 2. 3 4s 3. 4. 5. 3 5 1. 2. IC 3. DC-DC

More information

燃烧器电子控制系统 目录 2

燃烧器电子控制系统 目录 2 聚焦 REC27 燃烧器电子控制系统 燃烧器电子控制系统 目录 2 REC27 燃烧器电子控制系统 2 概述 燃烧器电子控制系统 2 2 2 2 2 A B1 B2 C D E 22 2 2 系统图示 2 2 2 2 2 2 主要特征及优点 燃烧器电子控制系统 2 2 集成控制 2 2 节能 安全运行 运行模式 远程锁定复位 可根据需求提供特殊机型 无接合间隙及机械迟滞 简单的试运行及燃烧器设定 2

More information

Microsoft Word - Delta Controller ASCII_RTU_SC

Microsoft Word - Delta Controller ASCII_RTU_SC Delta Controller ASCII/RTU ( 适用台达变频器 伺服驱动器 PLC 温度控制器 ) 人机默认值通讯速率 :9600, 7, None, 2 (ASCII); 9600, 8, None, 2 (RTU) 控制器站号 :1 控制区 / 状态区 :None/None 控制器接线的说明 Delta Servo a. RS-232(DOP-A/AE/AS, DOP-B 系列适用 )

More information

1 TPIS TPIS 2 2

1 TPIS TPIS 2 2 1 1 TPIS TPIS 2 2 1. 2. 3. 4. 3 3 4 5 4 TPIS TPIS 6 5 350 Mark Coil F3/F6 350 M 150 M 25 M 7.12M 8 M F3 F6 F4 F7 F8 8M AA 7 350 28V 5V IC HCPL2731 0.5mA 6 8 (TPIS) TPIS 9 7 IC AT89C2051 AT89C2051 CMOS8

More information

51 单片机 C 语言 基础程序设计 zicreate.com Jason 编著 - 51 系列单片机 C 语言编程 - 单片机常用外围器件应用总结 目录第一部分 :51 系列 AT89S52 单片机 C 语言程序设计 第 1 章 AT89S52 单片机硬件介绍... - 1-1.1 AT89S52 单片机引脚分布图... - 1-1.2 功能特性描述... - 2-1.3 AT89S52 单片机的主要性能参数...

More information

N79A8211系列单片机内部NVM

N79A8211系列单片机内部NVM 南京立超电子科技有限公司 N79A8211 之 NVM FLASH E 2 PROM 应用笔记 2009 年 03 月 15 中国南京市和燕路 251 号金港大厦 A 幢 2406 室 Room 2406,Tower A,Jingang mansion,251 Heyan Road,Nanjing 210028,P.R.China Tel: 0086-25-83306839/83310926 Fax:

More information

xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结!

xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结! xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结! 以下是串口的时序 : 在设计中, 耽误时间最长的问题就是数据老是出错, 为了找出问题的所在, 用示波器观察了

More information

93C46串行EEPROM数据读写

93C46串行EEPROM数据读写 一 \ 实验目的 93C46 串行 EEPROM 数据读写 1 学会 93C46 的操作指令, 掌握 93C46 读 / 写程序的编写 2 掌握 93C46 与单片机的接口方式二 实验说明 93C46/56/66 是 1K/2K/4K 位的串行电可擦写的 EPROM 93C46 的 1K 位 EPROM, 可以按 128 字节排列, 也可以 64 字节排列 93C46 芯片有 8 脚, 当 ORG

More information

华侨大学 2013 年硕士研究生入学考试专业课试卷 ( 答案必须写在答题纸上 ) 招生专业 精密仪器及机械 测试计量技术及仪器 检测技术与自动化装置 科目名称微计算机原理科目代码 853 一 填空 ( 每空 1 分, 共 30 分 ) 1 计算机的基本结构可分为 1, 2, 3, 4, 5 五个部分

华侨大学 2013 年硕士研究生入学考试专业课试卷 ( 答案必须写在答题纸上 ) 招生专业 精密仪器及机械 测试计量技术及仪器 检测技术与自动化装置 科目名称微计算机原理科目代码 853 一 填空 ( 每空 1 分, 共 30 分 ) 1 计算机的基本结构可分为 1, 2, 3, 4, 5 五个部分 华侨大学 2013 年硕士研究生入学考试专业课试卷 ( 答案必须写在答题纸上 ) 招生专业 精密仪器及机械 测试计量技术及仪器 检测技术与自动化装置 科目名称微计算机原理科目代码 853 一 填空 ( 每空 1 分, 共 30 分 ) 1 计算机的基本结构可分为 1, 2, 3, 4, 5 五个部分 2 八进制与二进制之间转换,(143) 8= 6 B,1 0000 0000.011B 的十进制为

More information

区别:AVR_C51和PIC.doc

区别:AVR_C51和PIC.doc AVR,C51 和 PIC 八位单片机性能比较八位单片机由于内部构造简单, 体积小, 成本低廉, 在一些较简单的控制器中应用很广 即便到了本世纪, 在单片机应用中, 仍占有相当的份额 由于八位单片机种类繁多, 本文仅将常用的几种在性能上作一个简单的比较, 供读者在使用时作参考 1. 51 系列应用最广泛的八位单片机首推 Intel 的 51 系列, 由于产品硬件结构合理, 指令系统规范, 加之生产历史

More information

STC15W4K60S4系列增强型PWM波形发生器应用

STC15W4K60S4系列增强型PWM波形发生器应用 STC15W4K32S4 系列新增 6 通道增强型带死区控制高精度 PWM 波形发生器应用 STC15W4K32S4 系列的单片机集成了一组 ( 各自独立 6 路 ) 增强型的 PWM 波形发生器 PWM 波形发生器内部有一个 15 位的 PWM 计数器供 6 路 PWM 使用, 用户可以设置每路 PWM 的初始电平 另外,PWM 波形发生器为每路 PWM 又设计了两个用于控制波形翻转的计数器 T1/T2,

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

<4D F736F F F696E74202D DB4E6B4A2C6F7C9E8BCC62E BBCE6C8DDC4A3CABD5D>

<4D F736F F F696E74202D DB4E6B4A2C6F7C9E8BCC62E BBCE6C8DDC4A3CABD5D> 2018 版 微机原理与接口技术 第六章 存储器设计 dminghao@xidian.edu.cn 董明皓 准备知识 存储器的性能指标 - 存储容量 ( 常用单位 ) 存储容量的表示 Bit 用二进制位定义存储容量 Byte 用二进制字节定义存储容量 存储容量的常用单位 字 节 B (Byte) 千字节 KB(Kilo Byte) 兆字节 MB(Mega Byte) 吉字节 GB (Giga Byte)

More information

帝国CMS下在PHP文件中调用数据库类执行SQL语句实例

帝国CMS下在PHP文件中调用数据库类执行SQL语句实例 帝国 CMS 下在 PHP 文件中调用数据库类执行 SQL 语句实例 这篇文章主要介绍了帝国 CMS 下在 PHP 文件中调用数据库类执行 SQL 语句实例, 本文还详细介绍了帝国 CMS 数据库类中的一些常用方法, 需要的朋友可以参考下 例 1: 连接 MYSQL 数据库例子 (a.php)

More information

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas 目录 1 IPv6 快速转发 1-1 1.1 IPv6 快速转发配置命令 1-1 1.1.1 display ipv6 fast-forwarding aging-time 1-1 1.1.2 display ipv6 fast-forwarding cache 1-1 1.1.3 ipv6 fast-forwarding aging-time 1-3 1.1.4 ipv6 fast-forwarding

More information

第一章

第一章 单片机原理 STC15F2K60S2 微机原理与单片机接口技术复习要点 第一章微型计算机概述 ( 了解概念 ) 1.1.2 微型计算机基本构成 ( 基本结构由哪些部分构成 ) 1.1.3 单片微型计算机简介 ( 什么是单片机, 单片机的结构及各个部分的功能, 常见的单片机有哪些 ) 1>. 微型计算机基本构成 : 微处理器, 存储器 (ROM RAM) 与输入输出接口 (I/O 接口 ) 及外部设备及连接他们的系统总线组成

More information

HMI COM1 RS SIEMENSE S7-200 RS485

HMI COM1 RS SIEMENSE S7-200 RS485 目录 第一部分维控人机界面串口引脚定义...2 1 LEVI777T COM1 引脚定义原理图...2 2 LEVI777T COM2 引脚定义原理图...2 3 LEVI908T COM1 引脚定义原理图...2 4 LEVI908T COM2/COM3 引脚定义原理图...3 第二部分通信针头...4 1 通信针头...4 第三部分各 PLC 与 LEVI 通信线接法...5 1 西门子 S7-200

More information

lecture21

lecture21 Lecture 21: CPU - Datapath and Control 中央处理器 : 数据通路和控制器 singlepath2 单周期数据通路的设计 主要内容 CPU 的功能及其与计算机性能的关系 数据通路的位置 单周期数据通路的设计 数据通路的功能和实现 - 操作元件 ( 组合逻辑部件 ) - 状态 / 存储元件 ( 时序逻辑部件 ) 数据通路的定时 选择 MIPS 指令集的一个子集作为

More information

MPC82G516A 8 位微控制器 目 录 图表清单... 5 表格清单 综述 功能 方框图 引脚 引脚结构 引脚定义 引脚功能重映射..

MPC82G516A 8 位微控制器 目 录 图表清单... 5 表格清单 综述 功能 方框图 引脚 引脚结构 引脚定义 引脚功能重映射.. Megawin MPC82G516A 8 位微处理器用户手册 ( 第 Ⅱ 版 ) 原著 :By Vincent Y. C. Yu 策划 / 整理 : 许意义 翻译 :www.ourdev.cn 网友 lukeunderwood easygoing yixin czzhouyun lcptw 校对 :www.ourdev.cn 网友 This document contains information

More information

12232A LED LED LED EL EL CCFL EL CCF

12232A LED LED LED EL EL CCFL EL CCF 12232A 0 50-20 +70-30 +85 LED LED LED EL EL CCFL EL CCF 122 x 32 1/32Duty 1/5Bias 6:00 STN( ), EL LED EL/100VAC 400HZ LED/4.2VDC 1 / VDD-VSS 0 6.5 V Ta=25 LCD VDD-V0 0 12.0 V V1 0 VDD V VDD-VSS - 4.75

More information

F515_CS_Book.book

F515_CS_Book.book /USB , ( ) / L R 1 > > > 2, / 3 L 1 > > > 2 + - 3, 4 L 1 了解显示屏上显示的图标 Wap 信箱收到一条 Wap push 信息 ( ) GSM 手机已连接到 GSM 网络 指示条越多, 接收质量越好 2 ...........................4.............................. 4 Micro SD (

More information

<4D F736F F D20534D D3820BFAAB9D8C1BFB2C9BCAFC4A3BFE9>

<4D F736F F D20534D D3820BFAAB9D8C1BFB2C9BCAFC4A3BFE9> SM1650B-8 八路开关量采集模块 说明书 网址 :http://www.sonbest.com 第 1 页共 11 页 概述 SM1650B-8 是开关量信号采集模块, 基于工业用 MODBUS-RTU 协议, 是针对各种工业现场的实际需求设计生产的, 集采集 处理等多种功能于一身的新型工业化模块 为便于工程组网及工业应用, 本模块采用工业广泛使用的 MODBUS-RTU 通讯协议, 支持二次开发,

More information

FPGAs in Next Generation Wireless Networks WPChinese

FPGAs in Next Generation Wireless Networks WPChinese FPGA 2010 3 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 FPGAs in Next Generation Wireless Networks GSM GSM-EDGE 384kbps CDMA2000

More information

查询 AD0809 供应商 捷多邦, 专业 PCB 打样工厂,24 小时加急出货 51 测试网论坛 单片机征文大赛稿件, 非本站以及作者 同意禁止转载, 欢迎会员投稿! 欢迎投票评论! 中国. 深圳.51 测试网

查询 AD0809 供应商 捷多邦, 专业 PCB 打样工厂,24 小时加急出货 51 测试网论坛   单片机征文大赛稿件, 非本站以及作者 同意禁止转载, 欢迎会员投稿! 欢迎投票评论! 中国. 深圳.51 测试网 查询 AD0809 供应商 捷多邦, 专业 PCB 打样工厂,24 小时加急出货 51 测试网论坛 http://www.8951.com/bbs/index.asp 单片机征文大赛稿件, 非本站以及作者 同意禁止转载, 欢迎会员投稿! 欢迎投票评论! 中国. 深圳.51 测试网 www.8951.com AD0809 在 51 单片机中的应用 51 测试网论坛版主 / 黄海我们在做一个单片机系统时,

More information

<4D F736F F D20B5DA31D5C B5A5C6ACBBFAB8C5CAF62E646F6378>

<4D F736F F D20B5DA31D5C B5A5C6ACBBFAB8C5CAF62E646F6378> AVR 单片机 C 语言开发入门与典型实例 ( 修订版 ) 作者 : 华清远见 第 1 章 AVR 单片机概述 本章目标 本章主要介绍 AVR 单片机的发展历史及其主要应用, 并重点介绍了 ATmega128(L) 单片机, 分析其结构 主要特点 性能封装和引脚定义 本章主 要内容包括以下两个方面 AVR 单片机及其发展 ATmega128(L) 单片机简介 1.1 AVR 单片机及其发展 1983

More information

System Design and Setup of a Robot to Pass over Steps Abstract In the research, one special type of robots that can pass over steps is designed and se

System Design and Setup of a Robot to Pass over Steps Abstract In the research, one special type of robots that can pass over steps is designed and se 8051 8051 System Design and Setup of a Robot to Pass over Steps Abstract In the research, one special type of robots that can pass over steps is designed and setup. This type of robot uses two kinds of

More information

Microsoft PowerPoint - 第01章 基础知识.pptx

Microsoft PowerPoint - 第01章 基础知识.pptx 微处理器与微计算机系统 教材 : 单片机原理与应用及 C51 程序设计 ( 第 3 版 ) 清华大学出版社 主讲 : 谢维成 http://xweicheng.ys168.com scxweicheng@mail.xhu.edu.cn 西华大学电气与电子信息学院 第 1 章计算机基础知识 主要内容 : 1 有符号数的表示 2 微型计算机工作原理 3 单片机的概念及特点 A Historical Background

More information

STEP-MXO2 V2硬件手册

STEP-MXO2 V2硬件手册 小脚丫 STEP FPGA STEP 2016/8/28 目录 1. 概述... 2 2.STEP-MXO2 V2 硬件简介 :... 3 2.1 STEP-MXO2 V2 开发板... 3 2.2 FT232 编程器... 3 2.3 FPGA... 3 2.4 供电... 4 2.5 时钟... 4 2.6 七段数码管... 4 2.7 三色 LED... 4 2.8 拨码开关... 4 2.9

More information

展 望 与 述 评 2 广 电 设 备 与 技 术 2013.2

展 望 与 述 评 2 广 电 设 备 与 技 术 2013.2 展 望 与 述 评 2013.2 广 电 设 备 与 技 术 1 展 望 与 述 评 2 广 电 设 备 与 技 术 2013.2 展 望 与 述 评 2013.2 广 电 设 备 与 技 术 3 展 望 与 述 评 4 广 电 设 备 与 技 术 2013.2 展 望 与 述 评 2013.2 广 电 设 备 与 技 术 5 展 望 与 述 评 骆 萧 萧 卜 筱 皛 本 文 讨 论 了 在 非

More information

IC芯片自主创新设计实验

IC芯片自主创新设计实验 IC 芯片自主创新设计实验 设计报告 设计题目 : 格雷码计数器芯片设计 设计学生 : 吴东生 ( 集成电路 ) 景国新 ( 固体电子 ) 林道明 ( 集成电路 ) 连维重 ( 集成电路 ) 施望 ( 集成电路 ) 刘锦秀 ( 集成电路 ) 刘中伟 ( 集成电路 ) 李梦宁 ( 集成电路 ) 指导教师 : 阮爱武 杜涛 指导单位 : 电子设计自动化技术 课程组 一 格雷码计数器芯片设计概述 功能描述

More information

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8 Version History 日期 版本 2016/8/4 1.00 2016/9/2 1.01 2016/11/29 1.02 2017/2/16 1.03 2017/6/9 1.04 2018/6/27 1.05 2018/8/8 1.06 新增 MG196 PBGA256S 封装信息 ; 修改 SSPI 模式的配置功能 ; 增加 QN88 封装信息 2019/3/27 1.07 增加电源供电要求

More information

内容简介 本书以 Proteus 为仿真软件, 以汇编语言和 C 语言为编程语言, 结合趣味应用实例, 系统介绍 MCS-51 单片机的组织结构 工作原理 指令系统 程序设计 中断 定时 / 计数器 串行通信 系统扩展 接口技术 应用系统设计等内容 每章的例题 习题都用 Proteus 仿真实现,

内容简介 本书以 Proteus 为仿真软件, 以汇编语言和 C 语言为编程语言, 结合趣味应用实例, 系统介绍 MCS-51 单片机的组织结构 工作原理 指令系统 程序设计 中断 定时 / 计数器 串行通信 系统扩展 接口技术 应用系统设计等内容 每章的例题 习题都用 Proteus 仿真实现, 普通高等教育电气信息类应用型规划教材 单片机原理与应用 基于实例驱动和 Proteus 仿真 ( 第二版 ) 李林功编著 北 京 内容简介 本书以 Proteus 为仿真软件, 以汇编语言和 C 语言为编程语言, 结合趣味应用实例, 系统介绍 MCS-51 单片机的组织结构 工作原理 指令系统 程序设计 中断 定时 / 计数器 串行通信 系统扩展 接口技术 应用系统设计等内容 每章的例题 习题都用

More information

16位A/D转换器

16位A/D转换器 16 位 A/D 转换器 anyh 是双竞公司新推出的 16 位 A/D 转换器, 具有两个全差分输入通道, 可用于测量低频模拟信号 这种器件带有增益可编程放大器, 可通过软件编程来直接测量传感器输出的各种微小信号 具有分辨率高 动态范围广 自校准等特点, 因而非常适合于工业控制 仪表测量等领域 16 位 A/D 转换器 1. 概述 是双竞公司新推出的 16 位 A/D 转换器, 具有两个全差分输入通道,

More information

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas 目录 1 IPv6 快速转发 1-1 1.1 IPv6 快速转发配置命令 1-1 1.1.1 display ipv6 fast-forwarding aging-time 1-1 1.1.2 display ipv6 fast-forwarding cache 1-1 1.1.3 ipv6 fast-forwarding aging-time 1-3 1.1.4 ipv6 fast-forwarding

More information

HD61202 HD HD61203 HD61202, HY HY HD61202 HD61202 HD61203 HD =4096 RAMRAM LCD 2HD HD HD /32--

HD61202 HD HD61203 HD61202, HY HY HD61202 HD61202 HD61203 HD =4096 RAMRAM LCD 2HD HD HD /32-- HD61202 C-7 1 HD61202 HD61202 8 HD61203 HD61202, HY-12864 HY-19264 HD61202 HD61202 HD61203 HD61202 1 6464=4096 RAMRAM LCD 2HD61202 64 3HD61202 68 68 4HD61202 1/32--1/64 HD61202 HD61202 2 CS1,CS2,CS3 CS1

More information

TD

TD *TD-000212-05* 20- 应用实例 4 本例显示的是使用两个亚低 音扬声器和多个顶箱的双声 道 立体声 设置 除了各声道都增加了一个顶 箱外 也可以增加更多的顶 箱 本例和例 3 的情况一 致 声道 2 或 右声道 声道 1 或 左声道 要接到更多的顶箱 将最后 一个顶箱的全幅线路输出接 头处的线缆接到下一个顶箱 的全幅线路输入接头 在不 降低信号质量的情况下 最

More information

Microsoft Word - zw

Microsoft Word - zw 第 1 章单片机基础知识概述 内容概述 : 本章主要介绍单片机的定义 发展历史, 单片机分类方法 应用领域及发展趋势, 单片机中数的表示和运算方法, 基本逻辑门电路, 以及与单片机系统仿真工具 Proteus 相关的内容 教学目标 : 了解单片机的概念及特点 ; 掌握单片机中数的表示和运算方法及基本逻辑门电路 ; 初步了解 Proteus 软件的功能 1.1 单片机概述 1.1.1 单片机及其发展概况

More information

常用4000系列标准数字电路的中文名称资料

常用4000系列标准数字电路的中文名称资料 常用 4000 系列标准数字电路的中文名称资料 CD4000 双 3 输入端或非门 + 单非门 TI CD4001 四 2 输入端或非门 HIT/NSC/TI/GOL CD4002 双 4 输入端或非门 NSC CD4006 18 位串入 / 串出移位寄存器 NSC CD4007 双互补对加反相器 NSC CD4008 4 位超前进位全加器 NSC CD4009 六反相缓冲 / 变换器 NSC CD4010

More information

供充放一体电源及充电电源手册_0_.doc

供充放一体电源及充电电源手册_0_.doc 2010. 05 供充放一体电源及充电电源 适用于铅酸电池 锂电池 镍氢电池 实现不间断供电 采用自动均 / 浮充 恒流 / 恒压 零电流 -ΔV 检测 / 涓充 / 滴充技术 提供各种保护 显示各种工作状态 目录 SCD 供充放一体电源 ( 锂电池系列 )-----------1 SCD 供充放一体电源 ( 铅酸电池系列 )----------3 C 充电电源 ( 镍氢电池系列 )-------------------------5

More information