SerDes 知识详解 一 SerDes 的作用 1.1 并行总线接口 在 SerDes 流行之前, 芯片之间的互联通过系统同步或者源同步的并行接口传输数据, 图 1.1 演示了系统和源同步并行接口 随着接口频率的提高, 在系统同步接口方式中, 有几个因素限制了有效数据窗口宽度的继续增加 时钟到达两

Size: px
Start display at page:

Download "SerDes 知识详解 一 SerDes 的作用 1.1 并行总线接口 在 SerDes 流行之前, 芯片之间的互联通过系统同步或者源同步的并行接口传输数据, 图 1.1 演示了系统和源同步并行接口 随着接口频率的提高, 在系统同步接口方式中, 有几个因素限制了有效数据窗口宽度的继续增加 时钟到达两"

Transcription

1 SerDes 知识详解 一 SerDes 的作用 1.1 并行总线接口 在 SerDes 流行之前, 芯片之间的互联通过系统同步或者源同步的并行接口传输数据, 图 1.1 演示了系统和源同步并行接口 随着接口频率的提高, 在系统同步接口方式中, 有几个因素限制了有效数据窗口宽度的继续增加 时钟到达两个芯片的传播延时不相等 (clock skew) 并行数据各个 bit 的传播延时不相等 (data skew) 时钟的传播延时和数据的传播延时不一致 (skew between data and clock) 虽然可以通过在目的芯片 (chip #2) 内用 PLL 补偿时钟延时差 (clock skew), 但是 PVT 变化时, 时钟延时的变化量和数据延时的变化量是不一样的 这又进一步恶化了数据窗口 源同步接口方式中, 发送侧 Tx 把时钟伴随数据一起发送出去, 限制了 clock skew 对有效数据窗口的危害 通常在发送侧芯片内部, 源同步接口把时钟信号和数据信号作一样的处理,

2 也就是让它和数据信号经过相同的路径, 保持相同的延时 这样 PVT 变化时, 时钟和数据会朝着同一个方向增大或者减小相同的量, 对 skew 最有利 我们来做一些合理的典型假设, 假设一个 32bit 数据的并行总线, a) 发送端的数据 skew = 50 ps --- 很高的要求 b)pcb 走线引入的 skew = 50ps --- 很高的要求 c) 时钟的周期抖动 jitter = +/-50 ps --- 很高的要求 d) 接收端触发器采样窗口 = 250 ps ---Xilinx V7 高端器件的 IO 触发器可以大致估计出并行接口的最高时钟 = 1/( ) = 2.2GHz (DDR) 或者 1.1GHz (SDR) 利用源同步接口, 数据的有效窗口可以提高很多 通常频率都在 1GHz 以下 在实际应用中可以见到如 SPI4.2 接口的时钟可以高达 DDR 700MHz x 16bits 位宽 DDR Memory 接口也算一种源同步接口, 如 DDR3 在 FPGA 中可以做到大约 800MHz 的时钟 要提高接口的传输带宽有两种方式, 一种是提高时钟频率, 一种是加大数据位宽 那么是不是可以无限制的增加数据的位宽呢? 这就要牵涉到另外一个非常重要的问题 同步开关噪声 (SSN) 这里不讨论 SSN 的原理, 直接给出 SSN 的公式 : SSN = L *N* di/dt L 是芯片封装电感,N 是数据宽度,di/dt 是电流变化的斜率 随着频率的提高, 数据位款的增加,SSN 成为提高传输带宽的主要瓶颈 图 1.2 是一个 DDR3 串扰的例子 图中低电平的理论值在 0V, 由于 SSN 的影响, 低电平表现为震荡, 震荡噪声的最大值达 610mV, 因此噪声余量只有 1.5V/2-610mV=140mV

3 Figure 1.2 DDR3 串扰演示因此也不可能靠无限的提高数据位宽来继续增加带宽 一种解决 SSN 的办法是使用差分信号替代单端信号, 使用差分信号可以很好的解决 SSN 问题, 代价是使用更多的芯片引脚 使用差分信号仍然解决不了数据 skew 的问题, 很大位宽的差分信号再加上严格的时序限制, 给并行接口带来了很大的挑战 1.2 SerDes 接口 源同步接口的时钟频率已经遇到瓶颈, 由于信道的非理想 (channel) 特性, 再继续提高频率, 信号会被严重损伤, 就需要采用均衡和数据时钟相位检测等技术 这也就是 SerDes 所采用的技术 SerDes(Serializer-Deserializer) 是串行器和解串器的简称 串行器 (Serializer) 也称为 SerDes 发送端 (Tx),(Deserializer) 也称为接收端 Rx Figure1.3 是一个 N 对 SerDes 收发通道的互连演示, 一般 N 小于 4 可以看到,SerDes 不传送时钟信号, 这也是 SerDes 最特别的地方,SerDes 在接收端集成了 CDR(Clock Data Recovery) 电路, 利用 CDR 从数据的边沿信息中抽取时钟, 并找到最优的采样位置 SerDes 采用差分方式传送数据 一般会有多个通道的数据放在一个 group 中以共享 PLL 资源, 每个通道仍然是相互独立工作的 SerDes 需要参考时钟 (Reference Clock), 一般也是差分的形式以降低噪声 接收端 Rx 和发送端 Tx 的参考时钟可以允许几百个 ppm 的频差 (plesio-synchronous system), 也可以是

4 同频的时钟, 但是对相位差没有要求 作个简单的比较, 一个 SerDes 通道 (channel) 使用 4 个引脚 (Tx+/-,Rx+/-), 目前的 FPGA 可以做到高达 28Gbps 而一个 16bits 的 DDR 的线速率为 1.6Gbps*16 = 25Gbps, 却需要 50 个引脚 此对比可以看出 SerDes 在传输带宽上的优势 相比源同步接口,SerDes 的主要特点包括 : SerDes 在数据线中时钟内嵌, 不需要传送时钟信号 SerDes 通过加重 / 均衡技术可以实现高速长距离传输, 如背板 SerDes 使用了较少的芯片引脚 1.3 中间类型 也存在一些介于 SerDes 和并行接口之间的接口类型, 相对源同步接口而言, 这些中间类型的接口也使用串行器 (Serializer) 解串器 (Deserializer), 同时也传送用于同步的时钟信号 这类接口如视频显示接口 7:1 LVDS 等 二 SerDes 结构 (architecture) SerDes 的主要构成可以分为三部分,PLL 模块, 发送模块 Tx, 接收模块 Rx 为了方便维护和测试, 还会包括控制和状态寄存器, 环回测试,PRBS 测试等功能 见图 2.1 Figure 2.1 Basic Blocks of a typical SerDes

5 图中蓝色背景子模块为 PCS 层, 是标准的可综合 CMOS 数字逻辑, 可以硬逻辑实现, 也可以使用 FPGA 软逻辑实现, 相对比较容易被理解 褐色背景的子模块是 PMA 层, 是数模混合 CML/CMOS 电路, 是理解 SerDes 区别于并行接口的关键, 也是本文要讨论的内容 发送方向 (Tx) 信号的流向 : FPGA 软逻辑 (fabric) 送过来的并行信号, 通过接口 FIFO(Interface FIFO), 送给 8B/10B 编码器 (8B/10B encoder) 或扰码器 (scambler), 以避免数据含有过长连零或者连 1 之后送给串行器(Serializer) 进行并 -> 串转换 串行数据经过均衡器 (equalizer) 调理, 有驱动器 (driver) 发送出去 接收方向 (Rx) 信号的流向, 外部串行信号由线性均衡器 (Linear Equalizer) 或 DFE (Decision Feedback Equalizer 判决反馈均衡 ) 结构均衡器调理, 去除一部分确定性抖动 (Deterministic jitter) CDR 从数据中恢复出采样时钟, 经解串器变为对齐的并行信号 8B/10B 解码器 (8B/10B decoder) 或解扰器 (de-scambler) 完成解码或者解扰 如果是异步时钟系统 (plesio-synchronous system), 在用户 FIFO 之前还应该有弹性 FIFO 来补偿频差 补充 : 均衡器在通信系统的基带或中频部分插入的, 能够减少码间干扰, 起到补偿作用的滤波器 分为频域均衡器和时域均衡器 频域均衡器频域均衡器利用可调滤波器的频率特性来弥补实际信道的幅频特性和群延时特性, 使包括均衡器在内的整个系统的总频率特性满足无码间干扰传输条件 时域均衡器时域均衡器是直接从时间响应角度考虑, 使包括均衡器在内的整个传输系统的冲激响应满足无码间干扰条件 频域均衡满足奈奎斯特整形定理的要求, 仅在判决点满足无码间干扰的条件相对宽松一些 所以, 在数字通信中一般时域均衡器使用较多 时域均衡器可以分两大类 : 线性均衡器和非线性均衡器 如果接收机中判决的结果经过反馈用于均衡器的参数调整, 则为非线性均衡器 ; 反之, 则为线性均衡器 在线性均衡器中, 最常用的均衡器结构是线性横向均衡器, 它由若干个抽头延迟线组成, 延时时间间隔等于码元间隔 非线性均衡器的种类较多, 包括判决反馈均衡器 (DFE) 最大似然(ML) 符号检测器和最大似然序列估计等 PLL 负责产生 SerDes 各个模块所需要的时钟信号, 并管理这些时钟之间的相位关系 以图中线速率 10Gbps 为例, 参考时钟频率 250MHz Serializer/Deserializer 至少需要 5GHz 0 相位时钟和 5GHz 90 度相位时钟,1GHz(10bit 并行 )/1.25GHz(8bit 并行 ) 时钟等 一个 SerDes 通常还要具调试能力 例如伪随机码流产生和比对, 各种环回测试, 控制状态寄存器以及访问接口,LOS 检测, 眼图测试等 2.1 串行器解串器 (Serializer/Deserializer)

6 串行器 Serializer 把并行信号转化为串行信号 Deserializer 把串行信号转化为并行信号 一般地, 并行信号为 8 /10bit 或者 16/20bit 宽度, 串行信号为 1bit 宽度 ( 也可以分阶段串行化, 如 8bit->4bit->2bit->equalizer->1bit 以降低 equalizer 的工作频率 ) 采用扰码(scrambled) 的协议如 SDH/SONET, SMPTE SDI 使用 8/16bit 的并行宽度, 采用 8B/10B 编码的协议如 PCIExpress,GbE 使用 10bits/20bits 宽度 一个 4:1 的串行器如图 xxx 所示 8:1 或 16:1 的串行器采用类似的实现 实现时, 为了降低均衡器的工作频率, 串行器会先把并行数据变为 2bits, 送给均衡器 equalizer 滤波, 最后一步再作 2:1 串行化, 本文后面部分都按 1bit 串行信号解释 一个 1:4 的解串器如图 2.3 所示,8:1 或 16:1 的解串器采用类似的实现 实现时, 为了 降低均衡器 (DFE based Equalizer) 的工作频率,DFE 工作在 DDR 模式下, 解串器的输入是 2bit 或者更宽, 本文后面部分都按 1bit 串行信号解释

7 Serializer/Deserializer 的实现采用双沿 (DDR) 的工作方式, 利用面积换速度的策略, 降低了电路中高频率电路的比例, 从而降低了电路的噪声 接收方向除了 Deserializer 之外, 一般带有还有对齐功能逻辑 (Aligner) 相对 SerDes 发送端,SerDes 接收端起始工作的时刻是任意的, 接收器正确接收的第一个 bit 可能是发送并行数据的任意 bit 位置 因此需要对齐逻辑来判断从什么 bit 位置开始, 以组成正确的并行数据 对齐逻辑通过在串行数据流中搜索特征码字 (Alignment Code) 来决定串并转换的起始位置 比如 8B/10B 编码的协议通常用 K28.5( 正码 10 b , 负码 10 b ) 来作为对齐字 图 2.4 为一个对齐逻辑的演示 通过滑窗, 逐 bit 比对, 以找到对齐码 (Align-Code) 的位置, 经过多次在相同的位置找到对齐码之后, 状态机锁定位置并选择相应的位置输出对齐数据

8 2.2 发送端均衡器 ( Tx Equalizer) SerDes 信号从发送芯片到达接收芯片所经过的路径称为信道 (channel), 包括芯片封装, pcb 走线, 过孔, 电缆, 连接器等元件 从频域看, 信道可以简化为一个低通滤波器 (LPF) 模型, 如果 SerDes 的速率大于信道 (channel) 的截止频率, 就会一定程度上损伤 (distort) 信号 均衡器的作用就是补偿信道对信号的损伤 发送端的均衡器采用 FFE(Feed forward equalizers) 结构, 发送端的 equalizer 也称作加重器 (emphasis) 加重(Emphasis) 分为去加重 (de-emphasis) 和预加重 (pre-emphasis) De-emphasis 降低差分信号的摆幅 (swing) Pre-emphasis 增加差分信号的摆幅 FPGA 大部分使用 de-emphasis 的方式, 加重越强, 信号的平均幅度会越小 发送侧均衡器设计为一个高通滤波器 (HPF), 大致为信道频响 H(f) 的反函数 H-1(f),FFE 的目标是让到达接收端的信号为一个干净的信号 FFE 的实现方式有很多, 一个典型的例子如图 2.5 所示

9 调节滤波器的系数可以改变滤波器的频响, 以补偿不同的信道特性, 一般可以动态配置 以 10Gbps 线速率为例, 图 2.5 为 DFE 频率响应演示 可以看到, 对于 C0=0,C1=1.0,C2=-0.25 的配置,5GHz 处高频增益比低频区域高出 4dB, 从而补偿信道对高频频谱的衰减 采样时钟的频率限制了这种 FFE 最高只能补偿到 Fs/2( 例子中 Fs/2=5GHz) 根据采样定理, 串行数据里的信息都包含在 5GHz 以内, 从这个角度看也就足够了 如果要补偿 Fs/2 以上的频率, 就要求 FFE 高于 Fs 的工作时钟, 或者连续时间域滤波器 (Continuous Time FFE) 图 2.7 为 DFE 时域滤波效果的演示, 以 10Gbps 线速率为例, 一个 UI=0.1 ns=100ps 演示的串行数据码流为二进制 [ ]

10 2.3 接收端均衡器 ( Rx Equalizer) 线形均衡器 (Linear Equalizer) 接收端均衡器的目标和发送均衡器是一致的 对于低速 (<5Gbps)SerDes, 通常采用连续时间域, 线性均衡器实现如尖峰放大器 (peaking amplifier), 均衡器对高频分量的增益大于对低频分量的增益 图 2.8 为一个线性均衡器的频域特性 通常工厂会对均衡特性封装为数种级别, 可以动态设置, 以适应不同的信道特性, 如 High/Med/Low 等 Figure 2.8 Frequency Response of A peaking Amplifier based Rx Equalizer DFE 均衡器 (Decision Feedback Equalizer) 对于高速 (>5Gbps)SerDes, 由于信号的抖动 ( 如 ISI 相关的确定性抖动 ) 可能会超过或接近 一个符号间隔 (UI, Unit Interval), 单单使用线性均衡器不再适用 线性均衡器对噪声和信号一

11 起放大, 并没有改善 SNR 或者说 BER 对于高速 SerDes, 采用一种称作 DFE (Decision Feedback Equalizer 裁决反馈均衡器 ) 的非线性均衡器 DFE 通过跟踪过去多个 UI 的数据 (history bits) 来预测当前 bit 的采样门限 DFE 只对信号放大, 不对噪声放大, 可以有效改善 SNR 补充 : Unit Interval 单位时间间隔 : 通常在通信信号的抖动测试中用来表示抖动幅度的单位 表示一个等步信号的两个相邻的有效瞬时之间的标称时间差 图 2.9 演示了一个典型的 5 阶 DFE 接收的串行数据由比较器(slicer) 来判决 0 或者 1, 然后数据流由一个滤波器来预测码间干扰 (ISI), 再从输入的原始信号中减掉码间干扰 (ISI), 从而的到一个干净的信号 为了让 DFE 均衡器的电路工作在电路线形范围内, 串行信号先经过 VGA 自动控制进入 DFE 的信号幅度 为了理解 DFE 的工作原理, 先来看一个 10Gbps 背板的脉冲响应, 这个背板模型是 matlab 给出的一个基于实测的模型, 具有典型特性

12 图 2.10 中, 一横格代表一个 UI 的时间 可以看出, 一个 UI( 0.1nS = 1/10GHz ) 的脉冲信号, 通过背板后, 泄漏到前后多个相邻的 UI 里面, 从而对其他 UI 的数据产生干扰 采样点后面的干扰叫做 post-cursor 干扰, 采样点前面的叫做 pre-cursor 干扰 DFE 的第一个系数 h1( 此例中 0.175) 矫正第一个 post-cursor, 第二个系数 h2( 此例中 0.075) 矫正第二个 post-cursor DFE 的阶数越多, 能够校正的 post-cursor 也越多

13 用上述的背板传输一个 的码流, 由于 post-cursor 和 pre-cursor 的泄漏, 如果没有均衡, 将会导致 0 不能识别, 见图 2.11 假定有一个 2 阶的 DFE, 那么 0 bit 处的幅度应该减去第一个 1 bit 的 h2, 第二个 1 bit 的 h1, 得到 =0.1, 足够被识别为 0 可见,DFE 计算历史 bits 的 post-cursor 干扰, 在当前 bit 中把干扰减去, 从而得到干净的信号 由于 DFE 只能能够校正 post-cursor ISI, 所以 DFE 前面一般会带有 LE 只要 DFE 的系数接近信道 (channel) 的脉冲相应, 就可以到的比较理想的结果 但是信道是一个时变的媒介, 比如温度电压工艺的慢变化等因素会改变信道 channel 的特性 因此 DFE 的系数需要自适应算法, 自动扑获和跟随信道的变化 DFE 系数自适应算法非常学术, 每个厂商的算法都是保密的, 不对外公布 对于 NRZ 码, 典型的算法准则是基于 sign-error 驱动的算法 Sign-error 是均衡后信号的幅度和期望值的误差, 算法以 sign-error 均方差最小为优化目标, 逐次优化 h1/h2/h3 因为 sign-error 和采样位置是耦合在一起相互影响, 因此也可以 sign-error 和眼图宽度两个准则为目标进行 DFE 系数的预测 也因此, 采用 DFE 结构的 SerDes 通常都会带有内嵌眼图测试电路, 如图 2.9 所示 眼图测试电路通过垂直方向上平移信号的幅度, 水平方向上平移采样位置, 计算每一个平移位置上的误码率 BER, 从而得到每一个偏移位置与误码率关系的 眼图, 见图 2.12

14 Figure 2.12 SerDes Embedded Eye-Diagram Test Function 2.4 时钟数据恢复 (CDR) CDR 的目标是找到最佳的采样时刻, 这需要数据有丰富的跳变 CDR 有一个指标叫做最长连 0 或连 1 长度容忍 (Max Run Length 或者 Consecutive Identical Digits) 能力 如果数据长时间没有跳变,CDR 就无法得到精确的训练,CDR 采样时刻就会漂移, 可能采到比真实数据更多的 1 或者 0 而且当数据重新恢复跳变的时, 有可能出现错误的采样 比如有的 CDR 采用 PLL 实现, 如果数据长时间停止跳变,PLL 的输出频率就会漂移 实际上,SerDes 上传输的数据要么利用加扰, 要么利用编码的方法来保证 Max Run Length 在一定的范围内 8B/10B 编码的方法可以保证 Max Run Length 不超过 5 个 UI 64B/66B 编码的方法可以保证 Max Run Length 不超过 66 个 UI SONET/SDH 加扰得方法可以保证 Max Run Length 不超过 80 个 UI(BER<10^-12) 在点到点的连接中, 大部分 SerDes 协议采用连续模式 (continuous-mode), 线路上数据流是持续而没有中断的 在点到多点的连接中, 往往采用突发模式 (burst-mode) 如 PON 很显然 Burst-Mode 对 SerDes 锁定时间有苛刻的要求 Continuous-Mode 的协议如 SONET/SDH 则要求容忍较长的连 0, 而且对 CDR 的抖动传输性能也有严格的要求 ( 因为 loop timing) 如果收 (Rx) 发 (Tx) 是异步模式 (asynchronous mode), 或者频谱扩展 (SSC) 应用中, 则要求 CDR 有较宽的相位跟踪范围以跟踪 Rx/Tx 频率差 根据应用场景的不同需求,CDR 的实现也有非常多种架构 FPGA SerDes 常常采用的基于数字 PLL 的 CDR, 和基于相位插值器的 CDR 这两种 CDR 在环路中采用数字滤波器, 相对

15 模拟 charge pump 加模拟滤波器的结构更节省面积 图 2.13 是基于相位插值器的 CDR 鉴相器阵列对输入的串行数据与 M 个等相位间隔的时钟在多个 UI 的跨度上进行相位比较, 得到多个 UI 跨度上的相位误差信号 相位误差信号的频率很高, 宽度也很宽, 经过抽取器降速并平滑后, 送给数字滤波器 数字滤波器的性能会影响环路的带宽, 稳定性, 反应速度等 经数字滤波器平滑后的误差信号送给相位插值器 (phase rotators) 修正时钟相位 最终环路锁定时, 理论上相位误差为零,90 度偏移的时钟作为恢复时钟采样串行输入 图 2.14 是基于 DPLL 的 CDR, 分为两个环路, 对数据锁相的环路 (phase tracking loop) 和图 2.13 的 CDR 工作原理类似 鉴相器阵列对输入的串行数据与 M 个等相位间隔的时钟进行相 位比较 ( 也可能是在多个 UI 的跨度上 ), 得到相位误差信号 相位误差信号送给数字滤波器

16 数字滤波器的性能会影响环路的带宽, 稳定性, 反应速度等 经数字滤波器平滑后的误差信号送给 VCO 修正时钟相位 最终环路锁定时, 理论上相位误差为零,90 度偏移的时钟作为恢复时钟采样串行输入 基于 DPLL 的 CDR 多了一个频率跟踪环路 (Frequency Tracking Loop) 这是为了减小 CDR 的锁定时间, 减少对环路滤波器的设计约束 只有当频率跟踪环路锁定后, 才会切换到数据相位跟踪环路 相位跟踪环路失锁时, 再自动切换到频率跟踪环路 N 倍参考时钟 (Reference Clock) 频率和线路速率接近相等, 因此两个环路的 VCO 稳态控制电压是接近相等的 借助频率跟踪环路, 减小了相位跟踪环路的捕获时间 相位跟踪环路锁定时, 频率跟踪环路不会影响相位环路 因此 SerDes 接收侧对参考时钟的抖动没有很高的要求 基于相位插值器的 CDR 的参考时钟可以是收发公用的 PLL, 也可以是每个通道独立的 PLL 这种结构的参考时钟抖动会直接影响恢复时钟的抖动以及接收误码率 鉴相器 (PD) 鉴相器用来比较相位误差, 相位误差以 UP 或者 DN 的信号表示, UP/DN 持续的时间正比 于相位误差 一个 bang-bang 结构鉴相器的例子如图 2.15 例子中只用了四个相位的恢复时 钟作为例子

17 2.4.2 抽取器和滤波器 抽取器是为了让滤波器在较低的频率下工作 抽取的步长, 平滑的方法都会影响环路的性能 数字滤波器有比例分支 (Proportion) 和积分分支 (Integral) 构成, 分别跟踪相位误差和频率误差 另外数字滤波器的处理延时也不能太大, 如果处理延时过大, 就会导致环路不能跟踪相位和频率的快速变化, 导致误码 CDR 的结构不限于以上两种, 还有其他很多变种 基本上都是一个锁相环路 环路的跟随性能, 稳定性 (STABILITY), 带宽 (bandwidth)/ 增益 (gain) 性能分析是一个非常学术的问题, 用小信号线形模型分析, 有非常多的书籍和资料解释了环路的量化性能 CDR 环路有一些的特点总结如下 : 环路带宽 1. 频率低于环路带宽的相位抖动会透过 CDR 转移到恢复时钟上 换句话说, 频率低于环路带宽的抖动可以被 CDR 跟踪, 不会引起误码 高频的抖动分量根据抖动幅度的大小, 可能会引起误码 2. 环路带宽越大, 锁定时间越短, 恢复时钟的抖动也越大 反之则锁定时间越长, 恢复时钟的抖动也越小 作为 CDR, 我们希望环路带宽大一点, 这样可以有更大的抖动容忍能力, 但是对于 loop timing 的应用如 SONET/SDH 对恢复时钟的抖动有限制, 又不能太大 3. 开关电源的开关频率一般小于环路带宽, 可以被 CDR 跟踪 但是, 一方面开关电源耦合到 VCO(Digital to Multi-Phase Convertor) 上的噪声不能被环路跟踪, 低成本 Ring VCO 尤其对电源噪声敏感 另一方面开关电源的谐波可能超出环路带宽 一些协议提供了 CDR 增益模板, 如 SDH/SONET 兼容这些协议需要计算输入和输出的抖动预算 2.5 公用锁相环 (PLL) SerDes 需要一个工作在数据波特率上的内部时钟, 或者 1/2 数据波特率的内部时钟, 工作在 DDR 模式 片外提供给 SerDes 的参考时钟频率远远低于数据波特率,PLL 用来倍频产生内部高频时钟 FPGA 的 SerDes PLL 一般有 8x,16x,10x,20x,40x 模式, 以支持常用的 SerDes 接口协议 比如 PCIExpress 工作在 5Gbps, 在 40x 模式下需要提供 125MHz 的片外参考时钟, 20x 模式下需要提供 250MHz 的片外参考时钟 一个三阶 PLL 电路如图 2.17, 输入信号的相位和 VCO 反馈信号的相位由鉴相器比较,

18 相位误差有 charge pump 转化为电压或电流信号, 经过 Loop Filter 平滑后产生控制电压, 修 正 VCO 的相位, 最终使相位误差趋于零 Figure 2.17 A 3-order Type II PLL PLL 的工作过程分为入锁过程和跟踪过程 在入锁过程, 环路的模型可以用一个非线性微分方程表示, 可以评估捕获时间, 捕获带宽等指标 入锁后, 在小信号范围内,PLL 的模型是一个常系数线性方程, 可以在拉普拉斯变换域研究 PLL 的带宽, 增益, 稳定性等性能, 图 2.18 是小信号数学模型 PLL 以传输函数极点 ( 分母的根 ) 个数命名环路的阶数 VCO 对相位有积分作用 (K vco /s), 因 此不带滤波器的环路称为一阶环 带一阶滤波器的环路称为二阶环 一阶环和二阶环是无条

19 件的稳定系统 然而高阶环路有更多的极点和零点可以独立的调整带款, 增益, 稳定性, 捕获带, 捕捉时间等性能 PLL 的频域传输函数特性主要有环路滤波器 F(s) s=jw 决定, 一个通用的 PLL 频域传输曲线如图 2.19 所示 有两个重要特征, 环路带款和 jitter peaking 过大的 peaking 会放大 jitter, 大的阻尼系数 (damping factor) 可以限制 peaking, 但是会增加环路的如锁时间, 影响滚降的速度和固有频率 (natural frequency) 当环路锁定后, 固定相位差 : Kdc 为环路的直流开环增益,Δω 为 VCO 中心频率和受控频率的差 对于 charge pump + passive filter 结构的 PLL 相位误差为零 当环路锁定后, 只有固定相位差, 两个输入信号频率相等 fr/m = fo/n 对于输入端的噪声, 环路是一个低通滤波器, 可以抑制高于环路截止频率的噪声或干扰 作为 SerDes 的 PLL, 希望带宽的小一些, 以抑制参考时钟上的干扰和噪声 对于 VCO 噪声, 环路是一个高通滤波器的作用 只有低于环路截止频率的 VCO 噪声得到了抑制 过量的 VCO 高频噪声会恶化时钟的抖动 低速 SerDes(<5Gbps) 的 VCO 出于成本考虑采用 Ring 结构的 VCO, 噪声大且对电源敏感 高速 SerDes 的 VCO 采用噪声小较小的 LC 结构 VCO 三 抖动和信号集成 ( Jitter, SI ) 抖动是指信号的跳边时刻偏离其理想 (ideal) 或者预定 (expected) 时刻的现象 噪声, 非理想的信道, 非理想的电路都是产生抖动的原因 3.1 时钟的抖动 (clock jitter)

20 Figure 3.1 Clock Jitter 对于时钟信号, 根据应用场景的不同, 对抖动的定义也不一样 比如数字逻辑计算时序余量的时候, 关心的是周期抖动 而时钟设计人员更喜欢相位抖动, 因为可以利用频谱评估相位抖动, 并可以用频谱来评估具体的干扰对总相位抖动的贡献 参考图 3.1, 介绍一下几种抖动的定义 相位抖动 (phase jitter) J phase (n)= t n n*t 理想时钟的每个周期 T 都是相等的, 没有抖动 真实时钟的跳边沿相对于理想时钟的偏离称作相位抖动 周期抖动 (period jitter) J period (n)= (t n - t n-1 ) T 周期抖动是实际时钟的周期相对于理想周期的偏离(deviation) 显然 J period (n) = J phase (n) - J phase (n-1) l Cycle-to-Cycle jitter J cycle (n) = (t n - t n-1 ) - (t n-1 - t n-2 ) 前后相邻的两个周期的偏差是 Cycle-Cycle 抖动 显然 J cycle (n)= J period (n) J period (n-1) 假设相位抖动的最大值为 +/-J p, 而且抖动的频率 f jitter = 0.5f clock = 0.5/T, 也就是, t n-2 时刻的相位抖动为最大值 +J p,t n-1 时刻的相位抖动为最小值 -J p t n 时刻的相位抖动为最大值 +J p, t n+1 时刻的相位抖动为最小值 -J p 那么, 周期抖动最大值 J period =+/- 2* J p 那么,Cycle-Cycle 抖动最大值 J cycle =+/- 4* J p 3.2. 数据的抖动 (data jitter) 在高速 SerDes 领域每个人都在说抖动, 因为抖动直接和误码率 (BER) 相关 SerDes 发送端的一个重要要求是抖动 (jitter generation)---- 针对特定的码型 (pattern), 速率和负载情况下, 发送端所生成的抖动 信号经过信道 (channel) 到达接收端时, 又会进一步放大抖动, 不同的码型 (pattern) 包含的频率成分也不一样, 信道对不同频率成分的传输延时也不一样 ( 非线性相位 ), 产生和数据 pattern 相关的确定性抖动 阻抗不连续产生的反射, 相邻信号的串扰和噪声都会引起数据抖动 SerDes 接收端的一个重要指标是抖动容忍能力 (Jitter Tolerance)---- 针对特定的码型和

21 误码率要求 (BER<10-12 ),SerDes 接收端能够容忍的抖动大小 对抖动评估时, 会使用眼图 (eye-diagram), 浴缸曲线 (bath curve), 抖动分布柱状图 (PDF), 抖动频谱 (jitter spectrum) 等图形手段 有一点需要说明, 在谈论高速 SerDes 的数据抖动时 (Tj,Rj,Dj etc.), 是不包括低频率抖动的 这是因为低频率的抖动被认为是一种 wander, 可以被 CDR 跟踪, 不会引起误码 在用示波器 (SDA) 测量数据抖动的时候, 可以设置示波器内嵌的 CDR 环路带宽, 示波器测量的抖动数据已经滤掉了低频抖动 根据抖动产生的原因和概率密度函数, 常常将抖动分为几类 对抖动进行分类的意义在于某些类型的抖动可以被校正, 而其他类型的不能被校正 经典的, 总抖动 Tj(Total Jitter) 被分类为确定性抖动 Dj (deterministic jitter) 和随机抖动 Rj (random jitter) 抖动以 UI 或者 ps 为单位, 可以是均方根值, 或者峰峰值 Dj Dj 被进一步细分 : DCD(Duty cycle distortion) 占空比失真抖动 差分信号的正端负端的偏置电压不一致, 或者上升沿和下降沿时间不一致会导致占空比失真 因为 DCD 和数据 pattern 相关, 是可以被校正的抖动 DDJ(Data dependent jitter) 数据码型相关的抖动, 也称码间干扰 ISI(intersymbol interference) DDJ 是由于不理想的信道导致 是可以被均衡器校正的抖动 Pj(Periodic jitter) 周期性抖动 Pj 由电路上周期性干扰源导致 比如开关电源的开关频率, 时钟信号的串扰等 虽然电源的开关频率一般在 CDR 的跟踪范围内, 但是低次谐波成分可能会落在环路带宽外, 或者 jitter peaking 区域, 更重要的是电源谐波对 CDR 内 VCO 的干扰是不能被抑制和跟踪的, 所以对于基于 Ring VCO 的 CDR 一定要尽可能的使用 LDO 供电 Pj 不能被均衡器校正. BUJ(Bounded uncorrelated jitter) BUJ 由非时钟的干扰源引起 如果干扰源 aggressor 和 victim 是异步的, 抖动的概率分布为有界的高斯分布, 此时也称作 CBGJ(Correlated Bounded Gaussian Jitter) BUJ/CBGJ 不能被校正

22 3.2.2 Rj Rj 有半导体本身的噪声引起, 一个重要特征就是 Rj 的概率密度函数是高斯分布的, 没 有边界, 且和数据 pattern 无关 只有在一定误码率约束下, 才可以被认为是有界的 Tj 数学意义上, 抖动的概率分布函数可以近视为高斯分布和双底拉克分布的卷积 对高斯分布有贡献的抖动为 : n Rj 为高斯分布 n 大量 Pj 叠加的效果也为高斯分布 n 部分 BUJ 也为高斯分布对双底拉克分布有贡献的抖动为 : n DCD 被近视为双底拉克概率分布高斯分布和双底拉克分布的卷积 : 其中,W 被认为是确定性抖动的峰峰值,δ 是高斯分布的均方差 见图 3.2, 可以看到, 随着确定性抖动 W 的增加, 概率密度分布曲线的顶部出现了双峰 一般来讲顶部曲线反映 了确定性抖动的大小程度

23 Figure 3.2 PDF of Tj with different Dj and Rj 把一个 UI 内的两个跳变沿处 (0 UI 处和 1UI 处 ) 的概率分布函数放在一张图中, 就是抖动 的浴缸曲线 (bathtub curve) 因为对数的宽动态范围,Y 坐标以对数显示 图 3.3 为确定性抖 动 W=0.05UI, 高斯抖动方差 0.05UI 的浴缸曲线

24 Figure 3.3 Bathtub Curve of Tj with 0.05 Dj peak and 0.05 Rj RMS 浴缸曲线还会标出对应的误码率 BER 坐标, 比如图中 BER=10^-12 的峰峰值抖动为 Tj(p-p)=0.373*2 = UI 曲线下面的面积占总面积的比率就是误码率 比如图中, 浴缸曲线的顶部主要为确定性抖动 Dj 的贡献, 越靠近底部, 高斯抖动的贡献越大, 并以高斯曲线的斜率衰减, 也因此常利用高斯分布的特性进行估算 下表为高斯分布 和均方差关系

25 在规定的 BER 内, 利用该表可以快速估算均方差值和峰峰值之间的关系 比如高斯抖动的均方根 0.05UI, 误码率要求为 10^-12 BER, 查表可知 Q=7, 那么高斯抖动的峰峰值要求是 0.05UI*7*2 = 0.7UI 如前所述,W=0.05UI,Rj=0.05UI 计算出的总抖动 Tj=0.746UI; 利用高斯特性估算的高斯抖动为 0.7UI 如果按 Tj = Rj(0.7UI)+Dj(0.05UI) 计算得到 0.75U, 基本是一致的, 差异是因为画图程序有量化误差 四 信号集成 (SI) 及仿真 4.1 信道 channel SerDes 信道关注的频率范围是 0Hz 到奈奎斯特频率, 也就是 2 倍的信号基频 信号的基频是信号线速率的一半, 也就是说信号的奈奎斯特频率就是线速率 信道对信号的损伤包括插入损失 (insertion loss), 反射 (reflection), 串扰 (crosstalk) 等 这些损伤可以用 S-parameter 信道模型来表达 S-parameter 可以有矢量网络分析仪测量 (Vector Network Analyzer) 得到 信道不是一个纯阻性网络, 还包括容性和感性 这样对不同频率里成分的时延也不一样, 从而产生和 data pattern 相关的抖动 信道上的每一个不连续阻抗点都会产生反射, 根据反位置的不同, 反射信号会以不同的相位叠加在原始信号上, 增加或者减小信号的幅度 SerDes 信号为差分形式, 对共模干扰有较强的抑制 如果在 +/- 端上的干扰有差异, 就会引入串扰 通常外部 PCB 可以保证 SerDes 数据和干扰源保持足够的距离, 但是芯片内部由于考虑到经济性, 很难保证 SerDes 信号和干扰源足够的隔离距离, 尤其是一个通道自己的发送信号干扰自己的接收信号 4.2 芯片封装 Package 封装 package 也是信道的一部分 芯片外部的信道可以通过 VNA 测量的到, 而封装的 S-parameter 通常有芯片制造商提供, 仿真时可以把两者级联起来 封装 package 由于距离 较短,insertion loss 通常不是主要问题, 主要考虑的是阻抗匹配问题 4.3 SI 仿真

26 信号集成性 (SI) 仿真可以通过把 SerDes 发送端 SPICE 模型, 封装和信道的 S-parameter 模型, 接收端 SPICE 模型级联起来搭建仿真平台, 利用仿真工具对不同的激励在不同的测试条件作电路仿真 通过测量 SerDes 接收端的眼图来评估是否满足设计需求 也可以通过实测接收端眼图, 检验是否满足接收端的眼图模板, 或者协议规定的眼图模板 图 4.1 为一个实测的 3.125Gbps 信号的眼图及模板, 同时也包含了浴缸曲线和统计图 Figure 4.1 Rx-end Eye-diagram of A 3.125Gbps SerDes 对于高速 SerDes(>5Gbps), 这种传统的电路仿真方法已经不能满足设计的需求 首先, 过量的码间干扰 ISI 导致接收端眼图完全闭合, 但是通过芯片内的 DFE 均衡后, 眼图可能是很好的 其次, 电路仿真 (SPICE) 的速度非常慢, 即使是有办法把 DFE 均衡加入仿真, 由于 DFE 仿真需要足够长时间的 bits 来训练, 此时, 电路仿真的仿真时间是不可接受的 对于高速 SerDes 的仿真需要借助统计分析 (statistical analysis) 的方法 统计分析的方法把发送端 - 信道 - 接收端的连接近视为线性系统, 计算系统脉冲响应 h(t), 加入噪声源来模拟抖动, 然后用激励对脉冲响应进行卷积, 得到接收端的信号, 这种方法可以把厂家私有的 FFE,DFE 自适应算法加入仿真 统计分析 (statistical analysis) 方法不能仿真电路的非线性和时变特性, 所以高速 SerDes 往往要两者结合起来仿真 SI 更多关于统计分析 (statistical analysis) 方法可以参考 五 结尾 有人讲过, 现在的汽车是如此复杂, 以至于虽然每一个零件都有人懂, 但是合起来作为整车却没人能够全懂 近些年来,FPGA 变的越来越复杂, 对工程师的要求也越来越高 要成为一个合格的 FPGA 应用工程师, 不仅要擅长数字电路的设计, 还要懂得高速 SerDes, 信号集成 SI, DSP 算法, 多核 CPU, 嵌入式操作系统等 每一项技术背后都是一个专业领域, 一个人不会在每一个领域内都是专家, 只要比别人多学习一点, 关键时候就会突出你的价值 本篇主要介绍了 SerDes 的基本结构和用好 SerDes 需要掌握一些的知识, 希望对你的工作有所帮助

Electrical and Optical Clock Data Recovery Solutions - Data Sheet

Electrical and Optical Clock Data Recovery Solutions - Data Sheet 32 GBd N1076A 32 GBd N1077A / 64 GBd N1076B 64 GBd N1078A / 64 GBd NRZ PAM4 O/E < 100 fs RMS JSA PLL ...3...4...4...5 /...6...8...11 N1076A...12 N1076B DCA-M...13 N1077A...15 N1078A DCA-M...17...21...

More information

数字带通 带阻 高通滤波器的设计 把一个归一化原型模拟低通滤波器变换成另一个所需类型的模拟滤波器, 再将其数字化 直接从模拟滤波器通过一定的频率变换关系完成所需类型数字滤波器的设计 先设计低通型的数字滤波器, 再用数字频率变化方法将其转换成所需类型数字滤波器

数字带通 带阻 高通滤波器的设计 把一个归一化原型模拟低通滤波器变换成另一个所需类型的模拟滤波器, 再将其数字化 直接从模拟滤波器通过一定的频率变换关系完成所需类型数字滤波器的设计 先设计低通型的数字滤波器, 再用数字频率变化方法将其转换成所需类型数字滤波器 数字带通 带阻 高通滤波器的设计 把一个归一化原型模拟低通滤波器变换成另一个所需类型的模拟滤波器, 再将其数字化 直接从模拟滤波器通过一定的频率变换关系完成所需类型数字滤波器的设计 先设计低通型的数字滤波器, 再用数字频率变化方法将其转换成所需类型数字滤波器 模拟原型方法 : 模拟低通 - 模拟带通 H ( j) H ( j) 3 3 3 模拟原型方法 : 模拟低通 - 模拟带通 H ( j) 模拟低通

More information

國家圖書館典藏電子全文

國家圖書館典藏電子全文 I Abstract II III ... I Abstract...II...III... IV... VI 1...1 2...3 2-1...3 2-2...4 2-3...6 2-4...6 3...8 3-1...8 3-2...10 4...12 5...15 5-1...15 5-2...17 IV 5-3...18 6...21 6-1...21 6-2...22 6-3...22

More information

Jitter Measurement V0.5

Jitter Measurement V0.5 时钟抖动测量 简介 抖动的峰峰值和有效值 Pk-pk jitter, RMS jitter JTA2 抖动分析软件包的功能 Jitter Track Jitter Histogram Jitter FFT Page 2 抖动定义 抖动的定义为 信号的定时事件与其理想位置之间的偏差 SONET SPEC: Jitter is defined as the short-term variations of

More information

時脈樹設計原則

時脈樹設計原則 時 脈 樹 設 計 原 則 在 高 效 能 應 用 中, 例 如 通 訊 無 線 基 礎 設 施 伺 服 器 廣 播 視 訊 以 及 測 試 和 測 量 裝 置, 當 系 統 整 合 更 多 功 能 並 需 要 提 高 效 能 水 準 時, 硬 體 設 計 就 變 得 日 益 複 雜, 這 種 趨 勢 進 一 步 影 響 到 為 系 統 提 供 參 考 時 序 的 電 路 板 設 計 階 段 (board-level)

More information

PCI Express

PCI Express PCI Express 1-Gigabit Wall Chip-to-Chip Line Card Interconnect PL2 PCI PL3 SFI-4/SPI-4 SFI-5/SPI-5 2.488-3.125 Gbps 16 ch 3GIO I/O Interconnect Rapid I/O (Parallel) HyperTransport Rapid I/O (Serial) 1.25,

More information

数字信号处理 第五章06 IIR数字滤波器-频率变换2.ppt [兼容模式]

数字信号处理 第五章06 IIR数字滤波器-频率变换2.ppt [兼容模式] 数字信号处理 周治国 05. 第五章数字滤波器 IIR 数字滤波器的频率变换 数字带通 带阻 高通滤波器的设计 把一个归一化原型模拟低通滤波器变换成另一个所需类型的模拟滤波器, 再将其数字化 直接从模拟滤波器通过一定的频率变换关系完成所需类型数字滤波器的设计 先设计低通型的数字滤波器, 再用数字频率变化方法将其转换成所需类型数字滤波器 模拟原型 模拟 - 模拟频带变换 模拟带通带阻高通 数字化 数字带通带阻高通

More information

AEO GEPON ONU PHY OE EO CDR / SerDes 10Gb/s 1310nm DFB 1550nm EA-DFB TOSA Fiber Fiber Laser Driver Circuit Pre-Amp. Post-Amp. Optical Transceiver Cloc

AEO GEPON ONU PHY OE EO CDR / SerDes 10Gb/s 1310nm DFB 1550nm EA-DFB TOSA Fiber Fiber Laser Driver Circuit Pre-Amp. Post-Amp. Optical Transceiver Cloc AEO GEPON ONU PHY OE EO CDR / SerDes 10Gb/s 1310nm DFB 1550nm EA-DFB TOSA Fiber Fiber Laser Driver Circuit Pre-Amp. Post-Amp. Optical Transceiver Clock Synthesis, Mux Pulse Recovery, DeMux TOSA ROSA TOSA/ROSA

More information

FPGAs in Next Generation Wireless Networks WPChinese

FPGAs in Next Generation Wireless Networks WPChinese FPGA 2010 3 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 FPGAs in Next Generation Wireless Networks GSM GSM-EDGE 384kbps CDMA2000

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

第 卷 第 期 年 月 半 导 体 学 报! " # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% )

第 卷 第 期 年 月 半 导 体 学 报!  # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% ) 第 卷 第 期 年 月!"# $%&'%' $!&'#%#$1/#1 $'! /18103 2?/03101?/18103 /3 0,?/0301.13 )*+!!! '!,!! -.&' $!'! 4%%&1)/1(7%&)03(%)%&,%*(1&0)%$-0*,%30)17*1*)0(+1(1+&1*+*),)1; &113(%44(10&.0701&0-&00*/)%;()1%-1+%&0)0*1*)%

More information

52C-14266-5

52C-14266-5 逻 辑 分 析 仪 基 础 知 识 入 门 手 册 www.tektronix.com.cn/logic_analyzers 15 入 门 手 册 目 录 引 言 3-4 起 源 3 数 字 示 波 器 3 逻 辑 分 析 仪 4 逻 辑 分 析 仪 操 作 5-13 连 接 被 测 系 统 5 探 头 5 设 置 逻 辑 分 析 仪 7 设 置 时 钟 模 式 7 设 置 触 发 7 采 集 状

More information

目 录 检 验 调 试 和 一 致 性 测 试 PCI EXPRESS 设 计...3 结 构 和 规 范 概 述...4 物 理 层 的 特 点...5 物 理 层 一 致 性 测 试 : 数 字 领 域 的 模 拟 视 图...8 检 验 和 一 致 性 测 量...8 幅 度 测 量...9

目 录 检 验 调 试 和 一 致 性 测 试 PCI EXPRESS 设 计...3 结 构 和 规 范 概 述...4 物 理 层 的 特 点...5 物 理 层 一 致 性 测 试 : 数 字 领 域 的 模 拟 视 图...8 检 验 和 一 致 性 测 量...8 幅 度 测 量...9 介 绍 PCI Express 测 量 目 录 检 验 调 试 和 一 致 性 测 试 PCI EXPRESS 设 计...3 结 构 和 规 范 概 述...4 物 理 层 的 特 点...5 物 理 层 一 致 性 测 试 : 数 字 领 域 的 模 拟 视 图...8 检 验 和 一 致 性 测 量...8 幅 度 测 量...9 定 时 测 量...9 抖 动 测 量...10 实 时 眼

More information

邏輯分析儀的概念與原理-展示版

邏輯分析儀的概念與原理-展示版 PC Base Standalone LA-100 Q&A - - - - - - - SCOPE - - LA - - ( Embedded ) ( Skew ) - Data In External CLK Internal CLK Display Buffer ASIC CPU Memory Trigger Level - - Clock BUS Timing State - ( Timing

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

AMP NETCONNECT

AMP NETCONNECT Quantum AMP NETCONNECT 1 2 ATM TSB 95 TIA/EIA 568-A-5 TIA/EIA 568-B Cat 5e / Cat 6 50 / 125m m 3 TSB95 100Ω Cat5 TIA/EIA 568A-5 100Ω Cat5e TIA/EIA 568B 100 Ω Cat6 ISO/IEC 11801 PDAM-3 PDAM-3 Class D (Cat

More information

<4D F736F F F696E74202D DCFC2D2BBB4FAB8DFCBD9B4AED0D0CFB5CDB3B2E2CAD4BDE2BEF6B7BDB0B F7065>

<4D F736F F F696E74202D DCFC2D2BBB4FAB8DFCBD9B4AED0D0CFB5CDB3B2E2CAD4BDE2BEF6B7BDB0B F7065> 下一代高速串行系统测试解决方案 BERTScope The Vision of Oscilloscope, the Confidence of BERT 日程下一代高速串行系统测试解决方案 -BERTScope 高速串行技术发展趋势和潜在挑战 BERTScope 系列产品介绍 BERTScope "BASIC" 基本功能 (BER Measure) 信号分析 (Analysis) 压力测试 (Stressed

More information

高级抖动溯源分析方法

高级抖动溯源分析方法 高级抖动溯源分析方法 安捷伦科技 ( 中国 ) 有限公司孙灯亮 抖动的定义及和相位噪声和频率噪声的关系抖动是数字系统的信号完整性测试的核心内容之一, 是时钟和串行信号的最重要测量参 数 ( 注 : 并行总线的最重要测量参数是建立时间和保持时间 ) 一般这样定义抖动 : 信号的某特定时刻相对于其理想时间位置上的短期偏离为抖动 ( 参考 :Bell Communications Research,Inc(Bellcore),"Synchrous

More information

untitled

untitled 數 (Jitter) 量 1 Agenda 量 來 量 量 精 度 2 Jitter, what is it? What is jitter? the deviation of an edge from where it should be Jitter is caused by: (among other things) Thermal noise Injected noise (EMI/RFI)

More information

N1010A FlexDCA 软 件 获 取 安 装 N1010A FlexDCA 是 安 捷 伦 采 样 示 波 器 新 的 GUI 应 用 软 件, 在 86100D 主 机 内 已 经 预 先 安 装 此 软 件 我 们 有 2 个 免 费 版 本 的 软 件 可 以 通 过 下 面 连 接

N1010A FlexDCA 软 件 获 取 安 装 N1010A FlexDCA 是 安 捷 伦 采 样 示 波 器 新 的 GUI 应 用 软 件, 在 86100D 主 机 内 已 经 预 先 安 装 此 软 件 我 们 有 2 个 免 费 版 本 的 软 件 可 以 通 过 下 面 连 接 利 用 采 样 示 波 器 FlexDCA 软 件 进 行 仿 真 分 析 应 用 文 章 胡 海 洋 介 绍 系 统 仿 真 就 是 将 计 算 机 仿 真 的 方 法 引 入 到 电 子 系 统 开 发 和 设 计 过 程 中 来 通 过 计 算 机 模 拟 电 子 系 统 的 实 际 工 作 过 程, 模 拟 系 统 各 模 块 级 联 工 作 得 到 输 出 结 果, 在 虚 拟 的 环 境

More information

[8], Fig. FPLLSchematics. [8],,, [8],,, ±500Hz,,,, [3,8] ±90,,temptemp ;ωofωop Fig. LoopFilterSchematicsforFPLL FLL PLL y(), NCO z(), z() ;B ;T

[8], Fig. FPLLSchematics. [8],,, [8],,, ±500Hz,,,, [3,8] ±90,,temptemp ;ωofωop Fig. LoopFilterSchematicsforFPLL FLL PLL y(), NCO z(), z() ;B ;T 39 04 GeomaticsadIformatioScieceofWuhaUiversity Vol.39No. Nov.04 DOI0.303/j.whugis03035 67-8860(04)-39-05 FPLL GPS 3 3,,43007 6507,,8003 3,,430079 针对高动态环境下普通 GPS 接收机跟踪环路容易失锁的问题, 考虑到锁频环动态性能好 锁相环跟踪精度高的特点,

More information

1 从模拟低通滤波器设计数字低通滤波器 (1) 脉冲 / 阶跃响应不变法 (2) 双线性变换法 一 从模拟滤波器设计数字滤波器 2 IIR 数字低通滤波器的频率变换 ( 高通 带通 带阻数字滤波器的设计 (1) 直接由模拟原型到各种类型数字滤波器的转换 (2) 从数字低通滤波器到各种类型数字滤波器的

1 从模拟低通滤波器设计数字低通滤波器 (1) 脉冲 / 阶跃响应不变法 (2) 双线性变换法 一 从模拟滤波器设计数字滤波器 2 IIR 数字低通滤波器的频率变换 ( 高通 带通 带阻数字滤波器的设计 (1) 直接由模拟原型到各种类型数字滤波器的转换 (2) 从数字低通滤波器到各种类型数字滤波器的 1 从模拟低通滤波器设计数字低通滤波器 (1) 脉冲 / 阶跃响应不变法 (2) 双线性变换法 一 从模拟滤波器设计数字滤波器 2 IIR 数字低通滤波器的频率变换 ( 高通 带通 带阻数字滤波器的设计 (1) 直接由模拟原型到各种类型数字滤波器的转换 (2) 从数字低通滤波器到各种类型数字滤波器的转换 IIR 数字滤波器设计 二 直接设计 IIR 数字滤波器 1 IIR 数字低通滤波器的频域直接设计方法

More information

一.本课程的目的,任务和特点

一.本课程的目的,任务和特点 第 5 章离散时间傅里叶变换 DTFT. 离散系统傅里叶变换推导. 离散时间傅里叶变换举例 3. 离散时间傅里叶变换性质 4. 卷积性质及其含义和用途 . 离散系统傅里叶变换推导 推导 : 类似于连续系统的傅里叶变换, 除了 e e x 是非周期序列且持续时间有限 足够大以至于 0如果 x 当 而且以 为周期, x x x a k k 0 0 0 定义 X e a k a e k x e k x e

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 The BitCoin Scripting Language 交易实例 交易结构 "result": { "txid": "921a dd24", "hash": "921a dd24", "version": 1, "size": 226, "locktime": 0, "vin": [ ], "vout": [ ], "blockhash": "0000000000000000002c510d

More information

1 VLBI VLBI 2 32 MHz 2 Gbps X J VLBI [3] CDAS IVS [4,5] CDAS MHz, 16 MHz, 8 MHz, 4 MHz, 2 MHz [6] CDAS VLBI CDAS 2 CDAS CDAS 5 2

1 VLBI VLBI 2 32 MHz 2 Gbps X J VLBI [3] CDAS IVS [4,5] CDAS MHz, 16 MHz, 8 MHz, 4 MHz, 2 MHz [6] CDAS VLBI CDAS 2 CDAS CDAS 5 2 32 1 Vol. 32, No. 1 2014 2 PROGRESS IN ASTRONOMY Feb., 2014 doi: 10.3969/j.issn.1000-8349.2014.01.07 VLBI 1,2 1,2 (1. 200030 2. 200030) VLBI (Digital Baseband Convertor DBBC) CDAS (Chinese VLBI Data Acquisition

More information

同步网络中的高性能线卡时钟解决方案

同步网络中的高性能线卡时钟解决方案 Application Report ZHCA493 JAN 2013 同 步 网 络 中 的 高 性 能 线 卡 时 钟 解 决 方 案 Shawn Han; Steven Shi China Telecom Application Team 摘 要 作 为 一 种 高 性 能 低 成 本 的 线 卡 时 钟 解 决 方 案,LMK04800 可 以 实 现 传 统 的 SDH/SONET 系 统

More information

xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结!

xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结! xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结! 以下是串口的时序 : 在设计中, 耽误时间最长的问题就是数据老是出错, 为了找出问题的所在, 用示波器观察了

More information

Microsoft Word - AUCOL_2007JUN19_BOE_BAB_SAF_INF_POT_TA_999.doc

Microsoft Word - AUCOL_2007JUN19_BOE_BAB_SAF_INF_POT_TA_999.doc EMI / EMC 设 计 秘 籍 电 子 产 品 设 计 工 程 师 必 备 手 册 目 录 一 EMC 工 程 师 必 须 具 备 的 八 大 技 能 二 EMC 常 用 元 件 三 EMI/EMC 设 计 经 典 85 问 四 EMC 专 用 名 词 大 全 五 产 品 内 部 的 EMC 设 计 技 巧 六 电 磁 干 扰 的 屏 蔽 方 法 七 电 磁 兼 容 (EMC) 设 计 如 何

More information

2

2 1 2 3 -1 : P in (db) LA = 10lg PL 4 -2 ( ω ) [ ( )] 2 P 1 L A ( ω ) = 10lg = 10lg 1+ ω 2 1 Γ Butterworth (Chebyshev) 5 , 6 20 db = 20log V transmitted V incident 7 Bandwidth Bandwidth Magnitude Constant

More information

数字信号处理 第五章04 IIR数字滤波器-脉冲响应不变变换法.ppt [兼容模式]

数字信号处理 第五章04 IIR数字滤波器-脉冲响应不变变换法.ppt [兼容模式] 数字信号处理 周治国 2015.11 第五章数字滤波器 IIR 数字滤波器 脉冲响应不变变换法 1 从模拟低通滤波器设计数字低通滤波器 (1) 脉冲 / 阶跃响应不变法 (2) 双线性变换法 一 从模拟滤波器设计数字滤波器 2 IIR 数字低通滤波器的频率变换 ( 高通 带通 带阻数字滤波器的设计 (1) 直接由模拟原型到各种类型数字滤波器的转换 (2) 从数字低通滤波器到各种类型数字滤波器的转换

More information

相位噪声是对信号时序变化的另一种测量方式, 其时间抖动 (jitter) 在频率域中的显示 图 2 用一个振荡器信号来解释相位噪声 如果没有相位噪声, 那么振荡器的整个功率都应集中在频率 f=fo 处 但相位噪声的出现将振荡器的一部分功率扩展到相邻的频率中去, 产生了边带 (sideband) 从图

相位噪声是对信号时序变化的另一种测量方式, 其时间抖动 (jitter) 在频率域中的显示 图 2 用一个振荡器信号来解释相位噪声 如果没有相位噪声, 那么振荡器的整个功率都应集中在频率 f=fo 处 但相位噪声的出现将振荡器的一部分功率扩展到相邻的频率中去, 产生了边带 (sideband) 从图 时间抖动 (jitter) 的概念及其分析方法随着通信系统中的时钟速率迈入 GHz 级, 抖动这个在模拟设计中十分关键的因素, 也开始在数字设计领域中日益得到人们的重视 在高速系统中, 时钟或振荡器波形的时序误差会限制一个数字 I/O 接口的最大速率 不仅如此, 它还会导致通信链路的误码率增大, 甚至限制 A/D 转换器的动态范围 有资料表明在 3GHz 以上的系统中, 时间抖动 (jitter)

More information

CHCN_8-14_K.indd

CHCN_8-14_K.indd 是德科技 三个理由让您选择深存储快响应示波器 应用指南 介绍 1. 更长的波形捕获时间 = / 1 1 Mpts 10 GSa/s 1 2 100 Mpts 10 1. = / 1 Mpts 10 GSa/s 1 ms 2. = / 100 Mpts 10 GSa/s 10 ms 3 12.5 Mpts 3 300 Kpts 3 3. 3 12.5 Mpts 3 300 Kpts? Agilent

More information

xx ZZZ SDLA 串 行 数 据 链 路 分 析 在 线 帮 助 *P077021601* 077-0216-01 ZZZ SDLA 串 行 数 据 链 路 分 析 在 线 帮 助 www.tektronix.com 077-0216-01 Copyright Tektronix. 保 留 所 有 权 利 许 可 软 件 产 品 由 Tektronix 其 子 公 司 或 提 供 商 所 有,

More information

HDMI HDMI Licensing HDMI / 29% 11%27% 7%13%8% 5% 5000 HDMI DVD A/V / HDMI Media Center PC HDMI FCC (digital cable-ready)36 50%

HDMI HDMI Licensing HDMI / 29% 11%27% 7%13%8% 5% 5000 HDMI DVD A/V / HDMI Media Center PC HDMI FCC (digital cable-ready)36 50% HDMI/DVI HMDI/DVI HDMI/DVI DVI (Digital Video 1 DVI/HDMI Interface) 5 LCD DVI HDMI (High-Definition Multimedia Interface) HDMI DVI HDMI DVI DVI HDMI DVI DDWG(Digital Display Working Group) Silicon Image

More information

<4D F736F F F696E74202D20C9E4C6B5D3EBCAFDC4A3BBECBACFC0E0B8DFCBD C9E8BCC62D E707074>

<4D F736F F F696E74202D20C9E4C6B5D3EBCAFDC4A3BBECBACFC0E0B8DFCBD C9E8BCC62D E707074> 射 频 与 数 模 混 合 类 高 速 PCB 设 计 课 题 内 容 理 清 功 能 方 框 图 网 表 导 入 PCB Layout 工 具 后 进 行 初 步 处 理 的 技 巧 射 频 PCB 布 局 与 数 模 混 合 类 PCB 布 局 无 线 终 端 PCB 常 用 HDI 工 艺 介 绍 信 号 完 整 性 (SI) 的 基 础 概 念 射 频 PCB 与 数 模 混 合 类 PCB

More information

Lab 4

Lab 4 EE4650 通訊實驗 Lab 4 PSK, FSK, and ASK Digital Modulations 1 Lab 4:PSK, FSK, ASK Digital Modulations Baseband Tx RF Frontend M- Seq 0101 Error Correction coding 010110 Digital Modulation D/A BPF PA cos(2πf

More information

·sª¾125´Á

·sª¾125´Á 5 GHz U-NII (bit error rate, BER) (error vector magnitude, EVM) (adjacent channel power (RF/microwave) ratio, ACPR) EVM ( ) (gain compression) (LO feedthrough) IQ (gain imbalance) (carrier frequency offset)

More information

Microsoft Word - MTK平台生产软件使用说明.doc

Microsoft Word - MTK平台生产软件使用说明.doc MTK 1. 1.1 SMT BSN 1.2 1 IMEI 2. 2 2.1 MTK Flash Flash NAND FlashMP3 1 SMT SOFT Flash 2 SOFT MKT USB-RS232 921600 8 2.2 COPY 2.3 USB PCUSB USB 8 USB USB USB-RS232 (USB ) RS232 PCRS232 8 4V2A 2.4 DA File

More information

TD

TD *TD-000212-05* 20- 应用实例 4 本例显示的是使用两个亚低 音扬声器和多个顶箱的双声 道 立体声 设置 除了各声道都增加了一个顶 箱外 也可以增加更多的顶 箱 本例和例 3 的情况一 致 声道 2 或 右声道 声道 1 或 左声道 要接到更多的顶箱 将最后 一个顶箱的全幅线路输出接 头处的线缆接到下一个顶箱 的全幅线路输入接头 在不 降低信号质量的情况下 最

More information

Si53xx Family Reference Manual (Chinese)

Si53xx Family Reference Manual (Chinese) 任 意 频 率 精 准 时 钟 A NY-FREQUENCY PRECISION CLOCKS Si5316, Si5319, Si5322, Si5323, Si5324, Si5325, Si5326, Si5327, Si5365, Si5366, Si5367, Si5368, Si5369, Si5374, Si5375 系 列 参 考 手 册 FAMILY REFERENCE MANUAL

More information

无线通讯实验室测试系统方案.doc

无线通讯实验室测试系统方案.doc 110 Wi-Fi3G 1 QPSK64QAM 2 3 4 3 1 2 3 1.1 I/Q OFDM MIMO : 1 WCDMACDMA2000TD-SCDMAWLAN 2 3 4 ; : 2.1 ; ; ; ; ; ; ; IQ ; ; 2.2 ; ; ; / ; ; ; / ; ; ; ; ; ; ; ; ; ; / ; ; ; ; ;VCO ; ; ; ; ; ; / Agilent 1.2

More information

100GbE Seminar-V1.1.pptx

100GbE  Seminar-V1.1.pptx 100GbE+ 测试解决方案 汪进进 Frankie.Wang@LeCroy.com Agenda 100GbE 概述 相干光调制技术及测量 CEI-25G-LR/CEI-28G-SR 接口及测量 2 网络带宽的需求特别网络视频的迅猛需求驱动着 100GbE 的快速发展 3 关于 100G 的新闻标题 4 关于 100G 的新闻标题 5 100GbE 驱动了更高带宽示波器的诞生 Time October

More information

CSA SONET/SDH GR 253-CORE ITU-T G.703 ANSI T Ethernet IEEE Std ANSI X Fibre Channel Optical Fibre Channel Electrical U

CSA SONET/SDH GR 253-CORE ITU-T G.703 ANSI T Ethernet IEEE Std ANSI X Fibre Channel Optical Fibre Channel Electrical U CSA7000 2.5 Gb/s CSA7000 (OSI) CSA7000 2.5 Gb/s CSA7000 DPO ( ) 1 www.tektronix.com/csa7000 CSA7000 1 SONET/SDH GR 253-CORE ITU-T G.703 ANSI T1.102-1993 Ethernet IEEE Std 802.3 ANSI X3.263-1995 Fibre Channel

More information

Microsoft Word - P SDV series.DOC

Microsoft Word - P SDV series.DOC 片式压敏电阻器 SDV 系列 Chip SDV Series Operating Temp. : -55 ~ +125 特征 SMD 结构适合高密度安装 优异的限压比, 响应时间短 (

More information

画像処理に新しい価値を提供するUSB3.0カメラ(国際画像機器展2014)

画像処理に新しい価値を提供するUSB3.0カメラ(国際画像機器展2014) December 3, 2014 Toshiaki Iwata Copyright 2014 TOSHIBA TELI CORPORATION, All rights reserved. USB3.0 / USB3 Vision Copyright 2014 TOSHIBA TELI CORPORATION, All rights reserved. 2 Copyright 2014 TOSHIBA

More information

Fig1 Theforceappliedtothetrainwhenrunning :w = w j +w q (3) :w = w = w 0 +w j (4) w i 121 基本阻力 w r = 600 R ( N/kN) (8) :R : [2] w s [3] w s =0

Fig1 Theforceappliedtothetrainwhenrunning :w = w j +w q (3) :w = w = w 0 +w j (4) w i 121 基本阻力 w r = 600 R ( N/kN) (8) :R : [2] w s [3] w s =0 31 4 2012 8 JournalofLanzhouJiaotongUniversity Vol31No4 Aug2012 :1001-4373(2012)04-0097-07 * 张友兵 张 波 ( 100073) : 分析了列车运行过程中的受力情况 给出了制动过程中减速度的计算方法 并采用正向 反向两种迭代方式计算列车制动曲线 两种方式计算出的制动曲线一致 证明了计算制动曲线的方法是正确的

More information

Microsoft Word - GZ series of Multilayer Chip Ferrite Bead.doc

Microsoft Word - GZ series of Multilayer Chip Ferrite Bead.doc 叠层片式铁氧体磁珠 G 系列 Multilayer Chip Ferrite Bead G Series Operating Temp. : - ~+12 特征 内部印有银电极的叠层结构, 铁氧体屏蔽无串扰 在较宽的频率范围 ( 几十 MHz 至几百 MHz) 内具有优良的 EMI 抑制效果 三种铁氧体材料 范围宽, 适用于不同的电子线路 用途 电脑及周边设备,DVD 照相机 LCD TV 等音视频设备,

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg 1 1 a. 0.5V 5V 1Hz 1MHz b. 0.1% 2 : a. 0.5V 5V 1Hz 1MHz b. 0.1% (3) a. 0.5V 5V 100 s b. 1% 4 1 10 5 1MHz 6 1 2 1 0.1Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15

More information

Microsoft Word - Agilent USB3.0 测试方案.docx

Microsoft Word - Agilent USB3.0 测试方案.docx USB3.0 物理层测试方案 一 USB3.0 简介 USB 的规范最早由 USB 协会 (USB-IF) 在 1996 年推出, 经过 10 多年的发展, 已经成为 PC 及其外设上应用最为成功的接口 USB1.0 的速度不够时,USB 协会在 2000 年推出了 USB2.0 标准 目前普遍应用的是 USB2.0 的规范,USB 2.0 的最高速率指标定义是 480Mbps, 但实际上因各种限制因素,

More information

新世紀領導人才培育營-初階研習營檢討會議程表

新世紀領導人才培育營-初階研習營檢討會議程表 出 國 報 告 ( 出 國 類 別 : 交 流 參 訪 ) 赴 大 陸 武 漢 大 學 交 流 參 訪 出 國 報 告 書 服 務 機 關 : 國 立 中 央 大 學 地 球 科 學 院 姓 名 職 稱 : 朱 延 祥 院 長 派 赴 國 家 : 中 國 大 陸 出 國 期 間 : 民 國 104 年 5 月 2 日 到 5 月 8 日 報 告 日 期 : 民 國 104 年 5 月 14 日 i

More information

第三章

第三章 (Multicode Interference Cancellation) WCDMA 量 (capacity) (Multiple access interference, MAI) (ear/far effect) 來 料 (MCIC, Multicode interference cancellation) 路 (MPIC, Multipath interference cancellation)

More information

I 元器件上市公司经济状况分析及年度展望

I  元器件上市公司经济状况分析及年度展望 2002 1 2002 5 WWW.CEI.GOV.CN 2001-2005 2005 3000 2010 7500 : : : 21 1 FAX 010 68558370 2 FAX 010 68558370 I.. 2...2...3...7...8 2002...9 II..11...11...12...17...21...23 III.26...26...27...27...27 1 2001...3

More information

Agenda PXI PXI

Agenda PXI PXI PXI 2005 3 Agenda PXI PXI PXI 1997 VXI 1980 & 1990 GPIB 1970 GPIB 70 IEEE 488.1/488.2 1.5Mb/s GPIB 15 (488.2 SCPI) GPIB GPIB GPIB / 80 VXI VME extensions for Instruments 40MB/s (GPIB 40 ) / VXI 80 VXI

More information

MAN- Metropolitan Area Network Resilient Packet Ring a : 5GHz 54Mbps b : 2.4GHz 11Mbps c : MAC Bridge 802.1D 80

MAN- Metropolitan Area Network Resilient Packet Ring a : 5GHz 54Mbps b : 2.4GHz 11Mbps c : MAC Bridge 802.1D 80 IEEE 802.11a s0323516@ncnu.edu.tw 1 (WLAN) [1] 1963 IEEE Institute Of Electrical and Electronics Engineers LAN MAN-Metropolitan Area Network IEEE 802 IEEE 802 Working Group 802.11 IEEE 802 802.1 LAN MAN

More information

Tektronix物联网综合解决方案

Tektronix物联网综合解决方案 Tektronix Innovation Forum Enabling Innovation in the Digital Age 高速串行系统 设计的考验以及调试技巧 泰克科技 ( 中国 ) 有限公司设计与制造仪器产品部应用工程师余洋 日程安排 背景信息 板级信号完整性验证 功能验证和故障调试 抖动和眼图测量 接收机验证 大趋势是什么? 大数据! 高速度! 高一致性! 3 您面对的是什么? 串行?

More information

RF & MICROWAVE COMPONENTS

RF & MICROWAVE COMPONENTS MICROOT MICROWAVE CO., LTD. RF & MICROWAVE COMPONENTS WWW.MIC-ROOT.COM Catalogue 1. ABOUT MICROOT...3 2. Broadband 90/180deg Hybrid and Coupler...4 3. Broadband Power Divider... 13 4. Filter... 20 5. RF

More information

j_xilinx-training-courses_2012.pdf

j_xilinx-training-courses_2012.pdf Xilinx Training Catalog ... 2... 3-7 FPGA ISE... 8 FPGA... 9 FPGA... 10 FPGA... 11 Spartan-6... 12 Virtex-6... 13 7 FPGA... 14 PlanAhead... 15 PlanAhead... 16 ChipScope Pro... 17... 18... 19... 20 LogiCORE

More information

器之 间 向一致时为正 相反时则为负 ③大量电荷的定向移动形成电 流 单个电荷的定向移动同样形成电流 3 电势与电势差 1 陈述概念 电场中某点处 电荷的电势能 E p 与电荷量 q Ep 的比值叫做该点处的电势 表达式为 V 电场中两点之间的 q 电势之差叫做电势差 表达式为 UAB V A VB 2 理解概念 电势差是电场中任意两点之间的电势之差 与参考点的选择无关 电势是反映电场能的性质的物理量

More information

大理大学 2019 年自命题科目考试大纲 科目代码 :871 科目名称 : 信号与系统 一 目标要求 信号与系统 是大理大学电子与通信工程领域硕士专业学位研究生入学考试的自命题考试科目, 其目的是科学 公平 有效地测试考生掌握信号与系统的基本概念 基本理论和基本分析方法的情况, 评价考生根据工程应用

大理大学 2019 年自命题科目考试大纲 科目代码 :871 科目名称 : 信号与系统 一 目标要求 信号与系统 是大理大学电子与通信工程领域硕士专业学位研究生入学考试的自命题考试科目, 其目的是科学 公平 有效地测试考生掌握信号与系统的基本概念 基本理论和基本分析方法的情况, 评价考生根据工程应用 大理大学 2019 年自命题科目考试大纲 科目代码 :871 科目名称 : 信号与系统 一 目标要求 信号与系统 是大理大学电子与通信工程领域硕士专业学位研究生入学考试的自命题考试科目, 其目的是科学 公平 有效地测试考生掌握信号与系统的基本概念 基本理论和基本分析方法的情况, 评价考生根据工程应用的需求建立信号与系统的数学模型, 通过时间域与变换域的数学算法, 分析系统性能, 求解输出信号的能力,

More information

工程师培训

工程师培训 .1 Quidway 1 .2.2.1 ATM 2 .2.2 ( LAN ) ( WAN ) ( CONSOLE ) 3 .3.3.1 LAN Ethernet Token Bus Token Ring...... Local Area Network LAN 1 2 3 LAN LAN IBM LAN 4 .3.2 10M 100M 1000M 10Mbps 100Mbps 1000Mbps IEEE

More information

2002/06/25

2002/06/25 2002/06/25 ... 1 GPU... 1 1.... 1 2.... 1 3.... 2 4.... 2 5.... 2 6. MX460... 3 6.1... 3 6.2... 4 7. MX440... 5 7.1... 5 7.2... 6 8. MX420... 7 8.1... 7 8.2... 8 9. MX420D... 9 9.1... 9 9.2... 10 10....11

More information

16 Gb/s 30 Gb/s 和 32 Gb/s PatternPro 码型发生器 PPG1600 PPG3000 和 PPG3200 系列产品技术资料 主要特点 提供 1 2 或 4 条 16 Gb/s 30 Gb/s 或 32 Gb/s 输出通道 ( 所有通道上的独立数据 ) 当与 PED 系

16 Gb/s 30 Gb/s 和 32 Gb/s PatternPro 码型发生器 PPG1600 PPG3000 和 PPG3200 系列产品技术资料 主要特点 提供 1 2 或 4 条 16 Gb/s 30 Gb/s 或 32 Gb/s 输出通道 ( 所有通道上的独立数据 ) 当与 PED 系 16 Gb/s 30 Gb/s 和 32 Gb/s PatternPro 码型发生器 PPG1600 PPG3000 和 PPG3200 系列产品技术资料 主要特点 提供 1 2 或 4 条 16 Gb/s 30 Gb/s 或 32 Gb/s 输出通道 ( 所有通道上的独立数据 ) 当与 PED 系列误码检测器配对时, 提供完整端到端多通道 误码率测试解决方案 抖动插入选项包括 BUJ SJ RJ

More information

Type Title Here Not to Exceed Three Lines

Type Title Here Not to Exceed Three Lines 使用示波器查找并消除电路设计中的串扰 陆秋捷高级应用工程师 028-83108615 qiu-jie_lu@keysight.com Mar. 31, 2016 助您做出正确的重要设计决策, 寻回被串扰侵占的设计裕量! 目录 串扰及其类型 串扰表征与调试的挑战 Keysight 串扰分析测试解决方案 测试设置 串扰分析结果 串扰网络模型 总结 使用示波器查找并消除电路设计中的串扰 Page 2 目录

More information

TWSTFT : (GNSS ) GEO ( ) TWSTFT UTC 1ns [8] 1 (PPS) Fig.1 PPS MeasurementMethod ( ) CV : TWSTFTCV (GNSS ) GPS GLONASS 5 GEO 6 2 3~5ns [9]

TWSTFT : (GNSS ) GEO ( ) TWSTFT UTC 1ns [8] 1 (PPS) Fig.1 PPS MeasurementMethod ( ) CV : TWSTFTCV (GNSS ) GPS GLONASS 5 GEO 6 2 3~5ns [9] 39 11 2014 11 GeomaticsandInformationScienceofWuhanUniversity Vol.39No.11 Nov.2014 DOI:10.13203/j.whugis20130265 :1671-8860(2014)11-1347-05 PPS GPS-GLONASS 123 1 23 4 23 1 450001 2 710054 3 710054 4 710054

More information

应用指南

应用指南 高 速 FPGA 系 统 的 信 号 完 整 性 测 试 和 分 析 张 楷 泰 克 科 技 ( 中 国 ) 有 限 公 司 摘 要 : 随 着 FPGA 器 件 的 速 度 和 容 量 日 益 提 高, 各 种 高 速 的 并 行 和 串 行 接 口 都 广 泛 应 用 在 FPGA 上, 其 中 典 型 的 高 速 串 行 总 线 速 率 超 过 1Gb/s, 这 为 设 计 和 应 用 人 员

More information

16 Gb/s 30 Gb/s 和 32 Gb/s PatternPro 码型发生器 PPG1600 PPG3000 和 PPG3200 系列产品技术资料 主要特点 提供 1 2 或 4 条 16 Gb/s 30 Gb/s 或 32 Gb/s 输出通道 ( 所有通道上的独立数据 ) 当与 PED 系

16 Gb/s 30 Gb/s 和 32 Gb/s PatternPro 码型发生器 PPG1600 PPG3000 和 PPG3200 系列产品技术资料 主要特点 提供 1 2 或 4 条 16 Gb/s 30 Gb/s 或 32 Gb/s 输出通道 ( 所有通道上的独立数据 ) 当与 PED 系 16 Gb/s 30 Gb/s 和 32 Gb/s PatternPro 码型发生器 PPG1600 PPG3000 和 PPG3200 系列产品技术资料 主要特点 提供 1 2 或 4 条 16 Gb/s 30 Gb/s 或 32 Gb/s 输出通道 ( 所有通道上的独立数据 ) 当与 PED 系列误码检测器配对时, 提供完整端到端多通道 误码率测试解决方案 抖动插入选项包括 BUJ SJ RJ

More information

XDC约束技巧-IO篇(上)1.1

XDC约束技巧-IO篇(上)1.1 XDC 约束技巧之 I/O 篇 ( 上 ) XDC 约束技巧之时钟篇 中曾对 I/O 约束做过简要概括, 相比较而言,XDC 中的 I/O 约束虽然形式简单, 但整体思路和约束方法却与 UCF 大相径庭 加之 FPGA 的应用特性决定了其在接口上有多种构建和实现方式, 所以从 UCF 到 XDC 的转换过程中, 最具挑战的可以说便是本文将要讨论的 I/O 约束了 I/O 约束的语法 XDC 中可以用于

More information

5 6 6 7 7 8 8 9 9 9 9 10 10 10 10 11 11 11 11 11 12 13 13 14 15 17 17 17 18 18 19 19 19 20 20 21 21 22 22 22 23 / 24 24 24 XY 24 Z 25 XYZ 25 25 26 26

5 6 6 7 7 8 8 9 9 9 9 10 10 10 10 11 11 11 11 11 12 13 13 14 15 17 17 17 18 18 19 19 19 20 20 21 21 22 22 22 23 / 24 24 24 XY 24 Z 25 XYZ 25 25 26 26 5 6 6 7 7 8 8 9 9 9 9 10 10 10 10 11 11 11 11 11 12 13 13 14 15 17 17 17 18 18 19 19 19 20 20 21 21 22 22 22 23 / 24 24 24 XY 24 Z 25 XYZ 25 25 26 26 27 27 28 28 28 29 29 29 29 30 30 31 31 31 32 www.tektronix.com

More information

untitled

untitled Portable Electrode B91901070 B91901133 量 ECG 路 更 量 路 performance RF 量 路 Portable Electrode 便利 量 portable electrode 路 濾 濾 行 electrode 類 FM modulation scheme ECG 類 數 RF RF demodulate 利 Elvis Labview ECG

More information

第一章

第一章 課 程 名 稱 : 光 纖 傳 輸 實 務 與 實 習 1. 課 程 概 述 : 光 纖 傳 輸 實 務 與 實 習 為 隔 年 開 授 之 課 程, 此 高 等 課 程 實 習 項 目 之 內 容 較 具 彈 性, 以 教 導 學 生 如 何 使 用 設 計 工 具 與 發 揮 設 計 能 力 為 目 標 新 編 了 光 纖 光 放 大 器 模 擬 設 計 實 習 教 材, 包 含 摻 鉺 光 纖

More information

ESD.xls

ESD.xls Transient Suppressor Reverse Reverse ESD Capacitance Stand-off Beakdown Package Contact/Air Channel Circuit Diagram Pin Configuration Remark CMTLCP020CR35BFE CMTLDF02CR35AFE CMTLDF02CR50BLE CSP020 (pf)

More information

第 期 房建成等 动态定位的强跟踪卡尔曼滤波研究

第 期 房建成等 动态定位的强跟踪卡尔曼滤波研究 第 卷第 期 年 月 东南大学学报 房建成万德钧吴秋平 东南大学仪器科学与工程系 南京 提出一种改进的强跟踪卡尔曼滤波算法 应用于 动态定位滤波中获得明显效果 首先采用描述机动载体运动的 当前 统计模型 建立了一种新的 动态定位扩展卡尔曼滤波模型及其自适应算法 然后 为了进一步提高滤波器的动态性能 改进了周东华等提出的强跟踪滤波器 大大提高了 动态定位扩展卡尔曼滤波器的跟踪能力 动态定位 卡尔曼滤波

More information

発表の概要

発表の概要 2008 09 : : (Yokogawa Electric Corporation) 1915 9 1 : : 36 (2006 3 31 ) : 19,200 : : 110 29 Headquarters Regional Headquarters Production Facilities Sales, Engineering and Service Centers : 59 2007 9

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

2. 论 痘 疹 受 病 之 由 2.1. 夫 小 儿 在 胎 之 时. 乃 母 五 脏 之 液 所 养 成 形 也. 其 母 不 知 禁 戒. 纵 情 浓 味. 好 啖 辛 酸. 或 食 毒 物. 其 气 传 于 胞 胎 之 中. 此 毒 发 为 疮 疹. 名 曰 三 秽 液 毒. 一 五 脏 六

2. 论 痘 疹 受 病 之 由 2.1. 夫 小 儿 在 胎 之 时. 乃 母 五 脏 之 液 所 养 成 形 也. 其 母 不 知 禁 戒. 纵 情 浓 味. 好 啖 辛 酸. 或 食 毒 物. 其 气 传 于 胞 胎 之 中. 此 毒 发 为 疮 疹. 名 曰 三 秽 液 毒. 一 五 脏 六 1. 序 1.1. 尝 谓 小 儿 病 证 虽 多. 而 疮 疹 最 为 重 病. 何 则. 疮 疹 之 病. 盖 初 起 疑 似 难 辨. 投 以 他 药. 不 惟 无 益. 抑 又 害 之. 况 不 言 受 病 之 状. 孰 知 畏 恶 之 由. 父 母 爱 子. 急 于 救 疗 医 者 失 察. 用 药 差 舛. 鲜 有 不 致 夭 横 者. 文 中 每 思 及 此. 恻 然 于 心. 因 取

More information

Microsoft PowerPoint - ch9 [兼容模式]

Microsoft PowerPoint - ch9 [兼容模式] 第九章 多采样率数字信号处理 王柯俨 kwang@mail.idian.edu.cn http://web.idian.edu.cn/kwang/teach.html d /k /t h 1 91 9.1 引言 需要多采样率的场合 : 需求不同 ( 数字电视 数字电话等 非平稳信号的分析 冗余数据的存在 采样率转换 多采样率数字信号处理 2 采样率转换方法 : 方法一 : 间接转换 把离散时间信号

More information

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC MODEL 61509/61508/61507/ 61609/61608/61607 PROGRAMMABLE AC POWER SOURCE MODEL 61509/61508/61507/ 61609/61608/61607 61509/61609: 6kVA 61508/61608: 4.5kVA 61507/61607: 3kVA : 0-175V/0-350V/Auto : DC, 15Hz-2kHz

More information

<4D F736F F F696E74202D AD4955D89BF8FDA8DD790E096BE C835B E707074>

<4D F736F F F696E74202D AD4955D89BF8FDA8DD790E096BE C835B E707074> e-trace 132 1617 1872 p32-12 1/71 2/71 . GPS AIST 17 1-12 p32-39 3/71 GPS NMIJGPSGPS time GPS #N 1 GPS #N 2 GPS GPS #N 3 TA TA GPS _ time TA T T GPS _ time T T AB B A B T T T T ( T TB) B A B B A 4/71 16

More information

钟发生器能够合成多个不同频率的时钟, 但与由时钟缓冲器加上 XO 组成的时钟树相比, 牺牲部分抖动性能 时钟缓冲器可以与 XO 参考联合分配多个相同频率的时钟, 并且为多 输出时钟树实现最低抖动 同步时钟用于需要连续通信和网络级同步的应用, 例如光传输网络 (OTN ) SONET/SDH 移动回程

钟发生器能够合成多个不同频率的时钟, 但与由时钟缓冲器加上 XO 组成的时钟树相比, 牺牲部分抖动性能 时钟缓冲器可以与 XO 参考联合分配多个相同频率的时钟, 并且为多 输出时钟树实现最低抖动 同步时钟用于需要连续通信和网络级同步的应用, 例如光传输网络 (OTN ) SONET/SDH 移动回程 时钟树设计原则 在高性能应用中, 例如通信 无线基础设施 服务器 广播视频以及测试和测量装置, 当系统集成更多功能并需要提高性能水平时, 硬件设计就变得日益复杂, 为系统提供参考时序的板级时钟树也走向这种趋势 在进行时钟树设计时, 一成不变 的策略并不适用, 优化时钟树以满足性能和成本的要求取决于多种因素, 包括系统架构 集成电路 (IC) 时序需求 ( 频率 信号格式等 ) 和终端应用的抖动需求

More information

! %! &!! % &

! %! &!! % & 张海峰 姚先国 张俊森 借鉴 的有效教育概念 本文利用 年间的中国省级面板数据估计了平均教育年限 教育数量 和平均师生比率 教育质量 对地区 劳动生产率的影响 本文的实证分析结果表明 以师生比率衡量的教育质量对劳动生产 率有显著且稳健的正效应 在均值处师生比率每上升一个标准差 有助于提高地区劳动生产率约 教育数量对劳动生产率的影响大小部分取决于教育质量的高低 教育质量越高教育数量对劳动生产率的促进效应越大

More information

NAIS-500 AIS B 简体中文 www.bandg.com www.simrad-yachting.com www.lowrance.com Navico NAIS-500 AIS B NAVICO HOLDING Navico Holding AS 2017 Navico Holding AS ¼ / / NAIS-500 1 1 4 4 4 7 AIS B 7 AIS 8 8 9 12

More information

Tel:010-62981668-2930 1

Tel:010-62981668-2930  1 Access 93C46 with SPI function V1.0.0 Jan. 31, 2005 http://www.sunplusmcu.com Tel:010-62981668-2930 http://www.sunplusmcu.com E-mail:mcu@sunplus.com.cn 1 0 0...2 1...3 2...4 2.1...4 2.2...5 3...6 3.1 AT93C46...6

More information

TDS5000B MyScope MyScope MyScope MyScope TDS5000B TDS5000B (DPO 1 GHz 5 GS/s 16M DPO MyScope TDS5000B TDS5000B DPO DPX 100,000 DPX DPO 2

TDS5000B MyScope MyScope MyScope MyScope TDS5000B TDS5000B (DPO 1 GHz 5 GS/s 16M DPO MyScope TDS5000B TDS5000B DPO DPX 100,000 DPX DPO 2 TDS5000B 1 GHz 500 350MHZ 2 4 5 GS/s 16MS 100,000wfms/s MyScopeTM OpenChoiceTM Windows 2000 / 10.4 MyScope MyScope TDS5000B ( / MyScope CD-RW ( / MyScope Tektronix / / TDS5000B DVD 1 www.tektronix.com/tds5000b

More information

Chapter #

Chapter # 第三章 TCP/IP 协议栈 本章目标 通过本章的学习, 您应该掌握以下内容 : 掌握 TCP/IP 分层模型 掌握 IP 协议原理 理解 OSI 和 TCP/IP 模型的区别和联系 TCP/IP 介绍 主机 主机 Internet TCP/IP 早期的协议族 全球范围 TCP/IP 协议栈 7 6 5 4 3 应用层表示层会话层传输层网络层 应用层 主机到主机层 Internet 层 2 1 数据链路层

More information

TDS5000B MyScope MyScope MyScope MyScope TDS5000B TDS5000B (DPO 1 GHz 5 GS/s 16M DPO MyScope TDS5000B TDS5000B DPO DPX 100,000 DPX DPO 2

TDS5000B MyScope MyScope MyScope MyScope TDS5000B TDS5000B (DPO 1 GHz 5 GS/s 16M DPO MyScope TDS5000B TDS5000B DPO DPX 100,000 DPX DPO 2 TDS5000B 1 GHz 500 350MHZ 2 4 5 GS/s 16MS 100,000wfms/s MyScopeTM OpenChoiceTM Windows 2000 / 10.4 MyScope MyScope TDS5000B ( / MyScope CD-RW ( / MyScope Tektronix / / TDS5000B DVD 1 www.tektronix.com/tds5000b

More information

Microsoft PowerPoint - 概率统计Ch02.ppt [Compatibility Mode]

Microsoft PowerPoint - 概率统计Ch02.ppt [Compatibility Mode] 66 随机变量的函数.5 随机变量的函数的分布 设 是一随机变量, 是 的函数, g(, 则 也是一个随机变量. 本节的任务 : 当 取值 x 时, 取值 y g 67 ( 一 离散型随机变量的函数 设 是离散型随机变量, 其分布律为 或 P { x } p (,, x x, P p p, x p 已知随机变量 的分布, 并且已知 g 要求随机变量 的分布. (, 是 的函数 : g(, 则 也是离散型随机变

More information

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi MICROCHIP EVM Board : APP001 1-1. APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pin 16 I/O Extension Interface 1-2. APP001 Block_A Block_B

More information

林绍宽

林绍宽 福 建 省 工 程 建 设 地 方 标 准 工 程 建 设 地 方 标 准 编 号 : DBJ/T13-187-2014 住 房 和 城 乡 建 设 部 备 案 号 : J 1 2 6 3 3-2 0 1 4 住 宅 区 和 住 宅 建 筑 内 有 线 广 播 电 视 设 施 工 程 设 计 施 工 和 验 收 规 程 Specification for design,installation and

More information

CONTENTS 目 录 芯片储备信息 1 SiGeHBT 的应用和发展 19 美国关于裸芯片的计划和世界市场 21 条带引线键合的测试 25 行业动态 27 蓝天碧野白桦醉 跃马纵歌塞罕坝 28 中国芯片银行通过 ISO9000 认证 扉页 北京华芯微 MOSFET 新品通过鉴定 封三 芯片技术通讯 编辑部 中国芯片银行主办发行 编辑 孟瑾 联系电话 010-88863535-8609 E-mail:

More information

untitled

untitled A 60GHz 16Gb/s 16QAM Low-Power Direct-Conversion Transceiver Using Capacitive Cross-Coupling Neutralization in 65nm CMOS Hiroki Asada, Keigo Bunsen, Kota Matsushita, Rui Murakami, Qinghong Bu, Ahmed Musa,

More information

Microsoft Word - MWRF_Components.doc

Microsoft Word - MWRF_Components.doc Microwave Microwave/RF Components The public WeChat ID: 英联微波 Scan the qr code to follow : Pin Switch Coupler Filter Hybrid Power Divider Review www.ainfoinc.com for updated product info. Chengdu A Inc.

More information

C++ 程序设计 告别 OJ1 - 参考答案 MASTER 2019 年 5 月 3 日 1

C++ 程序设计 告别 OJ1 - 参考答案 MASTER 2019 年 5 月 3 日 1 C++ 程序设计 告别 OJ1 - 参考答案 MASTER 2019 年 月 3 日 1 1 INPUTOUTPUT 1 InputOutput 题目描述 用 cin 输入你的姓名 ( 没有空格 ) 和年龄 ( 整数 ), 并用 cout 输出 输入输出符合以下范例 输入 master 999 输出 I am master, 999 years old. 注意 "," 后面有一个空格,"." 结束,

More information

水晶分析师

水晶分析师 大数据时代的挑战 产品定位 体系架构 功能特点 大数据处理平台 行业大数据应用 IT 基础设施 数据源 Hadoop Yarn 终端 统一管理和监控中心(Deploy,Configure,monitor,Manage) Master Servers TRS CRYSTAL MPP Flat Files Applications&DBs ETL&DI Products 技术指标 1 TRS

More information

USB 3.1 接收机一致性测试 应用指南

USB 3.1 接收机一致性测试 应用指南 USB 3.1 接收机一致性测试 应用指南 应用指南 目录 摘要... 3 引言... 3 USB 3.1 设备的连接器...4 USB 3.1 接收机测试... 5 压力眼图校准...6 设备设置...6 怎样校准压力眼图...6 怎样校准压力眼图...7 压力眼图处方构成部分...7 码型发生器设置...8 数据码型...9 去加重...10 扩频时钟 (SSC)...11 分析仪设置...12

More information

ChinaBI企业会员服务- BI企业

ChinaBI企业会员服务- BI企业 商业智能 (BI) 开源工具 Pentaho BisDemo 介绍及操作说明 联系人 : 杜号权苏州百咨信息技术有限公司电话 : 0512-62861389 手机 :18616571230 QQ:37971343 E-mail:du.haoquan@bizintelsolutions.com 权限控制管理 : 权限控制管理包括 : 浏览权限和数据权限 ( 权限部分两个角色 :ceo,usa; 两个用户

More information

The BIST Scheme for Digital-to Analog converters 1

The BIST Scheme for Digital-to Analog converters 1 The BIST Scheme for Digital-to Analog converters . :... 03.DAC :... 05. :... 08 ( ) :... 08 ( ) :... 08. :... ( ) OP AMP... ( ) Charge Pump Circuit... 3 ( ) Analog Summer Circuit... 4 ( ) CMOS Schmitt

More information

随着移动互联网的迅速发展, 存储已经成为一个越来越重要的话题, 在云存储即数据中心, 主要使用 Fiber channel/infiniband/sas 等高速存储接口, 在数据终端, 主要使用 SAS/SATA/USB3.0/UHS/eMMC/ NVME 等标准接口 由于 SATA 的接口的成本限

随着移动互联网的迅速发展, 存储已经成为一个越来越重要的话题, 在云存储即数据中心, 主要使用 Fiber channel/infiniband/sas 等高速存储接口, 在数据终端, 主要使用 SAS/SATA/USB3.0/UHS/eMMC/ NVME 等标准接口 由于 SATA 的接口的成本限 曾志高级应用工程师泰克科技 ( 中国 ) 有限公司 随着移动互联网的迅速发展, 存储已经成为一个越来越重要的话题, 在云存储即数据中心, 主要使用 Fiber channel/infiniband/sas 等高速存储接口, 在数据终端, 主要使用 SAS/SATA/USB3.0/UHS/eMMC/ NVME 等标准接口 由于 SATA 的接口的成本限制, 目前 SATA3.2 的新的规范主要是 SATA

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information