100GbE Seminar-V1.1.pptx

Size: px
Start display at page:

Download "100GbE Seminar-V1.1.pptx"

Transcription

1 100GbE+ 测试解决方案 汪进进

2 Agenda 100GbE 概述 相干光调制技术及测量 CEI-25G-LR/CEI-28G-SR 接口及测量 2

3 网络带宽的需求特别网络视频的迅猛需求驱动着 100GbE 的快速发展 3

4 关于 100G 的新闻标题 4

5 关于 100G 的新闻标题 5

6 100GbE 驱动了更高带宽示波器的诞生 Time October 2010 Bandwidth Need in GHz Bandwidth Need Curve LabMaster945Zi-A 6

7 和 100GbE 相关的标准化组织 Relevant standards bodies Fosters development and deployment of interoperable products [ ] using optical networking technologies. Implementation agreements (IA) -> Multisource Agreements (MSAs) United Nations agency for information and communications technology issues and the global focal point [ ] in developing networks and services ba: Standard for Ethernet applications ba standardize 40 Gbpsand 100 Gbps transfer rate Standards 7

8 100GbE 的标准 8

9 100GbE 研发对示波器测量的需求 9

10 Agenda 100GbE 概述 基于相干检测的 DP-QPSK 调制技术及测量 CEI-25G-LR/CEI-28G-SR 接口及测量 10

11 长距传输为什么要采用基于相干检测的 DP-QPSK 调制技术 现有 100G 推行的主体路线是平稳升级, 即最大限度的利用已有的 10G 线路, 以及波分复用架构, 因此需要提高传输的效率 现有的 100G 核心思想是要利用现有 10G 的已有硬件来升级, 显然如何从收发端上做手脚, 提高光谱效率是关键 采用 DP-QPSK 调制可以提高四倍的光谱效率 相位调制相比于幅度调制, 很自然的免疫了色散 非线性 PMD 这些信号损伤的影响 为什么要相干接收呢? 一方面因为由于要对多进制, 且偏振服用了的信号解调, 确实不是容易的事情, 不能用以往的探测器了 另一方面我们提到过,100G 网的平稳升级需要有 10 倍改善的 OSNR 灵敏度, 我们知道相干检测比起非相干一个显著的优点就是高灵敏度, 对 OSNR 的要求大大放宽 现有的 100G 说白了就是建立在低硬件基础上的高档货, 使用相干接收是必要的, 也是不得已而为之的, 毕竟相干接收价格是非常昂贵的 11

12 光纤中光信号的双极性 (Dual Polarization ) 双极性 单模光纤支持双极性模式 对于 100G 光传输, 两种模式都用于承载信息. 垂直极性 水平极性 12

13 QPSK(QuadraturePhase ShiftKeying) Keying)-- 四相相移键控调制 表示了信号相位和两比特数据的关系 独立调制的 I 信号和 Q 信号组合在一起构成了 QPSK 调制传输的信息. 13

14 DP-QPSK 发送模块原理框图 DP-QPSK - Block diagram of a DP-QPSK transmitter module I 25G Base band data stream #1 IQ 25 Gsymbols/s (50GBit/s) Q 25G Base band data stream #1 I 25G Base band data stream #1 100GBit/s Q 25G Base band data stream #1 IQ 25 GSymbols/s (50GBit/s) BS:BeamSplitterBC:BeamCombinerPolRot:PolarizationRotator 14

15 DP-QPSK 接收模块原理框图 Interface I/O & Data Acquisition 15

16 100GbE DP-QPSK 收发器模块原理框图 16

17 如何对 DP-QPSK 的光接收端信号进行测量? Integrated optics Integrated electronics (ASIC) 17

18 如何对 DP-QPSK 的光接收端信号进行测量? 18

19 100GbE+ 光传输信号的主要测量项目 星座图 EVM 眼图 误码率 幅度误差 相位误差 频率误差 IQ 偏置 19

20 100GbE+ 光传输信号接收端测试对示波器指标的要求 1, 需要多高带宽? 对于 100G DP-QPSK 调制, 由于 PMD 和 CD 补偿的算法 开销 不一样, 实际上每路信号 28Gb/s-32Gb/s 如果能覆盖 1.5 次谐波, 带宽至少要 24GHz 以上 建议带宽能达到 30GHz 以上 对于 400G 的 16QAM 调制, 建议带宽至少要达到 42GHz 2, 需要多高的采样率? 建议是被测试信号速率的两倍以上. 3, 需要多大的 ENOB? ENOB 建议在 4-5 之间, 对应 OSNR 的损失可以控制在 1dB 以内 4, 通道之间要有非常好的匹配度 20

21 20GHz 和 30GHz 示波器对 28Gb/s QPSK 信号的测量结果对比 20 GHz (1.4 Harmonics) 30 GHz (2.1 harmonics) 21

22 20GHz 和 30GHz 示波器对 33Gb/s QPSK 信号的测量结果对比 20 GHz (1.1 Harmonics) 30 GHz (1.8 Harmonics) 22

23 45 GHz 带宽比 30/32/33GHz 提供了更多的功率谱密度 28Gb/s 信号的功率谱密度图显示了功率和频率之间的关系曲线 42 GHz 频率点表示能覆盖 3 次谐波能量, 这只能用 42GHz 以上带宽才能捕获, 用 30GHz 示波器则无能为力 图中深蓝色区域表示用 45GHz 示波器能捕获到但是用 30GHz 示波器不能捕获到的频率成分 23

24 示波器通道间延迟的误差精度和幅制定测量的一致性的重要性 Logical 1 I Logical 0 Sampling point 对于 25Gb/s 的 NRZ 信号, 一个 UII 只有 40ps, 因此 10% 的抖动容限只有 4ps 完美的通道匹配包括幅值测量的一致性和时间上的通道延迟误差是影响捕获系统质量的关键因素 (01) (11) Logical 1 Q Sampling point Logical 0 (00) (10) 24

25 为什么说 LabMaster9 9 Zi-A 是最适合 100GbE 的测试需要? 最高带宽 高达 45 GHz 最多通道 多达 20 个通道 最高采样率 高达 120 GS/s 灵活的模块化架构 通道可扩展 带宽可升级 保护投资 ChannelSync TM 专利技术保证了多通道模块之间的精确同步和信号保真度 10 GHz 参考时钟控制多个模块 比通常的 10MHz 参考时钟快 1000 倍 高时基精度 多通道之间只有 275fs 抖动 更有竞争力的价格 25

26 LabMaster 通道同步架构精确同步, 极低的相位误差 多台示波器连接起来进行同步的问题在于 : 1,10MHz 的低频时钟更容易受到幅度噪声的影响, 从而带来更大的抖动 2, 单台示波器的时基抖动会因为多台示波器连接在一起而产生累积的误差, 从而严重影响测试精度 3,10M 时钟经过 1000 倍倍频得到的 10GHz 时钟,2 台示波器连接在一起, 意味着 2 次这样的倍频过程, 这过程中的误差累积很难令人有信心可以通过算法校准 4, 多台示波器连接在一起的触发电路的误差也会累积在一起很难消除 5, 对电缆长度和通道延迟差异进行校准非常复杂, 即便是软件自动控制, 系统的搭建也很繁琐 26 26

27 力科的 XWEB 工具使 CD,PMD,FEC 算法的调试过程更高效 一路光信号变成四路电信号, 经过 ADC 之后需要通过算法进行色散 (Chromatic Dispersion) 补偿, 偏振模色散 ()polarization mode dispersion) 补偿和和前向纠错 (Forward Error Correction) 27

28 力科的 XDEV 工具使 Matlab 算法和示波器之间无锋链接 Xpolarization Y polarization Ch 1 Ch 2 Ch 3 Ch 4 I Q I Q ADC XI ADC XQ ADC YI ADC YQ DSP XDEV XDEV 是一种非常独特的数据分析和用户自定义工具 28

29 XDEV 在线显示 Matlab 源代码 高采样率 高保真的采集到射频信号 实时观察基带信号数据 Matlab 编辑器集成在示波器的应用软件中, 可以直接调用所有的 Matlab 应用程序 实时星座图 29

30 利用 Matlab 生成星座图 二进制相移键控 (BPSK) 调制正交相移键控 (QPSK) 调制 16QAM( 正交振幅调制 ) 调制 64QAM 调制 30

31 Agenda 100GbE 概述 相干光调制技术及测量 CEI-25G-LR/CEI-28G-SR 接口及测量 31

32 CEI 25-28G 28G - The OIF Project CEI-25 规范定义了芯片间 28 Gbaud/s 信号速率的应用以及背板上 25Gbaud Gbaud/s 信号速率的应用 此类信号速率将使得在更窄的接口上传输 100Gb/s 信号, 比如 100G 以太网 此类接口将允许更小的接口尺寸, 更低的器件引脚数量, 连接器以及光模块数量, 更低的功率浪费, 以及无需时钟的接口 32

33 CEI 25-28G 通通用电气接口 从 XLAUI-CAUI 到 CEI 25-28G28G 左图中显示了 CEI-28G-SR 在未来的 100G 以太网中所担当的角色. 在第二代 100GBASE-LR4 模块中, 基于 CEI-28G 的接口, 将被用于连接分散的 SERDES 至模块, 在其前一级有一个 4:4PMA ( 物理媒体附加 ) 子层以提供重定时功能. 第三代 CEI-28G 接口已经被集成到了主控芯片中, 在其前一级直接将模块与 4:4PMA 子层向连以提供重定时功能. FFE DTE CTLE Receiver Transmitter 33

34 OIF 系统参考模型 由于物理层器件常常位于同一个卡上因此对于串行帧接口 (SFI) 的电气要求相对比较宽松, 只需要支持相对较短的芯片到芯片以及芯片到模块之间的连接 另外, 链路层器件不像物理层器件一样, 通常不位于同一个板卡上, 因此系统帧接口 (SPI) 必须要穿越一个背板 CEI 标准定义了所有的包括 短连接 以及 长连接 的电气接口, 支持串行帧接口 (SFI), 不仅支持芯片之间以及芯片与模块之间的串行帧 (SFI) 接口, 也支持系统帧接口中的背板应用 34

35 限制及平衡 通道考虑 芯片与芯片之间以及芯片与模块接口之间的短连接必须满足通道要求的最大 12inch 和最多一个连接器的插入损耗要求 长连接接口必须满足通道要求的最大 27nch 和最多二个连接器的插入损耗要求以支持物理系统的配置 通道的规定要求电路板设计者能够完美的设计线路走线以减少信号完整性问题. 35

36 通道因素考虑 下一代系统将会面向更高的容量 基础的底层结构将需要实现超越以支持更高的带宽 提供更好的性价比, 与此同时还需要降低系统占用空间 功率消耗以及成本损耗 上图呈现了当系统的容量从 400Gb/s 变化到 4Tb/s 时, 转换卡上将需要的电信号线的对数以及对串行线路速率的影响 36

37 CEI-28G-SR 通道一致性 参考模型 37

38 CEI-28G-SR 通道一致性 插入损耗 ChannelInsertionLossFrequencyRange 通道插入损耗, 包括 PCB 走线和连接器, 需要满足规范中的指标要求. 38

39 CEI-28G-SR 通道一致性 集集中串扰噪声 通道的集中串扰噪声应该使用上述参数计算得到. 39

40 Serdes 考虑因素 同样的,Serdes 要求满足规范中的下列要求 : 25Gb/s 时每条 serdes 链路上的功率不能超过 10Gb/s 时的 1.5 倍 满足这一功耗要求将会增加信号处理的复杂性, 也是做方案时需要考虑的一部分 电气规范能够通过增加信号发送出来时的幅度来补偿插入损耗 然而 Serdes 发送出来时的幅度不可以达到很高的幅度, 因为它是由 1.2 伏电源供给的 CMOS 电路驱动的 使用更高的电压将会增加功耗并可能会要求额外的屏蔽层而导致成本的增加 40

41 NRZ 信号均衡处理 FFE DTE CTLE Receiver Transmitter 在链路的发送端和接收端均使用了额外的 NRZ 信号的均衡电路以矫正由于通道引起的信号不平衡问题 前向均衡器 (FFE) 为典型的发送端均衡器, 判决反馈均衡器 DFE 为典型的接收端均衡器 需要注意的是 FFE 均衡实际上是产生了一个多级电平的信号组合编码系统, 基于此系统发送端信号的幅度不仅与当前信号位的幅度值, 而且还与相邻位信号的幅度值有关 41

42 CEI-28G-SR Signal Definitions 42

43 CEI-28G-SR Electrical Characteristics -Driver Transmitter Electrical Output Specification Transmitter Output Jitter Specification 43

44 Electrical Characteristics -Driver Eye Diagrams 眼图, 是示波器所采集到的波形的组合累加显示, 被广泛用于物理层的测试 抖动值是基于统计方法计算得到的 44

45 CEI-28G-SR Electrical Characteristics -Driver Bit Time Histogram 0 Histogram 1 直方图是抖动总体分布 ( 即信号穿越所定义的信号电平位置的时间 ) 概率密度函数 PDF 的统计结果并从统计意义上描述信号发生切换时的位置 胶片中的模型创建了 PDF 的合成模式 PDF 的均值被放置于逻辑状态的理想切换时刻 为了简化, 时间刻度以 UI 单位给出,0.5UI 位于眼图的正中心位置 两个 PDF 的均值分别被用于定义理想的 0 和 1 时刻 45

46 CEI-28G-SR Electrical Characteristics -Driver 1 Bathtub shape PDF for: DJ=0.0; RJ, s=0.05 Probability 0.5 CDF for: DJ=0.0; RJ, s= Sample Time st, UI 两个函数的和提供了因抖动而导致的误码率 BER 值 TBER ( st, W, σ ) = LBER( st, W, σ ) + RBER( st, W, σ ) BER 是通过模型产生的 CDF( 概率密度函数 ) 测量得到的 两个 CDF 之和产生了总的误码概率 这样的表述表明误码概率为采样点位置和密度函数宽度的一个函数 这就是通常我们所说的 BER 误码率浴盆曲线 46

47 抖动树 Tj (Total Jitter, 总体抖动 ) Rj Random Jitter, 随机抖动 Dj (Deterministic Jitter, 固有抖动 ) (Bounded Uncorrelated Jitter, 固有不相关抖动 ) BUj DDj (Data Dependent Jitter, 数据相关性抖动 ) Pj Periodic Jitter, 周期抖动 OBUj Other Buj, 其它固有不相关抖动 DCD Duty Cycle Distortion 占空比失真抖动 ISI InterSymbolInterference 码间干扰抖动 47

48 Electrical Characteristics Transmitter Equalization Hi Frequency components DC component Hi Frequency compensation Simplest equalization applied at transmitter using FFE. 48

49 Pre vs. De Emphasis Pre-Emphasis 提升信号的高频部分, 保持低频部分不变 De-Emphasis 衰减信号的低频部分, 保持高频部分不变 49

50 TX 的预加重 / 去加重仿真 Tx + Pre/De Emphasis- + - path + - Add / Remove Emphasis Pre or De Emphasis Emulation 50

51 电气特征 接收端 Seminars-2009 对于非常快的高速信号, 发送端往往具有非常好的信号完整性 在很远的通道终端, 眼图闭合. Tx + + path 传输线可以等效为一个低通滤波器. 经过传输线后, 高频成分比低频成分衰减得更大. 衰减常数 (a) 描述了每一个频率处的衰减数值. 举例 x 处的电压衰减情况有如下关系 : V x = V s e YZ x Where: Z R + JϖL Y G + JϖC 51

52 电气特征 接收端均衡 Tx path Rcv Equalization - Input Channel Output Equalized 52

53 电气特征 接收端均衡 TX 和 RX 均衡打开了接收端处本已闭合的眼图 均衡允许用户测量 : 不同的均衡条件下抖动情况 ISI 是否能够完全的被均衡消除掉 How 多大程度的均衡能够消除掉多大程度的 ISI 其它的一些反面影响 Dj 和 Rj 没有被整体减小 均衡会增加噪声, 因此增加了 Rj 影响时钟恢复 非常重要 Tx + Pre/De Emphasis- + - path path path Rcv Equalization - 53

54 电气特征 接收端均衡 Channel response Equalizer response Equalized System Response 0 db -5 db Gain -10 db -15 db -20 db 0 5 GHz 10 GHz 15 GHz Frequency Input Channel Equalizer Output 54

55 什么是均衡? Transmitter Receiver Transmit FIR Transmit Preemphasis CHANNEL Receive Equalizer + Decision Feedback Equalizer Transmitter Chip Receiver Chip Digital Analog Digital TX 端使用预加重技术来提前补偿信道的响应 ( 损耗 色散与反射 ) RX 端线性均衡器 (FFE) 来去除信道的响应 Decision feedback equalization (DFE) 基于前面的数据来反馈电平变化 55

56 电气特征 - 串扰 Serial data can be a single differential signal Tx + + path + + Rcv but generally there are multiple lanes of serial data running side by side; these can CROSSTALK with each other. Tx + Tx Tx + Tx Rcv- - Rcv + - Rcv Rcv 在很多情况下有很多条串行链路同时工作. 56

57 电气特征总结 电气特征包括 通道特性 发送端特性 接收端特性 可用于电气特征测试的时域分析工具 实时示波器 采样示波器 信号完整性网络分析仪 57

58 Thank You

國家圖書館典藏電子全文

國家圖書館典藏電子全文 I Abstract II III ... I Abstract...II...III... IV... VI 1...1 2...3 2-1...3 2-2...4 2-3...6 2-4...6 3...8 3-1...8 3-2...10 4...12 5...15 5-1...15 5-2...17 IV 5-3...18 6...21 6-1...21 6-2...22 6-3...22

More information

CSA SONET/SDH GR 253-CORE ITU-T G.703 ANSI T Ethernet IEEE Std ANSI X Fibre Channel Optical Fibre Channel Electrical U

CSA SONET/SDH GR 253-CORE ITU-T G.703 ANSI T Ethernet IEEE Std ANSI X Fibre Channel Optical Fibre Channel Electrical U CSA7000 2.5 Gb/s CSA7000 (OSI) CSA7000 2.5 Gb/s CSA7000 DPO ( ) 1 www.tektronix.com/csa7000 CSA7000 1 SONET/SDH GR 253-CORE ITU-T G.703 ANSI T1.102-1993 Ethernet IEEE Std 802.3 ANSI X3.263-1995 Fibre Channel

More information

AEO GEPON ONU PHY OE EO CDR / SerDes 10Gb/s 1310nm DFB 1550nm EA-DFB TOSA Fiber Fiber Laser Driver Circuit Pre-Amp. Post-Amp. Optical Transceiver Cloc

AEO GEPON ONU PHY OE EO CDR / SerDes 10Gb/s 1310nm DFB 1550nm EA-DFB TOSA Fiber Fiber Laser Driver Circuit Pre-Amp. Post-Amp. Optical Transceiver Cloc AEO GEPON ONU PHY OE EO CDR / SerDes 10Gb/s 1310nm DFB 1550nm EA-DFB TOSA Fiber Fiber Laser Driver Circuit Pre-Amp. Post-Amp. Optical Transceiver Clock Synthesis, Mux Pulse Recovery, DeMux TOSA ROSA TOSA/ROSA

More information

FPGAs in Next Generation Wireless Networks WPChinese

FPGAs in Next Generation Wireless Networks WPChinese FPGA 2010 3 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 FPGAs in Next Generation Wireless Networks GSM GSM-EDGE 384kbps CDMA2000

More information

Outline Speech Signals Processing Dual-Tone Multifrequency Signal Detection 云南大学滇池学院课程 : 数字信号处理 Applications of Digital Signal Processing 2

Outline Speech Signals Processing Dual-Tone Multifrequency Signal Detection 云南大学滇池学院课程 : 数字信号处理 Applications of Digital Signal Processing 2 CHAPTER 10 Applications of Digital Signal Processing Wang Weilian wlwang@ynu.edu.cn School of Information Science and Technology Yunnan University Outline Speech Signals Processing Dual-Tone Multifrequency

More information

Lab 4

Lab 4 EE4650 通訊實驗 Lab 4 PSK, FSK, and ASK Digital Modulations 1 Lab 4:PSK, FSK, ASK Digital Modulations Baseband Tx RF Frontend M- Seq 0101 Error Correction coding 010110 Digital Modulation D/A BPF PA cos(2πf

More information

Electrical and Optical Clock Data Recovery Solutions - Data Sheet

Electrical and Optical Clock Data Recovery Solutions - Data Sheet 32 GBd N1076A 32 GBd N1077A / 64 GBd N1076B 64 GBd N1078A / 64 GBd NRZ PAM4 O/E < 100 fs RMS JSA PLL ...3...4...4...5 /...6...8...11 N1076A...12 N1076B DCA-M...13 N1077A...15 N1078A DCA-M...17...21...

More information

PCI Express

PCI Express PCI Express 1-Gigabit Wall Chip-to-Chip Line Card Interconnect PL2 PCI PL3 SFI-4/SPI-4 SFI-5/SPI-5 2.488-3.125 Gbps 16 ch 3GIO I/O Interconnect Rapid I/O (Parallel) HyperTransport Rapid I/O (Serial) 1.25,

More information

N1010A FlexDCA 软 件 获 取 安 装 N1010A FlexDCA 是 安 捷 伦 采 样 示 波 器 新 的 GUI 应 用 软 件, 在 86100D 主 机 内 已 经 预 先 安 装 此 软 件 我 们 有 2 个 免 费 版 本 的 软 件 可 以 通 过 下 面 连 接

N1010A FlexDCA 软 件 获 取 安 装 N1010A FlexDCA 是 安 捷 伦 采 样 示 波 器 新 的 GUI 应 用 软 件, 在 86100D 主 机 内 已 经 预 先 安 装 此 软 件 我 们 有 2 个 免 费 版 本 的 软 件 可 以 通 过 下 面 连 接 利 用 采 样 示 波 器 FlexDCA 软 件 进 行 仿 真 分 析 应 用 文 章 胡 海 洋 介 绍 系 统 仿 真 就 是 将 计 算 机 仿 真 的 方 法 引 入 到 电 子 系 统 开 发 和 设 计 过 程 中 来 通 过 计 算 机 模 拟 电 子 系 统 的 实 际 工 作 过 程, 模 拟 系 统 各 模 块 级 联 工 作 得 到 输 出 结 果, 在 虚 拟 的 环 境

More information

MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C

MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C MSO MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C 03 Keysight MSO MSO MSO DSO holdoff infinite-persistence / de-skew MSO 1 MSO MSO MSO MSO MCU DSP 1

More information

第一章

第一章 課 程 名 稱 : 光 纖 傳 輸 實 務 與 實 習 1. 課 程 概 述 : 光 纖 傳 輸 實 務 與 實 習 為 隔 年 開 授 之 課 程, 此 高 等 課 程 實 習 項 目 之 內 容 較 具 彈 性, 以 教 導 學 生 如 何 使 用 設 計 工 具 與 發 揮 設 計 能 力 為 目 標 新 編 了 光 纖 光 放 大 器 模 擬 設 計 實 習 教 材, 包 含 摻 鉺 光 纖

More information

CHCN_8-14_K.indd

CHCN_8-14_K.indd 是德科技 三个理由让您选择深存储快响应示波器 应用指南 介绍 1. 更长的波形捕获时间 = / 1 1 Mpts 10 GSa/s 1 2 100 Mpts 10 1. = / 1 Mpts 10 GSa/s 1 ms 2. = / 100 Mpts 10 GSa/s 10 ms 3 12.5 Mpts 3 300 Kpts 3 3. 3 12.5 Mpts 3 300 Kpts? Agilent

More information

Jitter Measurement V0.5

Jitter Measurement V0.5 时钟抖动测量 简介 抖动的峰峰值和有效值 Pk-pk jitter, RMS jitter JTA2 抖动分析软件包的功能 Jitter Track Jitter Histogram Jitter FFT Page 2 抖动定义 抖动的定义为 信号的定时事件与其理想位置之间的偏差 SONET SPEC: Jitter is defined as the short-term variations of

More information

5 6 6 7 7 8 8 9 9 9 9 10 10 10 10 11 11 11 11 11 12 13 13 14 15 17 17 17 18 18 19 19 19 20 20 21 21 22 22 22 23 / 24 24 24 XY 24 Z 25 XYZ 25 25 26 26

5 6 6 7 7 8 8 9 9 9 9 10 10 10 10 11 11 11 11 11 12 13 13 14 15 17 17 17 18 18 19 19 19 20 20 21 21 22 22 22 23 / 24 24 24 XY 24 Z 25 XYZ 25 25 26 26 5 6 6 7 7 8 8 9 9 9 9 10 10 10 10 11 11 11 11 11 12 13 13 14 15 17 17 17 18 18 19 19 19 20 20 21 21 22 22 22 23 / 24 24 24 XY 24 Z 25 XYZ 25 25 26 26 27 27 28 28 28 29 29 29 29 30 30 31 31 31 32 www.tektronix.com

More information

52C-14266-5

52C-14266-5 逻 辑 分 析 仪 基 础 知 识 入 门 手 册 www.tektronix.com.cn/logic_analyzers 15 入 门 手 册 目 录 引 言 3-4 起 源 3 数 字 示 波 器 3 逻 辑 分 析 仪 4 逻 辑 分 析 仪 操 作 5-13 连 接 被 测 系 统 5 探 头 5 设 置 逻 辑 分 析 仪 7 设 置 时 钟 模 式 7 设 置 触 发 7 采 集 状

More information

xx ZZZ SDLA 串 行 数 据 链 路 分 析 在 线 帮 助 *P077021601* 077-0216-01 ZZZ SDLA 串 行 数 据 链 路 分 析 在 线 帮 助 www.tektronix.com 077-0216-01 Copyright Tektronix. 保 留 所 有 权 利 许 可 软 件 产 品 由 Tektronix 其 子 公 司 或 提 供 商 所 有,

More information

D4

D4 020 0.18-m SiGe BiCMOS 5-GHz 5/60 GHz 0.18-m SiGe BiCMOS 5/60 GHz Dual- Conversion Receiver Using a Shared Switchable 5-GHz Double Balance Gilbert Mixer 1 1 1 2 1 2 0.18-m SiGe BiCMOS 5-GHz / (5/60 GHz)

More information

Keysight Optical

Keysight Optical 400G 光模块测试 李凯 Keysight Technology 2018.6 月北海 目录 400G 光模块标准电气测试项目系统测试项目测试环境及准备工作 400G 光模块种类 光口光口速率传输方式规范电口速率封装 400G-SR16 16*26.5Gbps NRZ 100m MM 802.3bs 16*26.5Gbps NRZ CDFP/CFP8 400G-FR8 8λ*53Gbps PAM4

More information

untitled

untitled Portable Electrode B91901070 B91901133 量 ECG 路 更 量 路 performance RF 量 路 Portable Electrode 便利 量 portable electrode 路 濾 濾 行 electrode 類 FM modulation scheme ECG 類 數 RF RF demodulate 利 Elvis Labview ECG

More information

<4D F736F F F696E74202D DCFC2D2BBB4FAB8DFCBD9B4AED0D0CFB5CDB3B2E2CAD4BDE2BEF6B7BDB0B F7065>

<4D F736F F F696E74202D DCFC2D2BBB4FAB8DFCBD9B4AED0D0CFB5CDB3B2E2CAD4BDE2BEF6B7BDB0B F7065> 下一代高速串行系统测试解决方案 BERTScope The Vision of Oscilloscope, the Confidence of BERT 日程下一代高速串行系统测试解决方案 -BERTScope 高速串行技术发展趋势和潜在挑战 BERTScope 系列产品介绍 BERTScope "BASIC" 基本功能 (BER Measure) 信号分析 (Analysis) 压力测试 (Stressed

More information

1 VLBI VLBI 2 32 MHz 2 Gbps X J VLBI [3] CDAS IVS [4,5] CDAS MHz, 16 MHz, 8 MHz, 4 MHz, 2 MHz [6] CDAS VLBI CDAS 2 CDAS CDAS 5 2

1 VLBI VLBI 2 32 MHz 2 Gbps X J VLBI [3] CDAS IVS [4,5] CDAS MHz, 16 MHz, 8 MHz, 4 MHz, 2 MHz [6] CDAS VLBI CDAS 2 CDAS CDAS 5 2 32 1 Vol. 32, No. 1 2014 2 PROGRESS IN ASTRONOMY Feb., 2014 doi: 10.3969/j.issn.1000-8349.2014.01.07 VLBI 1,2 1,2 (1. 200030 2. 200030) VLBI (Digital Baseband Convertor DBBC) CDAS (Chinese VLBI Data Acquisition

More information

(Microsoft Word - A3\270\337\313\33140Gbits DWDM\265\304\267\242\325\271.doc)

(Microsoft Word - A3\270\337\313\33140Gbits DWDM\265\304\267\242\325\271.doc) 张 宾 胡 庚 强 复 用 系 统 摘 ) 要 技 术 本 难 文 点 主 以 要 及 分 关 析 键 了 烽 火 通 信 高 技 40Gbit/s 术 速, 特 DWD(Dense Wavelength Division ultiplexing, 密 集 波 分 40Gbit/s 别 是 编 码 与 调 制 DWD 动 态 的 色 散 发 补 偿 展 信 在 领 先 关 的 键 词 40Gbit/s

More information

audiogram3 Owners Manual

audiogram3 Owners Manual USB AUDIO INTERFACE ZH 2 AUDIOGRAM 3 ( ) * Yamaha USB Yamaha USB ( ) ( ) USB Yamaha (5)-10 1/2 AUDIOGRAM 3 3 MIC / INST (XLR ) (IEC60268 ): 1 2 (+) 3 (-) 2 1 3 Yamaha USB Yamaha Yamaha Steinberg Media

More information

MAN- Metropolitan Area Network Resilient Packet Ring a : 5GHz 54Mbps b : 2.4GHz 11Mbps c : MAC Bridge 802.1D 80

MAN- Metropolitan Area Network Resilient Packet Ring a : 5GHz 54Mbps b : 2.4GHz 11Mbps c : MAC Bridge 802.1D 80 IEEE 802.11a s0323516@ncnu.edu.tw 1 (WLAN) [1] 1963 IEEE Institute Of Electrical and Electronics Engineers LAN MAN-Metropolitan Area Network IEEE 802 IEEE 802 Working Group 802.11 IEEE 802 802.1 LAN MAN

More information

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family small, speedy, safe Eextremely modular Up to 64 modules per bus de Quick reaction time: up to 20 µs A new Member of the Cube Family Murrelektronik s modular I/O system expands the field-tested Cube family

More information

enews174_2

enews174_2 103 CMOS Seal-Ring 104 e-learning 104 104 / http://www.cic.org.tw/login/login.jsp CIC Introduction to Conversational French - Syllabus Summer 2004 1 4 21 CMOS MorSensor MorFPGA DUO 2 MorSensor 3 103 (

More information

Microsoft Word - GZ series of Multilayer Chip Ferrite Bead.doc

Microsoft Word - GZ series of Multilayer Chip Ferrite Bead.doc 叠层片式铁氧体磁珠 G 系列 Multilayer Chip Ferrite Bead G Series Operating Temp. : - ~+12 特征 内部印有银电极的叠层结构, 铁氧体屏蔽无串扰 在较宽的频率范围 ( 几十 MHz 至几百 MHz) 内具有优良的 EMI 抑制效果 三种铁氧体材料 范围宽, 适用于不同的电子线路 用途 电脑及周边设备,DVD 照相机 LCD TV 等音视频设备,

More information

AMP NETCONNECT

AMP NETCONNECT Quantum AMP NETCONNECT 1 2 ATM TSB 95 TIA/EIA 568-A-5 TIA/EIA 568-B Cat 5e / Cat 6 50 / 125m m 3 TSB95 100Ω Cat5 TIA/EIA 568A-5 100Ω Cat5e TIA/EIA 568B 100 Ω Cat6 ISO/IEC 11801 PDAM-3 PDAM-3 Class D (Cat

More information

OSI OSI 15% 20% OSI OSI ISO International Standard Organization 1984 OSI Open-data System Interface Reference Model OSI OSI OSI OSI ISO Prototype Prot

OSI OSI 15% 20% OSI OSI ISO International Standard Organization 1984 OSI Open-data System Interface Reference Model OSI OSI OSI OSI ISO Prototype Prot OSI OSI OSI 15% 20% OSI OSI ISO International Standard Organization 1984 OSI Open-data System Interface Reference Model OSI OSI OSI OSI ISO Prototype Protocol OSI OSI OSI OSI OSI O S I 2-1 Application

More information

工程师培训

工程师培训 .1 Quidway 1 .2.2.1 ATM 2 .2.2 ( LAN ) ( WAN ) ( CONSOLE ) 3 .3.3.1 LAN Ethernet Token Bus Token Ring...... Local Area Network LAN 1 2 3 LAN LAN IBM LAN 4 .3.2 10M 100M 1000M 10Mbps 100Mbps 1000Mbps IEEE

More information

应用指南

应用指南 高 速 FPGA 系 统 的 信 号 完 整 性 测 试 和 分 析 张 楷 泰 克 科 技 ( 中 国 ) 有 限 公 司 摘 要 : 随 着 FPGA 器 件 的 速 度 和 容 量 日 益 提 高, 各 种 高 速 的 并 行 和 串 行 接 口 都 广 泛 应 用 在 FPGA 上, 其 中 典 型 的 高 速 串 行 总 线 速 率 超 过 1Gb/s, 这 为 设 计 和 应 用 人 员

More information

CHCN_8-14_K.indd

CHCN_8-14_K.indd Keysight 81150A 和 81160A 脉冲 函数 任意波形和噪声发生器 单机即可实现精确的脉冲 函数 任意波形和噪声发生功能 应用指南, 版本 1.3 SATA IQ 简介 物理层 数字显示 存储器 计算 I/O 企业 通信 航空航天 / 视频 消费电子 芯片到芯片 存储 有线 国防 计算 背板 LAN 无线 卫星 / 通信 器件仿真 精密时钟源 噪声抗干扰测试 仿真客户设计 直流无刷电机

More information

untitled

untitled 2006-4-25 2006-4-26 2 2006-4-26 3 20 50 6 2006-4-26 4 µ 2006-4-26 5 CERN LEP/LHC 2006-4-26 6 L3 Detector 2006-4-26 7 2006-4-26 8 ATLAS Detector (A Toroidal LHC ApparatuS) 2006-4-26 9 CMS Detector 2006-4-26

More information

Microsoft PowerPoint - ch2-stallings.ppt

Microsoft PowerPoint - ch2-stallings.ppt Transmission Fundamentals Chapter 2 (Stallings Book) 1 Electromagnetic Signal is a function of time can also be expressed as a function of frequency Signal consists of components of different frequencies

More information

Abstract / / B-ISDN ATM Crossbar Batcher banyan N DPA Modelsim Verilog Synopsys Design Analyzer Modelsim FPGA ISE FPGA ATM ii

Abstract / / B-ISDN ATM Crossbar Batcher banyan N DPA Modelsim Verilog Synopsys Design Analyzer Modelsim FPGA ISE FPGA ATM ii 10384 200024024 UDC 2003 5 2003 6 2003 2003 5 i Abstract / / B-ISDN ATM Crossbar Batcher banyan N DPA Modelsim Verilog Synopsys Design Analyzer Modelsim FPGA ISE FPGA ATM ii System On-Chip Design and Performance

More information

untitled

untitled A 60GHz 16Gb/s 16QAM Low-Power Direct-Conversion Transceiver Using Capacitive Cross-Coupling Neutralization in 65nm CMOS Hiroki Asada, Keigo Bunsen, Kota Matsushita, Rui Murakami, Qinghong Bu, Ahmed Musa,

More information

邏輯分析儀的概念與原理-展示版

邏輯分析儀的概念與原理-展示版 PC Base Standalone LA-100 Q&A - - - - - - - SCOPE - - LA - - ( Embedded ) ( Skew ) - Data In External CLK Internal CLK Display Buffer ASIC CPU Memory Trigger Level - - Clock BUS Timing State - ( Timing

More information

·sª¾125´Á

·sª¾125´Á 5 GHz U-NII (bit error rate, BER) (error vector magnitude, EVM) (adjacent channel power (RF/microwave) ratio, ACPR) EVM ( ) (gain compression) (LO feedthrough) IQ (gain imbalance) (carrier frequency offset)

More information

<4D6963726F736F667420576F7264202D20B7A2D0D0B9C9B7DDBCB0D6A7B8B6CFD6BDF0B9BAC2F2D7CAB2FAB2A2C4BCBCAFC5E4CCD7D7CABDF0F4DFB9D8C1AABDBBD2D7D6AEB6C0C1A2B2C6CEF1B9CBCECAB1A8B8E6A3A8D0DEB6A9B8E5A3A9>

<4D6963726F736F667420576F7264202D20B7A2D0D0B9C9B7DDBCB0D6A7B8B6CFD6BDF0B9BAC2F2D7CAB2FAB2A2C4BCBCAFC5E4CCD7D7CABDF0F4DFB9D8C1AABDBBD2D7D6AEB6C0C1A2B2C6CEF1B9CBCECAB1A8B8E6A3A8D0DEB6A9B8E5A3A9> 国 信 证 券 股 份 有 限 公 司 关 于 杭 州 远 方 光 电 信 息 股 份 有 限 公 司 发 行 股 份 及 支 付 现 金 购 买 资 产 并 募 集 配 套 资 金 暨 关 联 交 易 之 独 立 财 务 顾 问 报 告 ( 修 订 稿 ) 独 立 财 务 顾 问 签 署 日 期 : 二 O 一 六 年 二 月 独 立 财 务 顾 问 声 明 与 承 诺 国 信 证 券 股 份 有

More information

#$%# & (! )! *! +! +! &! +!! * &! * )!! +, )! + &)!) $! )!+ *! +. &) #!/ #! #$$% & #$$ & #0#1! ) * # #$$( &! ) * +,!

#$%# & (! )! *! +! +! &! +!! * &! * )!! +, )! + &)!) $! )!+ *! +. &) #!/ #! #$$% & #$$ & #0#1! ) * # #$$( &! ) * +,! !!!!!!!!!!!!!!!!!!!!!!!!!!!! #$$% #$$% #$$& #$$% #$$% #$$%!! #!! ( # #% ) #*! ) + + )!$ # # # # #! #$$&!! #$$! #$$, #$$,, #$$( # %% #$$&,,%! & (, #! # &,! #! #$%# & (! )! *! +! +! &! +!! * &! * )!! +,

More information

目 录 检 验 调 试 和 一 致 性 测 试 PCI EXPRESS 设 计...3 结 构 和 规 范 概 述...4 物 理 层 的 特 点...5 物 理 层 一 致 性 测 试 : 数 字 领 域 的 模 拟 视 图...8 检 验 和 一 致 性 测 量...8 幅 度 测 量...9

目 录 检 验 调 试 和 一 致 性 测 试 PCI EXPRESS 设 计...3 结 构 和 规 范 概 述...4 物 理 层 的 特 点...5 物 理 层 一 致 性 测 试 : 数 字 领 域 的 模 拟 视 图...8 检 验 和 一 致 性 测 量...8 幅 度 测 量...9 介 绍 PCI Express 测 量 目 录 检 验 调 试 和 一 致 性 测 试 PCI EXPRESS 设 计...3 结 构 和 规 范 概 述...4 物 理 层 的 特 点...5 物 理 层 一 致 性 测 试 : 数 字 领 域 的 模 拟 视 图...8 检 验 和 一 致 性 测 量...8 幅 度 测 量...9 定 时 测 量...9 抖 动 测 量...10 实 时 眼

More information

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC MODEL 61509/61508/61507/ 61609/61608/61607 PROGRAMMABLE AC POWER SOURCE MODEL 61509/61508/61507/ 61609/61608/61607 61509/61609: 6kVA 61508/61608: 4.5kVA 61507/61607: 3kVA : 0-175V/0-350V/Auto : DC, 15Hz-2kHz

More information

untitled

untitled MC8630 MC8630 1.6 MC8630 C MC8630 Copyright 2008 by ZTE Corporation 2008 12 1 1 MC8630 CDMA/GPRS 1 2 3PCB 4 E-MAIL module.ztemt.com.cn module@zte.com.cn 0755-86140899 2 MC8630 MC8630 C MC8630 C 5 V1.1

More information

MTP200A 中文.docx

MTP200A 中文.docx MTP200A Wi-Fi / BT Tester 产品特点 Signal Generator/Signal Analyzer 信号发生器 / 信号分析仪 Wi-Fi 可选 BT_LE 可选 Waveform Creator Wi-Fi 各种波形 CW Mode 连续波 Color LCD 彩色显示屏 PC 可电脑控制 USB Port(USB To Serial) 和 GPIB 两种控制接口 USB

More information

Microsoft Word - P SDFL series.DOC

Microsoft Word - P SDFL series.DOC 片式铁氧体电感 SDFL 系列 Chip Ferrite Inductor SDFL Series Operating Temp. : -40 ~ +85 特征 迭层独石结构 高度可靠性 体积小 良好的磁屏蔽, 无交叉耦合 无引线结构, 适合表面安装 良好的可焊性和耐焊性 适合于流焊和回流焊用途 可用来抑制电子设备中的电磁干扰, 广泛的运用于通讯 视频 / 音频 计算机 遥控器等领域 FEATURES

More information

SuperSpeed USB Competitive Update

SuperSpeed USB Competitive Update 熟练 USB 物理层验证 测试方法介绍 - Tektronix USB 泰克创新论坛 2009 年 4 月 日程 简介 USB 3.0 SuperSpeed USB 3.0 出现的愿因? 时间表 线缆 发射端 接收端 协议分析 USB 2.0 简介 一致性测试 Wireless USB 概览 一致性验证和调试 Disclaimer: The material and content that describes

More information

同步网络中的高性能线卡时钟解决方案

同步网络中的高性能线卡时钟解决方案 Application Report ZHCA493 JAN 2013 同 步 网 络 中 的 高 性 能 线 卡 时 钟 解 决 方 案 Shawn Han; Steven Shi China Telecom Application Team 摘 要 作 为 一 种 高 性 能 低 成 本 的 线 卡 时 钟 解 决 方 案,LMK04800 可 以 实 现 传 统 的 SDH/SONET 系 统

More information

数字带通 带阻 高通滤波器的设计 把一个归一化原型模拟低通滤波器变换成另一个所需类型的模拟滤波器, 再将其数字化 直接从模拟滤波器通过一定的频率变换关系完成所需类型数字滤波器的设计 先设计低通型的数字滤波器, 再用数字频率变化方法将其转换成所需类型数字滤波器

数字带通 带阻 高通滤波器的设计 把一个归一化原型模拟低通滤波器变换成另一个所需类型的模拟滤波器, 再将其数字化 直接从模拟滤波器通过一定的频率变换关系完成所需类型数字滤波器的设计 先设计低通型的数字滤波器, 再用数字频率变化方法将其转换成所需类型数字滤波器 数字带通 带阻 高通滤波器的设计 把一个归一化原型模拟低通滤波器变换成另一个所需类型的模拟滤波器, 再将其数字化 直接从模拟滤波器通过一定的频率变换关系完成所需类型数字滤波器的设计 先设计低通型的数字滤波器, 再用数字频率变化方法将其转换成所需类型数字滤波器 模拟原型方法 : 模拟低通 - 模拟带通 H ( j) H ( j) 3 3 3 模拟原型方法 : 模拟低通 - 模拟带通 H ( j) 模拟低通

More information

Optical Transport Networks for 100G Implementation in FPGAs

Optical Transport Networks for 100G Implementation in FPGAs FPGA 100G WP-01115-1.1 100G 100G 100G FPGA Altera Stratix IV GT FPGA 40-nm1.3-Gbps 100G 100G Altera Stratix IV GX Arria II GX Arria II GZ FPGAHardCopy ASIC OTN FPGA 100G OTN 2007 2012 IP 6 2012 522 (10

More information

TDS5000B MyScope MyScope MyScope MyScope TDS5000B TDS5000B (DPO 1 GHz 5 GS/s 16M DPO MyScope TDS5000B TDS5000B DPO DPX 100,000 DPX DPO 2

TDS5000B MyScope MyScope MyScope MyScope TDS5000B TDS5000B (DPO 1 GHz 5 GS/s 16M DPO MyScope TDS5000B TDS5000B DPO DPX 100,000 DPX DPO 2 TDS5000B 1 GHz 500 350MHZ 2 4 5 GS/s 16MS 100,000wfms/s MyScopeTM OpenChoiceTM Windows 2000 / 10.4 MyScope MyScope TDS5000B ( / MyScope CD-RW ( / MyScope Tektronix / / TDS5000B DVD 1 www.tektronix.com/tds5000b

More information

出國報告電子檔規格

出國報告電子檔規格 會 議 報 告 ( 會 議 類 別 : 其 他 ) 3GPP RAN #69 Meeting 會 議 報 告 出 席 人 員 : 陳 瓊 璋 / 陳 弘 仁 派 赴 地 區 : 美 國 / 鳳 凰 城 會 議 期 間 :104 年 9 月 14 日 至 9 月 18 日 報 告 日 期 :104 年 10 月 15 日 1 摘 要 本 次 3GPP RAN #69 會 議 於 9 月 在 美 國 的

More information

TDS5000B MyScope MyScope MyScope MyScope TDS5000B TDS5000B (DPO 1 GHz 5 GS/s 16M DPO MyScope TDS5000B TDS5000B DPO DPX 100,000 DPX DPO 2

TDS5000B MyScope MyScope MyScope MyScope TDS5000B TDS5000B (DPO 1 GHz 5 GS/s 16M DPO MyScope TDS5000B TDS5000B DPO DPX 100,000 DPX DPO 2 TDS5000B 1 GHz 500 350MHZ 2 4 5 GS/s 16MS 100,000wfms/s MyScopeTM OpenChoiceTM Windows 2000 / 10.4 MyScope MyScope TDS5000B ( / MyScope CD-RW ( / MyScope Tektronix / / TDS5000B DVD 1 www.tektronix.com/tds5000b

More information

発表の概要

発表の概要 2008 09 : : (Yokogawa Electric Corporation) 1915 9 1 : : 36 (2006 3 31 ) : 19,200 : : 110 29 Headquarters Regional Headquarters Production Facilities Sales, Engineering and Service Centers : 59 2007 9

More information

第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1 ) + ; & ).& &+ 1 & / ) 5 93 & 9 *67893: + 99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!"#$%&'!"#$%&' '& ( ')*&+,& '(-./01 &

第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1 ) + ; & ).& &+ 1 & / ) 5 93 & 9 *67893: + 99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!#$%&'!#$%&' '& ( ')*&+,& '(-./01 & 第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1)+ ; &).& &+ 1&/) 593 & 9 *67893:+99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!#$%&'!#$%&''&(')*&+,& '(-./01&+ -2 3456-78&9:;'& &'

More information

生 醫 光 電 已 成 為 重 要 的 產 業 亮 點 生 醫 光 電 已 成 為 重 要 的 產 業 亮 點 文 / 台 北 市 生 物 技 術 服 務 商 業 同 業 公 會 台 北 市 生 物 技 術 服 務 商 業 同 業 公 會 於 2004 年 成 立, 是 依 法 設 置 的 非 營

生 醫 光 電 已 成 為 重 要 的 產 業 亮 點 生 醫 光 電 已 成 為 重 要 的 產 業 亮 點 文 / 台 北 市 生 物 技 術 服 務 商 業 同 業 公 會 台 北 市 生 物 技 術 服 務 商 業 同 業 公 會 於 2004 年 成 立, 是 依 法 設 置 的 非 營 生 醫 光 電 產 業 昇 華 台 灣 醫 材 進 階 生 醫 光 電 產 業 昇 華 台 灣 醫 材 進 階 文 / 范 懷 仁 ( 光 電 協 進 會 產 業 分 析 師 兼 專 案 經 理 ) 灣 的 醫 療 器 材 市 場, 十 幾 年 前 的 前 十 大 出 台 口 產 品, 從 主 要 為 乳 膠 手 套, 逐 年 進 化 至 復 健 輔 具 隱 型 眼 鏡 及 血 糖 機, 逐 步 轉

More information

Microsoft Word - P SDV series.DOC

Microsoft Word - P SDV series.DOC 片式压敏电阻器 SDV 系列 Chip SDV Series Operating Temp. : -55 ~ +125 特征 SMD 结构适合高密度安装 优异的限压比, 响应时间短 (

More information

IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I

IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I 2004 5 IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I Abstract The techniques of digital video processing, transferring

More information

untitled

untitled 數 (Jitter) 量 1 Agenda 量 來 量 量 精 度 2 Jitter, what is it? What is jitter? the deviation of an edge from where it should be Jitter is caused by: (among other things) Thermal noise Injected noise (EMI/RFI)

More information

PowerPoint Presentation

PowerPoint Presentation Current Status of the 10G-EPON Power Budget and Improvement David Li, Hisense-Ligent dli@ligentphotonics.com Ligent Supporters Bo Wang, China Telecom David Li, Ligent Photonics Frank Chang, Vitesse Corp.

More information

BC04 Module_antenna__ doc

BC04 Module_antenna__ doc http://www.infobluetooth.com TEL:+86-23-68798999 Fax: +86-23-68889515 Page 1 of 10 http://www.infobluetooth.com TEL:+86-23-68798999 Fax: +86-23-68889515 Page 2 of 10 http://www.infobluetooth.com TEL:+86-23-68798999

More information

HPC

HPC HPC 2003.10.14 Ghz CPU Intel Pentium 4: 3.2G Intel Itanium: 1.5G AMD Athlon XP: 2.2G AMD Operton: 2.0G IBM PowerPC 970: 2.0G IBM Power 4+: 1.7G Sun UltraSparc III: 1.2G GHz Pentium 4: 800Mhz 64bit 6.4GB

More information

第 54 卷第 期 年 9 月 电力电容器与无功补偿,-)* %& & "* + )& ".),-)* % )!/&"! " :9::; 4:34 4 * 99 9: 高频电流法检测电容器局部放电的抗干扰研究!"#$%!"# $%&' ()

第 54 卷第 期 年 9 月 电力电容器与无功补偿,-)* %& & * + )& .),-)* % )!/&!  :9::; 4:34 4 * 99 9: 高频电流法检测电容器局部放电的抗干扰研究!#$%!# $%&' () 第 54 卷第 期 9943994 9 年 9 月 电力电容器与无功补偿,-)* %& & "* + )& ".),-)* % )!/&"! 54 9943994 7 " 9 67 89:9::; 4:34 4 * 99 9: 高频电流法检测电容器局部放电的抗干扰研究!"#$%!"# $%&' ()*+,-./01 2 +.3-4 56789:;. ?.?@ABCDE. 3 FG?.HI 0JKLM

More information

HDMI HDMI Licensing HDMI / 29% 11%27% 7%13%8% 5% 5000 HDMI DVD A/V / HDMI Media Center PC HDMI FCC (digital cable-ready)36 50%

HDMI HDMI Licensing HDMI / 29% 11%27% 7%13%8% 5% 5000 HDMI DVD A/V / HDMI Media Center PC HDMI FCC (digital cable-ready)36 50% HDMI/DVI HMDI/DVI HDMI/DVI DVI (Digital Video 1 DVI/HDMI Interface) 5 LCD DVI HDMI (High-Definition Multimedia Interface) HDMI DVI HDMI DVI DVI HDMI DVI DDWG(Digital Display Working Group) Silicon Image

More information

. I/O Third Generation Input Output 3GIO PCI Express 3D 10GHz CPU 1Gb Gbps QoS PCI. PCI Express PCI 10 AGP PCI-X HyperTransport PCI 133MB Mu

. I/O Third Generation Input Output 3GIO PCI Express 3D 10GHz CPU 1Gb Gbps QoS PCI. PCI Express PCI 10 AGP PCI-X HyperTransport PCI 133MB Mu No.19 DCE Devices July 1, 2004 2004 6 15 PCI Express Developers Conference 2004 Intel 915P 915G 925X LGA775 P4 -- PCI Express Chipset HubLink Ultra V-Link, PCI Express Desktop.Mobile, Enterprise HyperTransport,

More information

(Microsoft Word - 11\244T\246\342\277\337\260l\302\334.doc)

(Microsoft Word - 11\244T\246\342\277\337\260l\302\334.doc) 赤 川 次 郎 作 品 集 11 三 色 貓 追 蹤 序 曲 那 是 一 隻 凶 猛 的 野 狗 加 上 饑 餓, 正 在 虎 視 眈 眈 地 注 視 孩 子 嘴 裏 啃 著 的 香 腸, 準 備 突 然 猛 撲 上 去 孩 子 還 不 滿 三 歲, 不 曉 得 若 想 躲 開 那 隻 身 體 比 自 己 大 的 惡 犬 一 擊, 最 好 是 把 香 腸 扔 掉, 但 他 反 而 緊 握 在 手 開

More information

高级抖动溯源分析方法

高级抖动溯源分析方法 高级抖动溯源分析方法 安捷伦科技 ( 中国 ) 有限公司孙灯亮 抖动的定义及和相位噪声和频率噪声的关系抖动是数字系统的信号完整性测试的核心内容之一, 是时钟和串行信号的最重要测量参 数 ( 注 : 并行总线的最重要测量参数是建立时间和保持时间 ) 一般这样定义抖动 : 信号的某特定时刻相对于其理想时间位置上的短期偏离为抖动 ( 参考 :Bell Communications Research,Inc(Bellcore),"Synchrous

More information

随着移动互联网的迅速发展, 存储已经成为一个越来越重要的话题, 在云存储即数据中心, 主要使用 Fiber channel/infiniband/sas 等高速存储接口, 在数据终端, 主要使用 SAS/SATA/USB3.0/UHS/eMMC/ NVME 等标准接口 由于 SATA 的接口的成本限

随着移动互联网的迅速发展, 存储已经成为一个越来越重要的话题, 在云存储即数据中心, 主要使用 Fiber channel/infiniband/sas 等高速存储接口, 在数据终端, 主要使用 SAS/SATA/USB3.0/UHS/eMMC/ NVME 等标准接口 由于 SATA 的接口的成本限 曾志高级应用工程师泰克科技 ( 中国 ) 有限公司 随着移动互联网的迅速发展, 存储已经成为一个越来越重要的话题, 在云存储即数据中心, 主要使用 Fiber channel/infiniband/sas 等高速存储接口, 在数据终端, 主要使用 SAS/SATA/USB3.0/UHS/eMMC/ NVME 等标准接口 由于 SATA 的接口的成本限制, 目前 SATA3.2 的新的规范主要是 SATA

More information

Microsoft PowerPoint - Sens-Tech WCNDT [兼容模式]

Microsoft PowerPoint - Sens-Tech WCNDT [兼容模式] X-ray data acquisition systems for NDT applications 技股份有限公司 先锋科技股份有限公司 科技股份有限公司 先锋科技股份有限公司 www Sens-Tech Ltd UK based company 40 Staff Specialise in detection and data acquisition systems for light and

More information

UDC

UDC UDC P GB 50339 2003 Code for acceptance of quality of Intelligent building systems 2003-07-01 2003-10-01 1 Code for acceptance of quality of Intelligent building systems GB 50339 2003 2003 1 0 0 1 2 159

More information

<4D6963726F736F667420506F776572506F696E74202D20C8EDBCFEBCDCB9B9CAA6D1D0D0DEBDB2D7F92E707074>

<4D6963726F736F667420506F776572506F696E74202D20C8EDBCFEBCDCB9B9CAA6D1D0D0DEBDB2D7F92E707074> 软 件 架 构 师 研 修 讲 座 胡 协 刚 软 件 架 构 师 UML/RUP 专 家 szjinco@public.szptt.net.cn 中 国 软 件 架 构 师 网 东 软 培 训 中 心 小 故 事 : 七 人 分 粥 当 前 软 件 团 队 的 开 发 现 状 和 面 临 的 问 题 软 件 项 目 的 特 点 解 决 之 道 : 从 瀑 布 模 型 到 迭 代 模 型 解 决 项

More information

Microsoft PowerPoint - IQnav_062008_Cust_Chi_V3.0.ppt

Microsoft PowerPoint - IQnav_062008_Cust_Chi_V3.0.ppt IQnav GPS 产品测试方案 6/19/2008 目 录 传统 GPS 测试的挑战 LitePoint 的 IQnav 解决方案 总结 6/19/2008 2 May 8 th, 2008 GPS 产品全球蔓延 Nokia 称,2008 年他们将带来 35,000,000 台 GPS 电话 ( 大概相当于 2007 年整个 GPS 市场的数量 ) Nokia CEO Olli-Pekka Kallasvuo

More information

iml88-0v C / 8W T Tube EVM - pplication Notes. IC Description The iml88 is a Three Terminal Current Controller (TTCC) for regulating the current flowi

iml88-0v C / 8W T Tube EVM - pplication Notes. IC Description The iml88 is a Three Terminal Current Controller (TTCC) for regulating the current flowi iml88-0v C / 8W T Tube EVM - pplication Notes iml88 0V C 8W T Tube EVM pplication Notes Table of Content. IC Description.... Features.... Package and Pin Diagrams.... pplication Circuit.... PCB Layout

More information

第三章

第三章 (Multicode Interference Cancellation) WCDMA 量 (capacity) (Multiple access interference, MAI) (ear/far effect) 來 料 (MCIC, Multicode interference cancellation) 路 (MPIC, Multipath interference cancellation)

More information

Keysight Technologies N1090A N1092A/B/C/D/E N1094A/B DCA-M 1 Gb/s 53 GBaud

Keysight Technologies N1090A N1092A/B/C/D/E N1094A/B DCA-M 1 Gb/s 53 GBaud Keysight Technologies N1090A N1092A/B/C/D/E N1094A/B DCA-M 1 Gb/s 53 GBaud 02 Keysight N1090A N1092A/B/C/D/E N1094A/B DCA-M DCA...03 N109X...04 N1090A...07 N1092/4...08 N1090A... 10 N1090A EEC... 12 N1090A...

More information

专业主干课程与主要专业课程教学大纲(2009年、2011年).doc

专业主干课程与主要专业课程教学大纲(2009年、2011年).doc ... 1... 4... 9... 12... 16... 20... 23... 26... 30... 33... 36 Electric Circuits 00440021 64 0 0 4 1 2 Y- 3 4 ZYT H 5 Analog Electronic Technique 00440041 54 14 0 3.5 1. 2. 1. 2. 3. RC 4. 5. 1. 20 2.

More information

画像処理に新しい価値を提供するUSB3.0カメラ(国際画像機器展2014)

画像処理に新しい価値を提供するUSB3.0カメラ(国際画像機器展2014) December 3, 2014 Toshiaki Iwata Copyright 2014 TOSHIBA TELI CORPORATION, All rights reserved. USB3.0 / USB3 Vision Copyright 2014 TOSHIBA TELI CORPORATION, All rights reserved. 2 Copyright 2014 TOSHIBA

More information

iml v C / 0W EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the current flowin

iml v C / 0W EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the current flowin iml8683-220v C / 0W EVM - pplication Notes iml8683 220V C 0W EVM pplication Notes Table of Content. IC Description... 2 2. Features... 2 3. Package and Pin Diagrams... 2 4. pplication Circuit... 3 5. PCB

More information

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi SPHE8202R Design Guide V2.0 JUN, 2007 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com SPHE8202R Design Guide Important Notice

More information

untitled

untitled : 36 236 : (025)52121888 : (025)52121555/800 8289722 : 211100 h t t p://www.phoenixcontact.com.cn e-mail: phoenix@phoenixcontact.com.cn MNR 52005577/07.04.2010-01 Printed in China PHOENIX CONTACT 2010

More information

一 發 言 人 代 理 發 言 人 姓 名 職 稱 聯 絡 電 話 及 電 子 郵 件 信 箱 : 發 言 人 : 姓 名 : 謝 清 江 職 稱 : 總 經 理 聯 絡 電 話 :886-3-5670766 傳 真 :886-3-5787610 電 子 郵 件 信 箱

一 發 言 人 代 理 發 言 人 姓 名 職 稱 聯 絡 電 話 及 電 子 郵 件 信 箱 : 發 言 人 : 姓 名 : 謝 清 江 職 稱 : 總 經 理 聯 絡 電 話 :886-3-5670766 傳 真 :886-3-5787610 電 子 郵 件 信 箱 一 發 言 人 代 理 發 言 人 姓 名 職 稱 聯 絡 電 話 及 電 子 郵 件 信 箱 : 發 言 人 : 姓 名 : 謝 清 江 職 稱 : 總 經 理 聯 絡 電 話 :886-3-5670766 傳 真 :886-3-5787610 電 子 郵 件 信 箱 :ir@mediatek.com 代 理 發 言 人 : 姓 名 : 梁 厚 誼 職 稱 : 投 資 人 關 係 處 處 長 聯

More information

第 卷 第 期 年 月 半 导 体 学 报! " # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% )

第 卷 第 期 年 月 半 导 体 学 报!  # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% ) 第 卷 第 期 年 月!"# $%&'%' $!&'#%#$1/#1 $'! /18103 2?/03101?/18103 /3 0,?/0301.13 )*+!!! '!,!! -.&' $!'! 4%%&1)/1(7%&)03(%)%&,%*(1&0)%$-0*,%30)17*1*)0(+1(1+&1*+*),)1; &113(%44(10&.0701&0-&00*/)%;()1%-1+%&0)0*1*)%

More information

Tektronix物联网综合解决方案

Tektronix物联网综合解决方案 Tektronix Innovation Forum Enabling Innovation in the Digital Age 高速串行系统 设计的考验以及调试技巧 泰克科技 ( 中国 ) 有限公司设计与制造仪器产品部应用工程师余洋 日程安排 背景信息 板级信号完整性验证 功能验证和故障调试 抖动和眼图测量 接收机验证 大趋势是什么? 大数据! 高速度! 高一致性! 3 您面对的是什么? 串行?

More information

60C-6-20160802091614

60C-6-20160802091614 推 薦 序 防 災 是 全 球 未 來 的 必 須 面 對 的 首 要 工 作, 台 灣 值 在 這 方 面 進 步 非 常 快, 可 說 在 世 界 上 居 於 領 先 國 家 之 一, 其 中 有 一 項 技 術, 就 是 防 災 的 監 測 我 們 的 團 隊, 利 用 國 科 會 計 畫, 在 民 國 89 年 研 發 出 台 灣 第 一 座 土 石 流 監 測 與 預 報 系 統, 當 初

More information

スライド 1

スライド 1 ALMA ( ALMA ALMA ALMA Antenna 64 elements 12m + ACA (4 elements 12m + 12 elements 7m) Receiver Frequency Band: Band 1~10(43 ~950 GHz) Correlator 1 antenna: 4Gsps 3bit 8IF = 96Gbps 80 antennas: Total Data

More information

CMOS线性响应测试

CMOS线性响应测试 应 用 CMOS 高 亮 度 天 文 测 光 CMOS-based High Intensity Photometry in Astronomy 项 目 完 成 人 : 童 仲 毅 俞 雯 萱 田 哲 毅 指 导 老 师 : 林 岚 杭 高 天 文 台 二 〇 一 一 年 八 月 II 摘 要 本 课 题 基 于 人 造 星 点 测 光 实 验 和 验 证 性 天 文 拍 摄 实 验, 旨 在 探

More information

Quidway® S8500系列万兆核心路由交换机

Quidway®  S8500系列万兆核心路由交换机 Quidway NetEngine 20/20E 系列路由器订购信息 1 NetEngine 20-8/4/2 订购信息表 主机系统 RT-NE20-8-CHASSIS 5U 高度路由器机箱 必配 RT-NE20-4-CHASSIS 3U 高度路由器机箱 (NE20-4) 必配 RT-NE20-2-CHASSIS 3U 高度路由器机箱 (NE20-2) 必配 RT-NE20-RPU-512M 路由处理单元

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

2002/06/25

2002/06/25 2002/06/25 ... 1 GPU... 1 1.... 1 2.... 1 3.... 2 4.... 2 5.... 2 6. MX460... 3 6.1... 3 6.2... 4 7. MX440... 5 7.1... 5 7.2... 6 8. MX420... 7 8.1... 7 8.2... 8 9. MX420D... 9 9.1... 9 9.2... 10 10....11

More information

input commom-mode range) output swing (open loop gain) (GBW) ( phase margin) (gain margin)

input commom-mode range) output swing (open loop gain) (GBW) ( phase margin) (gain margin) OP AMP... 4 1. :... 4 2.... 5 2.1... 5 2.2... 6 3.... 6 3.1... 7 3.1.1... 7 3.1.2... 7 3.1.3... 7 3.1.4... 8 3.2 (Symbol)... 8 4.... 9 4.1... 9 4.1.1 Folded cascode... 9 4.1.2... 9 Fig 7... 10 4.1.3...

More information

电力信息化2013年第1期.indb

电力信息化2013年第1期.indb 中图分类号 TP319 文献标志码 B 文章编号 1672-4844(213)1-87-6 摘要 SAP ERP 信息是很多大型企业的核心信息 是企业在进行容灾建设时主要关切的 信息 文章以双活方式运行的特点对 SAP ERP 信息进行了分析 推导出了 SAP ERP 信息以双活模式运行时操作响时间的计算公式 提出了影响操作响时间的主要因素是网 络时延 测试了 SAP ERP 产品以服务器双活模式运行的实际效果和以数据库双活

More information

<4D F736F F F696E74202D AD4955D89BF8FDA8DD790E096BE C835B E707074>

<4D F736F F F696E74202D AD4955D89BF8FDA8DD790E096BE C835B E707074> e-trace 132 1617 1872 p32-12 1/71 2/71 . GPS AIST 17 1-12 p32-39 3/71 GPS NMIJGPSGPS time GPS #N 1 GPS #N 2 GPS GPS #N 3 TA TA GPS _ time TA T T GPS _ time T T AB B A B T T T T ( T TB) B A B B A 4/71 16

More information

untitled

untitled LBS Research and Application of Location Information Management Technology in LBS TP319 10290 UDC LBS Research and Application of Location Information Management Technology in LBS , LBS PDA LBS

More information

Embargoed until May 4, 2004 EXPRESS 40 NI HQ 3000 1000 5000 ~ 500 10% / 500 85% NI LabVIEW 7 Express Express EXPRESS : #1 GPS Navigation PC/WWW/Email CD+RW Mobile Phone PDA DVD+RW Satellite Car Alarm/Radio

More information

iml v C / 4W Down-Light EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the cur

iml v C / 4W Down-Light EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the cur iml8683-220v C / 4W Down-Light EVM - pplication Notes iml8683 220V C 4W Down Light EVM pplication Notes Table of Content. IC Description... 2 2. Features... 2 3. Package and Pin Diagrams... 2 4. pplication

More information

Master Thesis_專門用來製作目錄.doc

Master Thesis_專門用來製作目錄.doc Introduction All-IP [1-4] All-IP packet switching long delay time, jitter packet loss All-IP Budget-Based QoS End-to-End QoS (real time on demand) 1 1.1 Circuit Switching Packet Switching DWDM IP VoIP

More information

SDS 1.3

SDS 1.3 Applied Biosystems 7300 Real-Time PCR System (With RQ Study) SDS 1.3 I. ~ I. 1. : Dell GX280 2.8GHz with Dell 17 Flat monitor 256 MB RAM 40 GB hard drive DVD-RW drive Microsoft Windows XP Operating System

More information

GH1220 Hall Switch

GH1220 Hall Switch Unipolar Hall Switch - Medium Sensitivity Product Description The DH220 is a unipolar h all switch designed in CMOS technology. The IC internally includes a voltage regulator, Hall sensor with dynamic

More information

資料HDR作1-03 HDR技術動向

資料HDR作1-03 HDR技術動向 作 1-3 TV Platform Div. TV Platform Div. FHD () more UHD UHD (BT.2020) [cd/m 2 ] 10 9 10 8 10 6 10 4 10 2 10 0 10-2 10-4 10-6 () ~10 5 [cd/m 2 ] 10 9 10 8 10 6 10 4 10 2 10 0 10-2 10-4 10-6 2014 XDR/XDR-Pro

More information

NANO COMMUNICATION 23 No.3 90 CMOS 94/188 GHz CMOS 94/188 GHz A 94/188 GHz Dual-Band VCO with Gm- Boosted Push-Push Pair in 90nm CMOS 90 CMOS 94

NANO COMMUNICATION 23 No.3 90 CMOS 94/188 GHz CMOS 94/188 GHz A 94/188 GHz Dual-Band VCO with Gm- Boosted Push-Push Pair in 90nm CMOS 90 CMOS 94 NANO COMMUNICATION 23 No.3 90 CMOS 94/188 GHz 23 90 CMOS 94/188 GHz A 94/188 GHz Dual-Band VCO with Gm- Boosted Push-Push Pair in 90nm CMOS 90 CMOS 94/188GHz LC class-b 0.70 0.75 mm 2 pad 1 V 19.6 ma (ƒ

More information