第六章 微型计算机的输入输出

Size: px
Start display at page:

Download "第六章 微型计算机的输入输出"

Transcription

1 第十章 数模 (D/A) 和模数 (A/D) 转换 一概述 二 D/A 转换器及其接口技术 三 A/D 转换器及其接口技术

2 第十章 数模 (D/A) 和模数 (A/D) 转换 一概述 二 D/A 转换器及其接口技术 三 A/D 转换器及其接口技术

3 第十章数模和模数转换 一概述 将模拟量转换为数字量的过程称为模 / 数 (Analog to Digital) 转换, 简称 A/D 转换 实现 A/D 转换的电路称为模 / 数转换器 (Analog to Digital Converter) 简称 ADC 将数字量转换为模拟量的过程称为数 / 模 (Digital to Analog ) 转换, 简称 D/A 转换 实现 D/A 转换的电路称为数 / 模转换器 (Digital to Analog Converter) 简称 DAC

4 第十章数模和模数转换 一概述

5 第十章 数模 (D/A) 和模数 (A/D) 转换 一概述 二 D/A 转换器及其接口技术 三 A/D 转换器及其接口技术

6 第十章数模和模数转换 二 D/A 转换器及其接口技术 1) 数 / 模转换的原理 2) 数 / 模转换器件和有关电路

7 第十章数模和模数转换 二 D/A 转换器及其接口技术 1)D/A 转换器原理 在 D/A 参数中最重要的参数就是分辨率, 它是指输入数字量发生单位数码变化时, 所对应输出模拟量 ( 电压或电流 ) 的变化量 DAC 基本电路由四部分组成 : 参考电源 电阻网络 电子转换开关和运算放大器

8 第十章数模和模数转换二 D/A 转换器及其接口技术 D/A 指标 : 1 分辨率 2 转换精度 3 转换速度 4 温度系数 5 非线性

9 第十章数模和模数转换 二 D/A 转换器及其接口技术 D/A 指标 : 1 分辨率 分辨率是指输入数字量最低有效位为 1 时, 对应输出可分辨的电压变化量 ΔU 与最大输 出电压 Um 之比, 分辨率 = 1/ (2 n -1) N:8 位 10 位 12 位 14 位 16 位等

10 第十章数模和模数转换 二 D/A 转换器及其接口技术 D/A 指标 : 2 转换精度 D/A 转换器的转换精度是指输出模拟电压的实际值与理想值之差 ( 相对转换精度, 绝对转换精度 ) 3 转换速度 建立时间通常用来定量的描述数模转换的装换速度, 建立时间是指数字信号由全 1 变为全 0, 或由全 0 变为全 1 起, 直到输出模拟信号电压达到稳态值 1/2LSB 范围以内的这段时间

11 第十章数模和模数转换 二 D/A 转换器及其接口技术 3 转换速度 超高速 (< 1μS ) 高速 (1--10μS) 中速 (10 100μS) 低速 (>100μS)

12 第十章数模和模数转换 二 D/A 转换器及其接口技术 4 温度系数 在输入不变的情况下, 输出模拟电压随温度变化产生的变化量, 一般用满刻度输出条件下温度每升高 1 度, 输出电压变化的百分比作为温度系数 5 非线性 实际转换曲线和理想直线之间的最大误差

13 第十章数模和模数转换 二 D/A 转换器及其接口技术 5 非线性 实际转换曲线和理想直线之间的最大误差 相对于满量程的百分比表示

14 第十章数模和模数转换 二 D/A 转换器及其接口技术 运算放大器特点 : 开环放大倍数高 输入阻抗大 输出阻抗小

15 第十章数模和模数转换 二 D/A 转换器及其接口技术 运算放大器的原理 (a) 运算放大器的输入和输出 (b) 带反馈电阻的运算放大器 (c) 输入端有 4 个支路的运算放大器

16 第十章数模和模数转换 二 D/A 转换器及其接口技术 由电阻网络和运算放大器构成的 D/A 转换器 (a) 最简单的 D/A 转换器 (b) 阶梯波电压

17 第十章数模和模数转换 二 D/A 转换器及其接口技术 a 权电阻网络 权电阻网络 D/A 转换器

18 第十章数模和模数转换 二 D/A 转换器及其接口技术 b R-2R/T 型权电阻网络 R-2R T 型电阻网络 D/A 转换器

19 第十章数模和模数转换 二 D/A 转换器及其接口技术 2) 数 / 模转换器件和有关电路 1. 无数据输入寄存器的 D/A 芯片 2. 有数据输入寄存器的 D/A 芯片

20 第十章数模和模数转换 二 D/A 转换器及其接口技术 1. 无数据输入寄存器的 D/A 芯片 无数据输入寄存器的 D/A 转换器的连接

21 第十章数模和模数转换 二 D/A 转换器及其接口技术 超过 8 位的 D/A 转换器的连接

22 第十章数模和模数转换 二 D/A 转换器及其接口技术 D/A 转换器通过两级数据缓冲器和总线相连的示意图

23 第十章数模和模数转换 二 D/A 转换器及其接口技术 2. 有数据输入寄存器的 D/A 芯片 特点 : 将 D/A 芯片可直接和数据总线相连

24 2. 有数据输入寄存器的 D/A 芯片 DAC0832 的功能示意图

25 第十章数模和模数转换 二 D/A 转换器及其接口技术 2. 有数据输入寄存器的 D/A 芯片 DAC0832 的引脚图

26 第十章数模和模数转换 二 D/A 转换器及其接口技术 CS# 片选信号 ILE 允许锁存信号 WR 1 # 写信号 1 WR 2 # 写信号 2 XFER# 传送控制信号 DI 7 ~DI 0 8 位的数据输入端 I OUT1 模拟电流输出端 I OUT2 模拟电流输出 RFB 反馈电阻引出 VREF 参考电压 V CC 电源 AGND 模拟地 DGN 数字地

27 第十章数模和模数转换 二 D/A 转换器及其接口技术 DAC0832 的外部连接

28 第十章数模和模数转换二 D/A 转换器及其接口技术 例 产生一个锯齿电压 MOV DX,PORTA ;PORTA 为 D/A 端口号 MOV AL,0FFH ; 初值为 0FFH ROTATE: INC AL OUT DX,AL ; 往 D/A 输出数据 CALL DELAY ; 延迟 JMP ROTATE

29 并行 8 位 D/A 转换芯片 AD558 及其接口 AD558 的内部结构框图

30 AD558 与 PC 机的连接图

31 第十章数模和模数转换 二 D/A 转换器及其接口技术 地线的连接方法

32 第十章数模和模数转换 二 D/A 转换器及其接口技术 CODE SEGMEN ASSUME CS:CODE START: MOV CX, 256 MOV AL,0 LOOP1: MOV DX, 300H OUT DX, AL ; 输出 AL 内容 CALL DELAY ; 延时 INC AL ;AL 内容加 1 LOOP LOOP1 ; 循环 256 次 JMP START ; 重新输出下一 ; 个锯齿波

33 二 D/A 转换器及其接口技术 串行 8 位 D/A 转换器 TLC5620 TLC5620 是带有高阻抗缓冲输入的 4 通道 8 位电源输出数模转换器集合 这些转换器可以产生单调的 1 至两倍于基准电压和接地电压差值的输出 通常情况下 TLC5620 的供电电压为一个 5V 电源 器件内集成上电复位功能, 确保启动时的环境是可重复的, 对 TLC5620 的数字控制是通过简单的 3 路串行总线实现

34 二 D/A 转换器及其接口技术 串行 8 位 D/A 转换器 TLC5620 第一级缓冲 第二级缓冲

35 二 D/A 转换器及其接口技术 串行 8 位 D/A 转换器 TLC5620 数据写入方式 (LDAC 更新 DAC 输出 ) 11 位的命令字包括 8 位数据位,2 位 DAC 选择位和 1 位范围位 ( 电压输出增益位,0 代表不变, 1 代表两倍 )

36 二 D/A 转换器及其接口技术 串行 8 位 D/A 转换器 TLC5620 数据写入方式 (LOAD 更新 DAC 输出 ) 观察一下 LDAC 的不同

37 二 D/A 转换器及其接口技术 TLC A REFA REFB REFC REFD DACA DACB DACC DACD DATA CLK LOAD LDAC PC0 PC1 PC2 PC3 TLC5620 与 8255A 的连接

38 第十章数模和模数转换 二 D/A 转换器及其接口技术 MOV CL, 5 ; 先把 AX 内容左移 5 位 SHL AX, CL MOV DX, AX ;DX 为串行输出的数据, 最高位为通道选择 MOV CX, 11 ; 循环 11 次 DAC_PROC1: MOV AL, 0 ; 预置对 DATA 线的置位复位字 SHL DX,1 ; 取串行输出位 ADC AL,0 ; 把串行输出位送到置位复位字的第 0 位 OUT 86H, AL ; 把 DATA 线上串行输出位内容 MOV AL, B ; 发送 CLK 负脉冲 OUT 86H,AL MOV AL, B OUT 86H,AL LOOP DAC_PROC1 ; 循环

39 第十章数模和模数转换 二 D/A 转换器及其接口技术 12 位 D/A 转换及接口

40 第十章数模和模数转换二 D/A 转换器及其接口技术 其他波形如何产生? a 另一种锯齿波 b 方波 b sin(x)

41 第十章 数模 (D/A) 和模数 (A/D) 转换 一概述 二 D/A 转换器及其接口技术 三 A/D 转换器及其接口技术

42 第十章数模和模数转换 三 A/D 转换器及其接口技术 1) 模 / 数转换方法和原理 2) 模 / 数转换主要参数 3) 模 / 数转换器和有关电路

43 第十章数模和模数转换 三 A/D 转换器及其接口技术 1) 模 / 数转换方法和原理 通常 A/D 转换器具有四个功能 : 采样 保持 量化 编码 采样按相等的时间间隔从模拟信号 上截取一系列离散电压瞬时值 ( 奈奎斯特 (Nyquist)) 采样定理 : 为了保证能从采样信号将原来的被采样信号恢复, 必须满足的条件 fs>2fimax)

44 第十章数模和模数转换三 A/D 转换器及其接口技术 1) 模 / 数转换方法和原理通常 A/D 转换器具有四个功能 : 保持在之后的量化过程需要一定时间, 对于随时间变化的模拟输入信号, 要求的采样值在量化过程中保持不变, 这样才能保证转换的准确性和转换精度, 这个过程叫采样保持

45 第十章数模和模数转换三 A/D 转换器及其接口技术 1) 模 / 数转换方法和原理 A/D 转换器具有四个功能 : 采样保持

46 第十章数模和模数转换三 A/D 转换器及其接口技术 1) 模 / 数转换方法和原理采样保持电路 基本采样保持电路 R 2 C<<T C 的基本采样保持电路

47 第十章数模和模数转换三 A/D 转换器及其接口技术 1) 模 / 数转换方法和原理采样保持电路 高输入阻抗的采样保持电路

48 第十章数模和模数转换三 A/D 转换器及其接口技术 1) 模 / 数转换方法和原理 A/D 转换器具有四个功能 : 量化按分层原理, 将截取的值数量化, 分层值 N 越大, 量化值越接近实际值 编码将量化的数值用二进制数表示出来

49 第十章数模和模数转换三 A/D 转换器及其接口技术 1) 模 / 数转换方法和原理有不同的方法实现 A/D 转换器的结构和性能 类型 : 计数法 逐次逼近法 双积分法 并行比较型 流水线型 折叠型 -Δ 型 ADC 压频变换器等

50 三 A/D 转换器及其接口技术 1) 模 / 数转换方法和原理 1. 计数式 A/D 转换

51 三 A/D 转换器及其接口技术 1) 模 / 数转换方法和原理 1. 计数式 A/D 转换

52 三 A/D 转换器及其接口技术 1) 模 / 数转换方法和原理 2. 逐次逼近式 A/D 转换

53 三 A/D 转换器及其接口技术 1) 模 / 数转换方法和原理 2. 逐次逼近式 A/D 转换 D 7 D 6 D 5 D 4 D 3 D 2 D 1 D 0

54 三 A/D 转换器及其接口技术 1) 模 / 数转换方法和原理 U i =163mV 的逐次比较过程

55 三 A/D 转换器及其接口技术 1) 模 / 数转换方法和原理 2. 逐次逼近式 A/D 转换

56 三 A/D 转换器及其接口技术 1) 模 / 数转换方法和原理 2. 逐次逼近式 A/D 转换 采样速率受限于 : A. 数模转换器 DAC 的建立时间, 在这段时间内必须稳定在整个转换器的分辨率以内 ( 如 1/2LSB); B. 比较器, 必须在规定的时间内能够分辨 VIN 与 VDAC 的微小差异 ; C. 逻辑开销

57 三 A/D 转换器及其接口技术 1) 模 / 数转换方法和原理 2. 逐次逼近式 A/D 转换 此外, 逐次逼近型 ADC 的线性也受限于数模转换器 DAC 线性指标的限制, 受元件固有的匹配度所限, 分辩率高于 12 位的逐次逼近型 ADC 常常需要调理或校准, 以改善其线性指标, 但在实际的 DAC 设计中, 元件的匹配度将线性指标限制在 12 位左右, 故 ADC 也受此限制

58 三 A/D 转换器及其接口技术 1) 模 / 数转换方法和原理 2. 逐次逼近式 A/D 转换 优点 : 低功耗 高分辩率 高精度 输出数据不存在延迟以及小尺寸 分辨率低于 12 位时, 价格低, 采样速率可达 1MSPS; 与其它 ADC 相比, 功耗相当低 缺点 : 在高于 14 位分辨率情况下, 价格较高 ; 传感器产生的信号在进行模 / 数转换之前需要进行调理, 包括增益级和滤波, 这样会明显增加成本

59 三 A/D 转换器及其接口技术 1) 模 / 数转换方法和原理 3. 双积分式 A/D 转换 (a) 电路工作原理 (b) 双积分原理

60 三 A/D 转换器及其接口技术 1) 模 / 数转换方法和原理 3. 双积分型 A/D 转换器 计数器

61 三 A/D 转换器及其接口技术 1) 模 / 数转换方法和原理 3. 双积分型 A/D 转换器 有较强的抗干扰能力, 工作性能稳定, 电阻 电容这些元器件参数即使发生变化, 对转换精度都没有影响 优点 : 分辨率高, 可达 22 位 ; 功耗低 成本低 缺点 : 转换速率低, 转换速率在 12 位时为 100~300SPS

62 三 A/D 转换器及其接口技术 1) 模 / 数转换方法和原理 4. 并行比较型 A/D 转换器

63 三 A/D 转换器及其接口技术 1) 模 / 数转换方法和原理 4. 并行比较型 A/D 转换器 并行转换方式又称为闪烁型转换方式 是模数转换中转换速度最快的, 它对 N 位数据都是只转换一次, 所以速度大为提高 并行转换 ADC 是由电阻分压器 电压比较器和编码器三部分组成, 经分压器分压所得到的不同电压值分别接到各比较器的某一输入端 ( 同相端或反相端 ), 被转换信号接到各比较器的另一个输入端, 比较器输出的信号经编码器编码后, 就得到了用代码表示的数字信号

64 三 A/D 转换器及其接口技术 1) 模 / 数转换方法和原理 4. 并行比较型 A/D 转换器 并行转换方式 ADC 的分辨率受管芯尺寸 输入电容 功率等限制 结果重复的并联比较器如果精度不匹配, 还会造成静态误差, 如会使输入失调电压增大 同时, 这一类型的 ADC 由于比较器的亚稳压 编码气泡, 还会产生离散的 不精确的输出, 即所谓的 火花码 并行转换方式在所有的模数转换中, 转换速度最快, 采样速率能达到 1GSPS(GSPS,Gigabit Samples Per Second, 每秒千兆次采样 ) 以上, 适合于高速转换领域 优点 : 模 / 数转换速度最高 缺点 : 分辨率不高, 功耗大, 成本高

65 三 A/D 转换器及其接口技术 1) 模 / 数转换方法和原理 5. 流水线转换 A/D 转换器 流水线结构 ADC, 又称为子区式 ADC, 流水线型转换方式是对并行转换方式进行改进而设计出的一种转换方式, 它是一种高效和强大的模数转换器它能够提供高速 高分辨率的模数转换, 并且具有令人满意的低功率消耗和很小的芯片尺寸 ; 经过合理的设计, 还可以提供优异的动态特性 它在一定程度上既具有并行转换高速的特点, 又克服了制造困难的问题

66 三 A/D 转换器及其接口技术 1) 模 / 数转换方法和原理 5. 流水线转换 A/D 转换器 它在一定程度上既具有并行转换高速的特点, 又克服了制造困难的问题 流水线型转换方式的特点是 : 精度较高, 可达 16 位左右 ; 转换速度较快,16 位该种类型的 ADC 速度可达 5MPSP, 较逐次比较型快 ; 分辨率相同的情况下, 电路规模及功耗大大降低 但流水线型转换方式是以牺牲速度来换取高精度的, 另外还存在转换出错的可能

67 三 A/D 转换器及其接口技术 1) 模 / 数转换方法和原理 5. 流水线转换 A/D 转换器 优点 : 有良好的线性和低失调 ; 可以同时对多个采样进行处理, 有较高的信号处理速度, 低功率, 高精度, 高分辨率, 价格较全并行转换方式, 缺点 : 基准电路和偏置结构过于复杂, 输入信号需要经过特殊处理, 以便穿过数级电路造成流水延迟, 对锁存定时的要求严格, 对电路工艺要求很高, 电路板上设计得不合理会影响增益的线性 失调及其它参数

68 三 A/D 转换器及其接口技术 1) 模 / 数转换方法和原理 6. 折叠插值转换 A/D 转换器 折叠插值型转换方式信号预处理的方法是折叠 折叠就是把输入较大的信号映射到某一个较小的区域内, 并将其转换成数字信号, 这个数据为整个数字量的低位数据 然后再找出输入信号被映射的区间, 该区间也以数字量表示, 这个数据为整个数字量的高位数据 高位和低位数据经过处理, 得到最后的数字信号

69 三 A/D 转换器及其接口技术 1) 模 / 数转换方法和原理 6. 折叠插值转换 A/D 转换器 折叠插值转换方式的特点是 : 数据的两次量化是同时进行的, 具有全并行转换的特点, 速度较快 ; 而且所需的比较器比快闪式模拟数字转换器少 一般只用于 8 位以下的 A/D 转换器

70 三 A/D 转换器及其接口技术 1) 模 / 数转换方法和原理 7. 过采样 Σ- A/D 转换器 过采样 Σ- 模数转换采用增量编码方式即根据前一量值与后一量值的差值的大小来进行量化编码 -Δ 型 ADC 分为四类 : 高速类 ADC; 调制解调器类 ADC; 编码器类 ADC; 传感器低频测量 ADC

71 三 A/D 转换器及其接口技术 1) 模 / 数转换方法和原理 7. 过采样 Σ- A/D 转换器 优点 : 分辨率较高, 高达 24 位 转换速率高, 高于积分型和压频变换型 ADC, 价格低, 内部利用高倍频过采样技术, 实现了数字滤波, 降低了对传感器信号进行滤波的要求, 与 DSP 技术兼容, 便于实现系统集成 缺点 : 高速 - 型 ADC 的价格较高 ; 在转换速率相同的条件下, 比积分型和逐次逼近型 ADC 的功耗高

72 三 A/D 转换器及其接口技术 1) 模 / 数转换方法和原理 7. 压频变换型 A/D 转换器 间接型 ADC, 将输入模拟信号的电压转换成频率与其成正比的脉冲信号, 然后在固定的时间间隔内对此脉冲信号进行计数, 计数结果即为正比于输入模拟电压信号的数字量 从理论上讲, 这种 ADC 的分辨率可以无限增加, 只要采用时间长到满足输出频率分辨率要求的累积脉冲个数的宽度即可 优点 : 精度高 价格较低 功耗较低 缺点 : 其转换速率受到限制,12 位时为 100~ 300SPS

73 三 A/D 转换器及其接口技术 1) 模 / 数转换方法和原理 7. 压频变换型 ADC AD650 A 满刻度频率可达 1MHz B 很低的非线性度 C 做 F/V 转换时, 输出电压范围 :<=10v D 增益温度稳定 : <= 150ppm/c E 数字分辨率 14 位

74 第十章数模和模数转换 三 A/D 转换器及其接口技术 1) 模 / 数转换方法和原理 逐次逼近型 积分型 压频变换型等, 主要应用于中速或较低速 中等精度的数据采集和智能仪器中 分级型和流水线型等, 主要应用于高速情况下的瞬态信号处理 快速波形存储与记录 高速数据采集 视频信号量化及高速数字通讯技术等领域 折叠型等结构的高速 ADC, 可应用于广播卫星中的基带解调等方面 -Δ 型 ADC 可应用高精度数据采集特别是数字音响系统 多媒体和电子测量等领域

75 第十章数模和模数转换三 A/D 转换器及其接口技术 2) 模 / 数转换的主要参数 A/D 转换器的技术参数反映了其性能特点, 其主要的指标有以下几个 : (1) 分辨率 : 分辨率反映 A/D 转换器对输入微小变化响应的能力, 通常用数字输出最低位 (LSB) 所对应的模拟输入的电平值表示

76 第十章数模和模数转换 三 A/D 转换器及其接口技术 2) 模 / 数转换的主要参数 (2) 精度 : 精度有绝对精度和相对精度两种表示方法 绝对误差 : 是指对应于一个数字量的实际模拟输入电压和理想的模拟输入电压之差的最大值, 通常以数字量的最小有效位 (LSB) 的分数值来表示 相对误差 : 是指整个转换范围内, 任一数字量所对应的模拟输入量的实际值与理论值之差, 用模拟电压满量程的百分比表示

77 第十章数模和模数转换 三 A/D 转换器及其接口技术 2) 模 / 数转换的主要参数 (3) 转换时间 : 转换时间是指完成一次 A/ D 转换所需的时间, 即由发出启动转换命令信号到转换结束信号开始有效的时间间隔, 其倒数称为转换速率 (4) 电源灵敏度 : 电源灵敏度是指 A/D 转换芯片的供电电源的电压发生变化时, 产生的转换误差 一般用电源电压变化 1% 时相应的模拟量变化的百分数来表示

78 第十章数模和模数转换 三 A/D 转换器及其接口技术 2) 模 / 数转换的主要参数 (5) 量程 : 量程是指所能转换的模拟输入电压范围, 分单极性 双极性两种类型

79 第十章数模和模数转换 三 A/D 转换器及其接口技术 2) 模 / 数转换的主要参数 误差 :A/D 转换器实际工作时, 会引入一些误差, 主要包括 : 静态误差 孔径误差和量化误差 各种误差都是以最低有效位 (LSB) 作为计算单位 1LSB 定义为 VREF/2n, 定义中的 VREF 是指参考电压, 而 n 则是模拟 / 数字转换器的分辨率 例如,14 位模拟 / 数字转换器的 1 LSB 是 VREF/16384

80 第十章数模和模数转换 三 A/D 转换器及其接口技术 2) 模 / 数转换的主要参数 (1) 静态误差 : 当转换一个直流信号时, 静态误差可由失调误差 增益误差 非线性误差和微分非线性误差表示 失调误差 : 失调误差就是实际 ADC 转换函数曲线与理想转换曲线间得偏移, 即实际曲线发生了平移现象 增益误差 : 增益误差就是满量程误差与失调误差之差

81 第十章数模和模数转换 三 A/D 转换器及其接口技术 2) 模 / 数转换的主要参数 非线性误差 : 非线性误差就是指转换器的实际传输特性曲线与它的平均传输特性曲线之间的最大偏差 微分非线性误差 : 它表示了输出码与其相邻代码的间隔, 是通过测量输入电压的变化, 并转换到以 LSB 为单位, 也就是我们通常所说的 ±1LSB,±0.5LSB 等指标

82 2) 模 / 数转换的主要参数 (2) 孔径误差 : 由于采样时钟或输入信号的噪声, 使得采样和保持之间延迟引起的误差 (3) 量化误差 :A/D 变换器的量化误差决定于 A /D 变换器的转换特性, 这种误差是由转换特性造成的, 是一种原理性误差, 无法消除 A/D 变换器选定以后, 其量化误差也随之确定了 量化误差和分辨率是统一的, 量化误差是由于有限数字对模拟数字进行离散取值 ( 量化 ) 而引起的误差 因此, 量化误差理论上为一个单位分辨率, 即 1LSB, 提高分辨率可减少量化误差 上述这些误差构成了 A/D 变换器的总误差 在考虑上述各种误差的综合影响时,A/D 变换器的总误差应该用各种误差的均方根来表示

83 第十章数模和模数转换三 A/D 转换器及其接口技术 3) 模 / 数转换器和有关电路 1 三态总线输入问题有的 ADC 芯片带有三态输出缓冲器, 其控制端为 OE( 输出允许 ) 若不带三态缓冲器的 ADC 芯片 ( 如 AD570 芯片 ) 与微机接口, 必须使用三态器件, 如 :8255A,74LS273 等 2 时间配合问题 A/D 芯片一般有三个信号要求控制 : 启动转换信号 (START), 转换结束信号 (EOC), 允许输出信号 (OE)

84 第十章数模和模数转换 三 A/D 转换器及其接口技术 3) 模 / 数转换器和有关电路 允许输出 OE 数据输出 启动信号 Start 模拟输入 转换结束 Eoc

85 第十章数模和模数转换 三 A/D 转换器及其接口技术 3) 模 / 数转换器和有关电路 3 启动信号的供给 电平启动信号 脉冲启动信号 4 转换结束信号以及转换数据的读取 程序查询方式中断方式固定的延迟程序方式 DMA 方式

86 第十章数模和模数转换 三 A/D 转换器及其接口技术 3) 模 / 数转换器和有关电路 典型 AD 转换芯片 1 ADC0809 ADC0809 是 8 通道 8 位 A/D 转换器, 将多路模拟开关和 8 位 ADC 集成在一个芯片内, 可构成多通道数据采集系统

87 第十章数模和模数转换 三 A/D 转换器及其接口技术 3) 模 / 数转换器和有关电路 1 ADC0809 a) 主要技术指标和特性 (1) 分辨率 :8 位 (2) 总的不可调误差 : 为 ±1LSB (3) 转换时间 : 取决于芯片时钟频率, 如 CLK=500kHz 时,TCONV=128μs (4) 单一电源 :+5V (5) 模拟输入电压范围 : 单极性 0~5V; 双极性 ±5V,±10V( 需外加一定电路 )

88 第十章数模和模数转换 三 A/D 转换器及其接口技术 3) 模 / 数转换器和有关电路 1 ADC0809 a) 主要技术指标和特性 (6) 具有可控三态输出缓存器 (7) 启动转换控制为脉冲式 ( 正脉冲 ), 上升沿使所有内部寄存器清零, 下降沿使 A/D 转换开始 (8) 使用时不需进行零点和满刻度调节

89 三 A/D 转换器及其接口技术 1 ADC0809 b) 内部结构和外部引脚

90 三 A/D 转换器及其接口技术 1 ADC0809 b) 内部结构和外部引脚 IN 7 ~IN 0 8 通道模拟量输入端 D 7 ~D 0 结果数据输出端 START 启动转换命令输入端 EOC 转换结束指示段 转换开始后为低电平, 转换结束即变回高电平 OE 输出使能端 高电平打开输出缓冲器三态门, 读出数据

91 三 A/D 转换器及其接口技术 1 ADC0809 b) 内部结构和外部引脚 C B 和 A 通道号选择输入端 所加电平的编码为 000~111 时分别选通模拟输入通道 IN 0 ~IN 7 ALE 通道号锁存控制端 高电平锁存 CBA 脚上的通道号选择码, 接通相应通道的模拟开关 常把它与 START 连一起, 由启动信号同时锁存通道号 CLK 外接时钟接入端 当 V CC =+5V 时, 典型时钟 640kHz,t C =100μs REF(+),REF(-) 参考电压输入端 通常 REF(-) 接模拟地, 若 REF(+)=+5V, 输入范围为 0~+5V

92 三 A/D 转换器及其接口技术 1 ADC0809 c) 工作过程 ADC0809 时序图

93 三 A/D 转换器及其接口技术 1 ADC0809 c) 工作过程 逐位比较 AD 锁存 ALE ADDA ADDB ADDC

94 1 ADC0809 c) 工作过程查询方式

95 1 ADC0809 c) 工作过程利用 8255 ADC0809 构成的数据采集方案

96 三 A/D 转换器及其接口技术 1 ADC0809 c) 工作过程利用 8255 ADC0809 构成的数据采集方案 例 : 已对 8255A 它进行了初始化, 并将 ES 和 DS 置成了相同段基地址 要求把 8 通道的转换结果, 存到段基址为 ES, 偏移量从 DATA_ BUF 开始的内存中 则 ADC0809 完成一次 8 路模拟量采集的子程序 AD_SUB 如下 :

97 1 ADC0809 c) 工作过程利用 8255 ADC0809 构成的数据采集方案 AD_SUB PROC NEAR MOV CX,8 ;CX 作数据计数器 CLD ; 清方向标志 MOV BL,00H ; 模拟通道号存在 BL 中 LEA DI,DATA_BUF ; 缓冲区偏移地址 NEXT_IN: MOV DX,322H ;C 口地址 MOV AL,BL OUT DX,AL ; 输出通道号 MOV DX,323H ; 指向控制口 MOV AL, B ;PC 3 置 1 OUT DX,AL ; 送出 START 信号 NOP ; 延时 NOP ; 高电平保持一段时间

98 利用 8255 ADC0809 构成的数据采集方案 MOV AL, B ;PC 3 =0 OUT DX,AL ; 使 START=0, 结束启动信号 MOV DX,322H ;DX 指向 C 口 NO_CONV: IN AL,DX ; 读入 C 口内容 TEST AL,80H ; 查 PC 7, 即 EOC 信号 JNZ NO_CONV ;PC 7 =1, 还未开始转换, 等待 NO_EOC: IN AL,DX ;PC 7 =0, 已启动转换 TEST AL,80H ; 再查 PC 7 JZ NO-EOC ;PC 7 =0, 转换未结束, 等待 MOV DX,320H ;PC 7 =1, 转换结束, 指向 A 口 IN AL,DX ; 读入数据 STOS DATA_BUF ; 存入 ES 段的数据缓冲区 INC BL ; 指向下个通道 LOOP NEXT_IN ; 尚未完成 8 路转换则循环 RET ; 已完成, 返回 AD_SUB ENDP

99 1 ADC0809 c) 工作过程 8259 IR0 IN0 IN1 IN2 IN3 IN4 IN5 IN6 IN7 OE A EOC D D -1 C 0 D -8 8 ADDA 0 ADDB 9 ADDC ALE START f out =2KHz PA7 PA0 PC0 PC1 PC2 PC3 PC4 PC A OUTA GATEA 8253 通道 0 CLKA F clk =1MHz

100 ; 通道 0-7 转换 100 个数 ADC_POINT DW? ADC_COUNT DW? BUFFER DB 100DUP (?) 主程序 CALL INIT ; 初始化可编程芯片 MOV ADC_POINT,OFFSET BUFFER MOV ADC_COUNT,100 MOV AL,08H ; 启动 8253 OUT C_PORT,AL

101 ; 中断程序 ADINT PROC CLI PUSH AX PUSH BX MOV BX,ADC_P IN AL,A_PORT MOV [BX],AL ; 取数 ; 存数 INC ADC_P ; 指针加 1 INC ADC_COUNT ; 计数器加 1 LOP1: 0 CMP ADC_COUNT,100 JNZ LOP1 MOV AL,0 OUT C_PORT,AL MOV AL,20H OUT 8259_POTR;AL POP BX POP AX STI IRET ENDP ; 是否转换 100 个数 ; 没有, 转 LOP1 ; 有, 停止 8253 工作 ; 送中断结束命令 EOI

102 2 12 位 A/D 转换器 AD574A AD574A 是美国 AD 公司的产品, 是价格低廉 应用较广的混合集成 12 位逐次逼近式 ADC 芯片 它分 6 个等级, 即 AD574AJ AK AL AS AT AU, 前三种使用温度范围为 0~+70, 后三种为 -55~+125 它们除线性度及其他某些特性因等级不同而异外, 主要性能指标和工作特点是相同的

103 2 12 位 A/D 转换器 AD574A AD574A 是带有三态缓冲器的 A/D 转换器, 可直接与 8/16 位微机接口, 内有高精度参考电压源和时钟电路, 芯片内还含有逐次逼近式寄存器 SAR 比较器 控制逻辑 DAC 转换电路及三态输出缓冲器等

104 三 A/D 转换器及其接口技术 3) 模 / 数转换器和有关电路 2 12 位 A/D 转换器 AD574A a) 主要技术指标和特性 (1) 非线性误差 : ±1LSB 或 ±21 LSB( 因等级不同而异 ) (2) 电压输入范围 : 单极性 0~+10V,0~+20V, 双极性 ±5V,±10V (3) 转换时间 : 35μs (4) 供电电源 : +5V,±15V (5) 启动转换方式 : 由多个信号联合控制, 属脉冲式

105 三 A/D 转换器及其接口技术 3) 模 / 数转换器和有关电路 2 12 位 A/D 转换器 AD574A a) 主要技术指标和特性 (6) 输出方式 : 具有多路方式的可控三态输出缓存器 (7) 无需外加时钟 (8) 片内有基准电压源 可外加 VR, 也可通过将 VO(R) 与 Vi(R) 相连而自己提供 VR 内部提供的 VR 为 (10.00±0.1)V(max), 可供外部使用, 其最大输出电流为 1.5mA; (9) 可进行 12 位或 8 位转换 12 位输出可一次完成, 也可两次完成 ( 先高 8 位, 后低 4 位 )

106 3) 模 / 数转换器和有关电路 2 12 位 A/D 转换器 AD574A b) 内部结构与引脚功能

107 三 A/D 转换器及其接口技术 3) 模 / 数转换器和有关电路 2 12 位 A/D 转换器 AD574A (1)12/8#: 输出数据方式选择 当接高电平时, 输出数据是 12 位字长 ; 当接低电平时, 是将转换输出的数变成两个 8 位字输出 (2)A0: 转换数据长度选择 当 A0 为低电平时, 进行 12 位转换 ;A0 为高电平时, 则为 8 位长度的转换 (3)CS#: 片选信号 (4)R/C#: 读或转换选择 当为高电平时, 可将转换后数据读出 ; 当为低电平时, 启动转换

108 三 A/D 转换器及其接口技术 3) 模 / 数转换器和有关电路 2 12 位 A/D 转换器 AD574A (5)CE 芯片允许信号, 用来控制转换与读操作 只有当它为高电平时, 并且 CS=0 时,R/ 信号的控制才起作用 CE 和 CS R/C 12/8 A0 信号配合进行转换和读操作的控制真值表如表所示

109 三 A/D 转换器及其接口技术 3) 模 / 数转换器和有关电路 2 12 位 A/D 转换器 AD574A (6)VCC 正电源, 电压范围为 0~+16.5V (7)Vo(R) +10V 参考电压输出端, 具有 1.5mA 的带负载能力 (8)AGND 模拟地 (9)GND 数字地 (10)Vi(R) 参考电压输入端 (11)VEE 负电源, 可选加 -11.4V~-16.5V 之间的电压

110 三 A/D 转换器及其接口技术 3) 模 / 数转换器和有关电路 2 12 位 A/D 转换器 AD574A (12)BIP OFF 双极性偏移端, 用于极性控制 单极性输入时接模拟地 (AGND), 双极性输入时接 Vo(R) 端 (13)Vi(10) 单极性 0~+10V 范围输入端, 双极性 ±5V 范围输入端 (14)Vi(20) 单极性 0~+20V 范围输入端, 双极性 ±10V 范围输入端

111 三 A/D 转换器及其接口技术 3) 模 / 数转换器和有关电路 2 12 位 A/D 转换器 AD574A (15)STS 转换状态输出端, 只在转换进行过程中呈现高电平, 转换一结束立即返回到低电平 可用查询方式检测此端电平变化, 来判断转换是否结束, 也可利用它的负跳变沿来触发一个触发器产生 IRQ 信号, 在中断服务程序中读取转换后的有效数据 从转换被启动并使 STS 变高电平一直到转换周期完成这一段时间内,AD574A 对再来的启动信号不予理睬, 转换进行期间也不能从输出数据缓冲器读取数据

112 3) 模 / 数转换器和有关电路 2 12 位 A/D 转换器 AD574A c) 工作时序

113 3) 模 / 数转换器和有关电路 2 12 位 A/D 转换器 AD574A c) 工作时序 (1) 启动转换在 CS#=0 和 CE=1 时, 才能启动转换 由于是 CS#=0 和 CE=1 相与后, 才能启动 A/D 转换, 因此实际上这两者中哪一个信号后出现, 就认为是该信号启动了转换 无论用哪一个启动转换, 都应使 R/C 信号超前其 200ns 时间变低电平 从图 可看出, 是由 CE 启动转换的, 当 R/ 为低电平时, 启动后才是转换, 否则将成为读数据操作 在转换期间 STS 为高电平, 转换完成时变低电平

114 三 A/D 转换器及其接口技术 3) 模 / 数转换器和有关电路 2 12 位 A/D 转换器 AD574A c) 工作时序 2) 读转换数据在 CS#=0 和 CE=1 且 R/C 为高电平时, 才能读数据, 由 12/8# 决定是 12 位并行读出, 还是两次读出 CS# 或 CE 信号均可用作允许输出信号, 看哪一个后出现 同时规定 A0 要超前于读信号至少 150ns,R/C# 信号超前于 CE 信号最小可到零

115 3) 模 / 数转换器和有关电路 2 12 位 A/D 转换器 AD574A c) 工作时序 2) 读转换数据 AD574A 还能以一种单独控制 (stand-alone) 方式工作 :CE 和 12/8# 固定接高电平,CS# 和 A0 固定接地, 只用 R/C# 来控制转换和读数,R/C#=0 时启动 12 位转换,R/C#=1 时并行读出 12 位数 具体实现办法可有两种 : 正脉冲控制和负脉冲控制 当使用 350ns 以上的 R/C# 正脉冲控制时, 有脉冲期间开启三态缓冲器读数, 脉冲后沿 ( 下降沿 ) 启动转换 当使用 400ns 以上的 R/C# 负脉冲控制时, 则前沿启动转换, 脉冲结束后读数

116 3) 模 / 数转换器和有关电路 2 12 位 A/D 转换器 AD574A d) 单极性和双极性输入 AD574 工作于单极性和双极性输入的连线图分别如图 10.21(a) 和 (b) 所示

117 3) 模 / 数转换器和有关电路 2 12 位 A/D 转换器 AD574A 单极性输入方式 当输入信号幅度在 0~+10V 时, 从 10V IN 脚输入 ; 若在 0~+20V 时, 则从 20V IN 脚输入 100kΩ 的电位器 R 1 用于零调整, 在模拟量输入为 0V 时,12 位输出数字量应为 0, 若不是全 0, 则需调整调零电位器 100Ω 电位器 R 2 调整满量程, 当模拟量输入为最大值 (10V 或 20V) 时,12 位输出数字量为全 1, 若不是全 1, 则调整电位器 R 2 对于双极性输入方式 用 R 1 和 R 2 进行零调整和满量程调整, 但 R 1 和 R 2 的阻值均为 100Ω, 满量程输入电压范围为 ±5V 或 ±10V

118 e)ad574a 应用举例用 12 位转换方式, 输入范围 0~+10V, 单极性 以 8255A 为接口 模拟信号放大后从 10V IN 输入,12/8# 接 +5V, AC 接模拟地,DC 接数字地,A 0 接地,12 位输出 DB 11 ~ DB 0 分别与 PA 3 PA 0 PB 7 PB 0 相连

119 例 : 编程 8255A 的 A 口 B 口为方式 0 输入, 用来读取 12 位结果 PC 3 0 输入状态信息 ;PC 7 4 输出控制信号, 启动转换或发出读取结果的命令 启动 A/D 转换和读取结果的程序段如下 : ;8255A 的端口地址 POTR_A EQU 0F0H ;A 口地址 PORT_B EQU 0F1H ;B 口地址 PORT_C EQU 0F2H ;C 口地址 PORT_CTL EQU 0F3H ; 控制口地址 ;8255A 控制字 :A 口和 B 口工作于方式 0,A 口 B 口和 C 口 ; 上半部分为输入,C 口下半部分为输出 MOV AL, B ; 方式字 OUT PORT_CTL,AL ; 输出方式字

120 ; 启动 A/D 转换 MOV AL,00H OUT PORT_C,AL; 使 CS#, CE,R/C# 均为低 NOP ; 延时 NOP MOV AL,04H OUT PORT_C,AL ; 使 CE=1, 启动 A/D 转换 NOP ; 延时 NOP MOV AL,03H OUT PORT_C,AL ; 使 CE=0,CS#=R/C#=1 ; 结束启动状态 READ_STS: IN AL,PORT_C ; 读 STS 状态 TEST AL,80H ; 转换完 (STS=0) 了吗? JNZ READ_STS ; 否, 则循环等待

121 ; 转换完成, 启动读操作 MOV AL,01H OUT POTR_C,AL; 使 CS#=0,CE=0,R/C#=1 NOP MOV AL,05H ; 使 CE=1,R/C#=1,CS#=0 OUT PORT_C,AL ; 允许读出 ; 读取数据, 存入 BX 中 IN AL,PORT_A ; 读入高 4 位数据 AND AL,0FH MOV BH,AL ; 存入 BH IN AL,PORT-B ; 读入低 8 位 MOV BL,AL ; 存入 BL ; 结束读操作 MOV AL,03H ; 使 CE=0,CS#=1 OUT PORT_C,AL ; 结束读操作

122 3) 模 / 数转换器和有关电路 3 串行 8 位 A/D 转换器 TLC0831 TLC0831/TLC0831C/ TLC0831I 是 TI 公司生产的八位逐次逼近式 AD 转换器, 它有一个差分输入通道, 串行输出配置为与标准移位寄存器或微处理器兼容的 Microwire 总线接口, 极性设置固定, 不需寻址 其内部有一采样数据比较器将输入的模拟信号微分比较后转换为数字信号 模拟电压的差分输入方式有利于抑制共模信号和减少或消除转换的偏移误差, 而且电压基准输入可调, 使得小范围模拟电压信号转化时的分辨率更高

123 3) 模 / 数转换器和有关电路 3 串行 8 位 A/D 转换器 TLC0831 特点如下 : (1)8 位分辨率 ; (2) 单通道差输入 ; (3)5V 的电源提供 0-5V 可调基准电压 ; (4) 输入和输出可与 TTL 和 CMOS 电平兼容 ; (5) 时钟频率为 250KHz 下, 转换时间为 32uS (6) 总失调误差为 1LSB; (7) 提供 DIP8 封装

124 3) 模 / 数转换器和有关电路 3 串行 8 位 A/D 转换器 TLC0831 TLC0831 管脚功能 1 CS # 片选端 2 IN+ 差模输入正端 3 IN- 差模输入负端 4 GND 地 5 REF 输入基准电压 6 DO 串行数据输出端 7 CLK 串行时钟信号端 8 Vcc 电源

125 3) 模 / 数转换器和有关电路 3 串行 8 位 A/D 转换器 TLC0831 TLC0831 的工作原理

126 3) 模 / 数转换器和有关电路 3 串行 8 位 A/D 转换器 TLC0831 TLC0831 与 8086CPU 的接口 TLC0831 D0 CLK CS 8255A PC0 PC4 PC5

D/A DAC ( 1us) (10~20 ) DAC0832 1

D/A DAC ( 1us) (10~20 ) DAC0832 1 D/A DAC0832 8 ( 1us) (10~20 ) DAC0832 1 1. 20 DI7~DI0 ILE 8 8 DAC 8 D/A LE LE & RFB VREF IOUT2 IOUT1 RFB CS WR1 XFER WR2 & & AGND VCC DGND 2 DI7~DI0 ILE & 8 LE 8 DAC LE 8 D/A RFB V REF IOUT2 IOUT1 R FB

More information

Microsoft PowerPoint - adc(2)_2016_08.ppt [兼容模式]

Microsoft PowerPoint - adc(2)_2016_08.ppt [兼容模式] 模数转换器 中国科学技术大学精密机械与精密仪器系 1 参考书目 : 马明建, 周长城, 数据采集与处理技术,1998 年 8 月, 西安交通大学, 西安 卢文祥 杜润生, 机械工程测试 信息 信号分析,1989, 华中理工大学出版社, 武汉 佟德纯, 工程信号处理及应用, 1989, 上海交通大学出版社, 上海 模数转换器李为民 2 第二节 模数转换器的分类 按转换信号的关系分类 按转换电路结构和工作原理分类

More information

图 内部结构图 8251 的外部引脚如图 所示, 共 28 个引脚, 每个引脚信号的输入输出方式如图中的箭 头方向所示

图 内部结构图 8251 的外部引脚如图 所示, 共 28 个引脚, 每个引脚信号的输入输出方式如图中的箭 头方向所示 实验题目 : 串行接口芯片 8251 实验目的 : 1. 掌握 8251 的工作方式及应用 2. 了解有关串口通讯的知识 串行接口芯片 8251 3. 掌握使用 8251 实现双机通讯的软件编程和电路连接 实验设备 : IA-32 架构的微机系统及应用教学平台两套 实验原理 : 1. 8251 的基本性能 性能 : 8251 是可编程的串行通信接口, 可以管理信号变化范围很大的串行数据通信 有下列基本

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6B0B8C0FDB5BCD1A75FD1F9D5C22E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6B0B8C0FDB5BCD1A75FD1F9D5C22E646F63> 因 为 路 过 你 的 路, 因 为 苦 过 你 的 苦, 所 以 快 乐 着 你 的 快 乐, 追 逐 着 你 的 追 逐 内 容 简 介 本 书 根 据 2005 年 下 半 年 实 施 的 全 国 计 算 机 技 术 与 软 件 专 业 技 术 资 格 ( 水 平 ) 考 试 嵌 入 式 系 统 设 计 师 级 考 试 大 纲 精 神, 在 深 入 研 究 历 年 计 算 机 技 术 与 软

More information

PowerPoint Presentation

PowerPoint Presentation 7.2 并行接口 二. 可编程并行接口芯片 8255A 的功能及其应用 与 CPU 一侧相连的引脚 D0 PA0 ~ ~ ~ ~ ~ D7 PA7 RESET PC7 WR RD PC4 PC3 PC0 A0 PB0 A1 PB7 CS PA 口 PC 口上半部 PC 口下半部 PB 口 A 组 B 组 与 I/O 设备相连的引脚 7.2 并行接口二. 可编程并行接口芯片 8255A 的功能及其应用

More information

查询 AD0809 供应商 捷多邦, 专业 PCB 打样工厂,24 小时加急出货 51 测试网论坛 单片机征文大赛稿件, 非本站以及作者 同意禁止转载, 欢迎会员投稿! 欢迎投票评论! 中国. 深圳.51 测试网

查询 AD0809 供应商 捷多邦, 专业 PCB 打样工厂,24 小时加急出货 51 测试网论坛   单片机征文大赛稿件, 非本站以及作者 同意禁止转载, 欢迎会员投稿! 欢迎投票评论! 中国. 深圳.51 测试网 查询 AD0809 供应商 捷多邦, 专业 PCB 打样工厂,24 小时加急出货 51 测试网论坛 http://www.8951.com/bbs/index.asp 单片机征文大赛稿件, 非本站以及作者 同意禁止转载, 欢迎会员投稿! 欢迎投票评论! 中国. 深圳.51 测试网 www.8951.com AD0809 在 51 单片机中的应用 51 测试网论坛版主 / 黄海我们在做一个单片机系统时,

More information

Microsoft Word - 实验8_ADDA.doc

Microsoft Word - 实验8_ADDA.doc 一. 实验目的 实验八数模与模数转换 (1) 了解数 / 模转换器的基本原理, 掌握 DAC0832 芯片的使用方法 (2) 了解模 / 数转换的基本原理, 掌握 ADC0809 的使用方法 二. 实验环境 1. 硬件环境 微型计算机 (Intel x86 系列 CPU) 一台, 清华科教仪器厂 TPC-2003A 微机接口实验装置一台 ; 数字 2. 软件环境 记忆示波器一台, 万用表一台 (1)Windows

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

幻灯片 1

幻灯片 1 3 8086 Tel:2656809 tjx@csu.edu.cn 2005-9-14 1 2005-9-14 2 8086 8 8086 8086 7 2005-9-14 3 PC 2005-9-14 4 2005-9-14 5 81616 2005-9-14 6 [ ] MOV AX, 3064H AX=3064H 16AX OP 64H 30H 2005-9-14 7 16 AX BX CX

More information

,768 32,767 32K JMP Jnnn (386+) LOOP CALL [Label:] JMP short/near/far address L10: jmp jmp L20: L10 L20

,768 32,767 32K JMP Jnnn (386+) LOOP CALL [Label:] JMP short/near/far address L10: jmp jmp L20: L10 L20 (Jump) (Loop) (Conditional jump) CMP CALL AND SAR/SHR TEST JMP NOT SAL/SHL Jnnn* OR RCR/ROR LOOP XOR RCL/ROL RETn * nnn, JNE JL -128 127-32,768 32,767 32K JMP Jnnn (386+) LOOP CALL [Label:] JMP short/near/far

More information

3.1 概述 3. DAC 的工作原理 本章内容 3.3 典型 DAC 芯片及应用举例

3.1 概述 3. DAC 的工作原理 本章内容 3.3 典型 DAC 芯片及应用举例 第三章 数字模拟转换器 1 3.1 概述 3. DAC 的工作原理 本章内容 3.3 典型 DAC 芯片及应用举例 3 3.1 概述 DAC 通常包括 ( 但不限于 ) 以下几个组成部分 : 电阻网络 运算放大器 模拟开关 精密参考电压源 DAC 不仅是模拟量输出通道中最主要装置, 而且在许多反馈型 ADC 中,DAC, 也是其中的重要组成部分, 对 ADC 性能有着至关重要的影响 需要指出的是 :DAC:

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

untitled

untitled 8086/8088 CIP /. 2004.8 ISBN 7-03-014239-X.... TP313 CIP 2004 086019 16 100717 http://www.sciencep.com * 2004 8 2004 8 1 5 500 787 1092 1/16 16 1/2 391 000 1 2 ii 1 2 CAI CAI 3 To the teacher To the student

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

第 卷 第 期 年 月 半 导 体 学 报! " # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% )

第 卷 第 期 年 月 半 导 体 学 报!  # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% ) 第 卷 第 期 年 月!"# $%&'%' $!&'#%#$1/#1 $'! /18103 2?/03101?/18103 /3 0,?/0301.13 )*+!!! '!,!! -.&' $!'! 4%%&1)/1(7%&)03(%)%&,%*(1&0)%$-0*,%30)17*1*)0(+1(1+&1*+*),)1; &113(%44(10&.0701&0-&00*/)%;()1%-1+%&0)0*1*)%

More information

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小由外接电阻来调整 封装图 SSOP24L-0.635-D1.40 (mm) ( SM16125ES ) 特点 16 通道恒流源输出 电流输出大小不因输出端负载电压变化而变化

More information

42 2141601026 2016 11 27 2 1.1............................................. 2 1.2....................................... 2 1.2.1......................................... 2 1.3.............................................

More information

´®ÐжàͨµÀ10λA/Dת»»Æ÷TLC1543¼°ÆäÔÚµçѹÊý¾Ý²É¼¯ÏµÍ³ÖеÄÓ¦ÓÃ

´®ÐжàͨµÀ10λA/Dת»»Æ÷TLC1543¼°ÆäÔÚµçѹÊý¾Ý²É¼¯ÏµÍ³ÖеÄÓ¦Óà 电子世界 杂志 串行 A/D 转换器 TLC1543 及其应用 严天峰 TLC1543 是美国 TI 公司生产的多通道 低价格的模数转换器 采用串行通信接口, 具有输入通道多 性价比高 易于和单片机接口的特点, 可广泛应用于各种数据采集系统 TLC1543 为 20 脚 DIP 封装的 CMOS 10 位开关电容逐次 A/D 逼近模数转换器, 引脚排列如图 1 所示 其中 A0~A10(1~9 11

More information

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg 1 1 a. 0.5V 5V 1Hz 1MHz b. 0.1% 2 : a. 0.5V 5V 1Hz 1MHz b. 0.1% (3) a. 0.5V 5V 100 s b. 1% 4 1 10 5 1MHz 6 1 2 1 0.1Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

技术文档

技术文档 地址 : 深圳市高新技术产业园南区高新南一道国微大厦 5 楼 ADD: Shenzhen High-tech Industrial Park,South Area GaoxinS.Ave.1 st,guowei Building. 电话 Tel:0755-26991331 传真 Fax:0755-26991336 邮编 :518057 网址 :www.chinaasic.com LED 恒流驱动芯片

More information

R/W

R/W (HD44780 KS0066 ) 3 3 5 6 10 14 HD44780/KS0066 16 2 LCM 8 1 40 4 LCD HD44780/KS0066 HD44100 IC PCB 0.1 CMOS 1. 2. 3. 4. 5. RH60% 6. 1. 2. 3. PCB 3 4. 5. 6. 1. 280 C 2. 3 4s 3. 4. 5. 3 5 1. 2. IC 3. DC-DC

More information

×××芯片用户手册

×××芯片用户手册 用户手册 通讯地址 : 深圳市南山区蛇口南海大海 1079 号花园城数码大厦 A 座 9 楼邮政编码 :518057 公司电话 :+(86 755)86169257 传真 :+(86 755)86169057 公司网站 :www.chipsea.com 第 1 页, 共 13 页 历史修改记录 历史修改记录 时间 记录 版本号 2012-12-19 更换新 LOGO, 重新发布 1.0 第 2 页,

More information

ETA104 数据采集模块数据手册

ETA104 数据采集模块数据手册 Emtronix ETA104 数据采集模块数据手册 1. 概述 1.1 ETA104 介绍 ETA104 模拟数据采集模块 ( 下文简称 :ETA104 模块 ) 是基于英创公司 SBC840 工控应用底板 符合 DM5028 标准应用扩展模块 ETA104 模块上的模数转换功能, 采用 ADS7871 模拟信号转换芯片, 占用 ESMARC 工控主板的 SPI 总线进行通讯, 最高可实现 48K

More information

(2) Function 0BH: Function 0CH: (pixel, picture element) Function 0DH: Function 0FH: Function 13H:

(2) Function 0BH: Function 0CH: (pixel, picture element) Function 0DH: Function 0FH: Function 13H: (1) INT 10H Function 00H: Function 01H: Function 02H: Function 03H: Function 05H: Function 06H: Function 07H: Function 08H: Function 09H: Function 0AH: (2) Function 0BH: Function 0CH: (pixel, picture element)

More information

adsd

adsd HS8108 电脑开关电源控制器 概述 : HS8108 是 PWM 开关电源控制器, 主要用于台式 PC( 个人电脑 ) 的开关电源部分 HS8108 能够提供开关电源所有的控制和保护功能 : PWM 脉宽调制及推挽输出, 具有过压 欠压 过流 过功耗 远程控制 AC 掉电保护等功能, 两个内嵌的精密 431 调节器用作稳定 5V 辅助电源和 33V 输出电压, 内嵌的精密振荡器可以保证各种延时的精确性

More information

s00_000_Dummy f.r Titelseite nur f.r Arbeits-PDFs

s00_000_Dummy f.r Titelseite nur f.r Arbeits-PDFs -V- SOEL-RTD-Q50-PU SOE 20m SOEG-RT 10 36 V DC 10 30 V DC SOEG-RTZ SOEG-RTH SOEG-RSP SOEG-RSG SOEG-S SOEG-E SOEG-L 10 36 V DC 10 30 V DC 10 36 V DC 10 30 V DC 10 36 V DC 10 30 V DC 10 36 V DC 10 30 V DC

More information

微型计算机原理及应用试题 机电96

微型计算机原理及应用试题   机电96 微 机 原 理 试 题 ( 一 ) 总 分 : 一 : 单 项 选 择 题 ( 每 题 1 分, 共 10 分 ) 1. 微 型 计 算 机 中 主 要 包 括 有 ( ) A) 微 处 理 器 存 储 器 和 I/O 接 口 B) 微 处 理 器 运 算 器 和 存 储 器 C) 控 制 器 运 算 器 和 寄 存 器 组 D) 微 处 理 器 运 算 器 和 寄 存 器 2. DMA 控 制 器

More information

单片机原理及应用实验指导书.doc

单片机原理及应用实验指导书.doc 1 2 3 4...2...4...9...9 AEDK598 MCS51...9 MCS51...10...10...10...10...10...10...11 P1...12...12...12....12...12...13 P3...14...14...14...14...14...14 I/O...15...15...15...15...15...16...17...17...17...17...17...18...19...19

More information

GW EDA VHDL VHDL VHDL VHDL ADC009 0 FPGA PC GW EDA a GW EDA beda README.TXT c d 0 e J MZH +V GND -V D/A +V GND S JA J D D D D D D D D C K J J VGA VGA B EDA JB B J HC B RS- CON CON HC PS/ CPLD/FPGA J RS-

More information

Microsoft Word - SDI0818用户手册_V1_Agu22_08.doc

Microsoft Word - SDI0818用户手册_V1_Agu22_08.doc 24 位模数转换器 特征 : 24 位分辨率 ( 无失码 ) 19.5 位有效位 (ENBO 128 倍放大 ) 18 位不动码 内部集成 128 倍增益放大器 内部集成震荡器频率 :2.45MHz 偏差 :+/- 5% 具有很高的电源抑制比, 方便在强电源噪声环境下应用 : 10Hz 时对 50Hz 60Hz 噪声抑制 (-100dB) 输出码率 10Hz 80Hz 可选 外部输入参考电压 VREFP

More information

DATASHEET SEARCH SITE ==

DATASHEET SEARCH SITE == 特性描述 是应用于电子秤低频测量的单通道模拟前端 该器件可以接受直接来自传感器的低电平的输入信号, 然后产生串行的数字输出 利用 Σ-Δ 转换技术实现了 24 位无丢失代码性能 通过选定的输入信号被送到一个基于模拟调制器的增益可编程专用前端 片内数字滤波器处理调制器的输出信号, 通过通信口发命令可调节滤波器的截止点和输出更新速率, 从而对数字滤波器的第一个陷波进行编程 只需 2.6~5.5V 单电源供电

More information

数字带通 带阻 高通滤波器的设计 把一个归一化原型模拟低通滤波器变换成另一个所需类型的模拟滤波器, 再将其数字化 直接从模拟滤波器通过一定的频率变换关系完成所需类型数字滤波器的设计 先设计低通型的数字滤波器, 再用数字频率变化方法将其转换成所需类型数字滤波器

数字带通 带阻 高通滤波器的设计 把一个归一化原型模拟低通滤波器变换成另一个所需类型的模拟滤波器, 再将其数字化 直接从模拟滤波器通过一定的频率变换关系完成所需类型数字滤波器的设计 先设计低通型的数字滤波器, 再用数字频率变化方法将其转换成所需类型数字滤波器 数字带通 带阻 高通滤波器的设计 把一个归一化原型模拟低通滤波器变换成另一个所需类型的模拟滤波器, 再将其数字化 直接从模拟滤波器通过一定的频率变换关系完成所需类型数字滤波器的设计 先设计低通型的数字滤波器, 再用数字频率变化方法将其转换成所需类型数字滤波器 模拟原型方法 : 模拟低通 - 模拟带通 H ( j) H ( j) 3 3 3 模拟原型方法 : 模拟低通 - 模拟带通 H ( j) 模拟低通

More information

物品重量分級器.doc

物品重量分級器.doc Ω Ω Ω Ω Ω Ω Ω 9 A R = Ω Ω + - - + R = A R = - ρ A A R = + A A R = A ρ Ω = + A A A - R + + = + = ρ ) A A ) ( A R ( + + = + + = A ) A R (+ R R = R R = F F Active Dummy V Active Dummy ± ± ± mv = mv ±

More information

RP7900 Series Regenerative Power System - Data Sheet

RP7900 Series Regenerative Power System - Data Sheet RP7900 RP7931A - RP7936A (200/208 VAC) RP7941A - RP7946A (400/480 VAC) RP7951A - RP7953A (200/208 VAC) RP7961A - RP7963A (400/480 VAC) 12V / HEV/EV 300V RP7900 Keysight RP7900 3U RP7900 950 V 800 A 10

More information

图 2 产品应用示意图 产品功能表 表 1 发送输入输出 RE DE DI Z Y X X X High Z High Z 1 0 X High Z High Z X = 无关 High Z = 高阻 表 2 接收 输入 输出 RE DE A B RO 0 0

图 2 产品应用示意图 产品功能表 表 1 发送输入输出 RE DE DI Z Y X X X High Z High Z 1 0 X High Z High Z X = 无关 High Z = 高阻 表 2 接收 输入 输出 RE DE A B RO 0 0 RFDot 产品,RoHS 认证, 绿色无铅封装 封装类型 : DIP/SO 产品概述 MAX485 是一款应用于 RS-485 和 RS-422 通信系统的收发芯片 MAX485 传输和接收的数据传输率可高达 2.5Mbps 485 为半双工型 另外,485 有驱动使能 (DE) 和接收使能 (RE) 管脚, 当时, 驱动和接收输出为高阻 MAX485 具有失效保护功能, 在处于接收状态时, 输入端开路或短路接收器输出为高电平

More information

CD4066中文资料:CD4066是四双向模拟开关,主要用作模拟或数字信号的多路传输。引出端排列与CC4016一致,但具有比较低的导通阻抗。另外,导通阻抗在整个输入信号范围内基本上变。CD4066由四个相互独立的双向开关组成,每个开关有一个控制信号,开关中的p和n器件在控制信号作用下同时开关。这种结

CD4066中文资料:CD4066是四双向模拟开关,主要用作模拟或数字信号的多路传输。引出端排列与CC4016一致,但具有比较低的导通阻抗。另外,导通阻抗在整个输入信号范围内基本上变。CD4066由四个相互独立的双向开关组成,每个开关有一个控制信号,开关中的p和n器件在控制信号作用下同时开关。这种结 CD466 中文资料 CD466 的引脚功能下图所示 每个封装内部有 4 个独立的模拟开关 每个模拟开关有输入 输出 控制三个端子 其中输入端和输出端可互换 当控制端加高电平时 开关导通 ; 当控制端加低电平时开关截止 模拟开关导通时 导通电阻为几十欧姆 ; 模拟开关截止时 呈现很高的阻抗 可以看成为开路 模拟开关可传输数字信号和模拟信号 可传输的模拟信号的上限频率为 4 各开关间的串扰很小 典型值为

More information

微机原理与接口技术 郭玉洁

微机原理与接口技术 郭玉洁 微机原理与接口技术 郭玉洁 实验安排 汇编语言程序设计实验编程测验硬件接口应用实验综合应用实验 2 学时 1 学时 4 学时 4 学时 一 实验内容 二 实验目的 三 实验方法 实验报告要求 1 文字叙述设计思路 2 流程图 四 实验源程序 ( 必要的文字注释 ) 五 实验结果 六 实验中遇到的问题及解决方法 七 心得体会 ( 学会编程 调试等学习的方法 ) 汇编语言实验内容 1 学习并掌握 IDE86

More information

IC芯片自主创新设计实验

IC芯片自主创新设计实验 IC 芯片自主创新设计实验 设计报告 设计题目 : 格雷码计数器芯片设计 设计学生 : 吴东生 ( 集成电路 ) 景国新 ( 固体电子 ) 林道明 ( 集成电路 ) 连维重 ( 集成电路 ) 施望 ( 集成电路 ) 刘锦秀 ( 集成电路 ) 刘中伟 ( 集成电路 ) 李梦宁 ( 集成电路 ) 指导教师 : 阮爱武 杜涛 指导单位 : 电子设计自动化技术 课程组 一 格雷码计数器芯片设计概述 功能描述

More information

Microsoft Word - SPEC-TL0001-CH_v1_02

Microsoft Word - SPEC-TL0001-CH_v1_02 传感与控制 http://www.sensor-ic.com/ 红外传感信号处理器 简介 是一款具有较高性能的传感信号处理集成电路. 它和 BISS000 芯片完全兼容, 它配以热释电红外线传感器和少量外接元器件构成被动式的热红外开关 它能自动快速开启各类白炽灯 萤光灯 蜂鸣器 自动门 电风扇 烘干机和自动洗手池等装置, 特别适用于企业 宾馆 商场 库房及家庭的过道 走廊等敏感区域, 或用于安全区域的自动灯光

More information

行业

行业 PCL-1800 PCL-1800 1.1...2 1.1.1 1K FIFO...2 1.1.2...2 1.1.3 16...3 1.1.4...3 1.1.5...3 1.1.6...3 1.2...3 1.3...4 1.4...5 2.1...5 2.2...6 2.2.1...6 2.2.2...6 2.2.3 D/A...7 2.2.4...7 2.2.5 TRIG0 GATE0...8

More information

24位模数转换器

24位模数转换器 24 位高精度低电压模数转换器 特征 : 24 位分辨率 ( 无失码 ) 20.7 位有效位 (ENBO 128 倍放大 10Hz 输出 3.0V) 18.2 位不动码 (ENBO 128 倍放大 10Hz 输出 3.0V) 内部集成可编程增益 : 2 4 8 16 32 128 256 1024 2048 倍 输出码率可选 (Hz): 0.625 1.25 2.5 5 10 20( 默认 ) 40

More information

16位A/D转换器

16位A/D转换器 16 位 A/D 转换器 anyh 是双竞公司新推出的 16 位 A/D 转换器, 具有两个全差分输入通道, 可用于测量低频模拟信号 这种器件带有增益可编程放大器, 可通过软件编程来直接测量传感器输出的各种微小信号 具有分辨率高 动态范围广 自校准等特点, 因而非常适合于工业控制 仪表测量等领域 16 位 A/D 转换器 1. 概述 是双竞公司新推出的 16 位 A/D 转换器, 具有两个全差分输入通道,

More information

学习MSP430单片机推荐参考书

学习MSP430单片机推荐参考书 MSP430 16 MSP430 C MSP430 C MSP430 FLASH 16 1 CPU 16 ALU 16 PC SP SR R4~R15 2 3 00-FFH 100-1FFH 4 5 1 2 51 24 27 6 1 2 3 4 5 6 4 12 SR SP SR CPU SR CPU C Z N GIE CPUOff CPU OscOff SCG0 SCG1 CPU EXIT SP

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

2 Bosch Rexroth AG Electric Drives and Controls 文档 功率范围 x AC 230 V 0.4 kw 至 3 x AC 400 V 90.0 kw 使用永磁式电机 内置的制动斩波器和电源滤波器 内置的操作面板, 可简单且快速地进行调试 可以通过输入输出和

2 Bosch Rexroth AG Electric Drives and Controls 文档 功率范围 x AC 230 V 0.4 kw 至 3 x AC 400 V 90.0 kw 使用永磁式电机 内置的制动斩波器和电源滤波器 内置的操作面板, 可简单且快速地进行调试 可以通过输入输出和 2 Bosch Rexroth AG Electric Drives and Controls 文档 功率范围 x AC 230 V 0.4 kw 至 3 x AC 400 V 90.0 kw 使用永磁式电机 内置的制动斩波器和电源滤波器 内置的操作面板, 可简单且快速地进行调试 可以通过输入输出和现场总线模块扩展 是一款经济的通用型, 转速调节可靠, 可根据需要供给能量 U/f 和矢量调节, 安装调试简便,

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 STC 单片机比较器原理及实现 主讲 : 何宾 Email:hebin@mail.buct.edu.cn 2016.03 STC 单片机比较器结构 STC15W 系列单片机内置了模拟比较器 对于 STC15W201S STC15W404S, 以及 STC15W1K16S 系列单片机的比较器内部结 构 STC 单片机比较器结构 从图中可以看出, 比较器正端输入 CMP+ 的输入电压来自单片机的 P5.5

More information

<4D6963726F736F667420576F7264202D20C1E3B5E3CFC2D4D8C4A3B0E52E646F63>

<4D6963726F736F667420576F7264202D20C1E3B5E3CFC2D4D8C4A3B0E52E646F63> 历 年 MBA MPAcc 联 考 数 学 真 题 及 答 案 详 解 (009-0) 009 年 月 MBA 联 考 数 学 真 题 及 答 案 详 解 一 问 题 求 解 ( 本 大 题 共 小 题, 每 小 题 分, 共 分 下 列 每 题 给 出 的 五 个 选 项 中, 只 有 一 项 是 符 合 试 题 要 求 的 请 在 答 题 卡... 上 将 所 有 选 项 的 字 母 涂 黑 ).

More information

1

1 1 2 3 4 5 GNUDebugger 6 7 void main(int argc, char **argv){ vulncpy(argv[1]); return; } void vulncpy(char *a){ char buf[30]; strcpy(buf, a); return; } *argv[1] buf Shellcode *argv[1]... &buf &buf 8 strcpy

More information

第十四章 STC单片机比较器原理及实现

第十四章 STC单片机比较器原理及实现 第 14 章 STC 单片机比较器 原理及实现 何宾 2015.02 1 本章主要内容 STC 单片机比较器结构 STC 单片机比较器寄存器组 STC 单片机比较器应用 2 STC 单片机比较器结构 STC15W 系列单片机内置了模拟比较器 对于 STC15W201S STC15W404S, 以及 STC15W1K16S 系 列单片机的比较器内部结构 3 STC 单片机比较器结构 S T C 15W

More information

<4D F736F F F696E74202D20B5DA35D5C2CEA2B4A6C0EDC6F7B9A4D7F7D4ADC0ED2E707074>

<4D F736F F F696E74202D20B5DA35D5C2CEA2B4A6C0EDC6F7B9A4D7F7D4ADC0ED2E707074> 第 5 章 微处理器工作原理 1 5.1 8086 处理器 2 1. 管脚定义 3 8086/88 管脚描述 8086:16 位微处理器, 16 位外部数据总线 8088:16 位微处理器, 8 位外部数据总线 GND AD14 AD13 AD12 AD11 AD10 AD9 AD8 AD7 AD6 AD5 AD4 AD3 AD2 AD1 AD0 NMI INTR CLK GND 1 2 3 4 5

More information

第三章 宏汇编语言程序设计

第三章 宏汇编语言程序设计 微机原理与接口技术 实验指导书 淮阴师范学院计算机科学与技术系 实验一代码转换 一 实验目的 : 1 掌握顺序 分支程序的设计方法 2 编制顺序 分支程序 3 上机调试顺序 分支程序, 掌握源代码转换的基本方法 4 学会用 INT 21 功能实现人机对话 二 实验内容 本程序所实现的功能是 : 键入小写字母 ( 最多 20 个 ) 以. 号作为结束标志, 输出相应的大写字母 用 INT 21H 中的的

More information

Microsoft Word - 64554-9.doc

Microsoft Word - 64554-9.doc 第 9 章 循 序 邏 輯 運 用 重 點 整 理 1. 計 數 器 為 將 脈 波 送 到 由 正 反 器 組 成 的 計 數 器 電 路 2. 每 個 正 反 器 的 輸 出 連 接 到 下 一 個 正 反 器 的 CK 端 以 推 動 下 一 個 正 反 器, 即 稱 為 漣 波 計 數 器, 為 非 同 步 計 數 器, 使 用 上 時 脈 頻 率 會 受 到 限 制 3. n 個 正 反

More information

第六章 微型计算机的输入输出

第六章  微型计算机的输入输出 第七章可编程接口芯片 第七章可编程接口芯片 7.1 可编程接口概述 7.2 并行接口芯片 8255A 7.3 定时 / 计数器接口芯片 8253 第七章可编程接口芯片 7.1 可编程接口概述 7.2 可编程并行接口芯片 8255A 7.3 可编程定时 / 计数器接口芯片 8253 7.1 可编程接口概述 一个简单的具有输入功能和输出功能的可编程接口电路如下图, 它包括一个输入接口, 其组成主要是八位的三态门

More information

数 字 隔 离 器 光 耦 隔 离 器 或 者 电 磁 隔 离 器 用 来 将 系 统 现 场 的 ADC DAC 和 信 号 调 理 电 路 与 数 字 端 的 控 制 器 隔 离 开 来 如 果 模 拟 端 的 系 统 也 必 须 实 现 充 分 隔 离 的 话, 在 输 入 或 者 输 出 的

数 字 隔 离 器 光 耦 隔 离 器 或 者 电 磁 隔 离 器 用 来 将 系 统 现 场 的 ADC DAC 和 信 号 调 理 电 路 与 数 字 端 的 控 制 器 隔 离 开 来 如 果 模 拟 端 的 系 统 也 必 须 实 现 充 分 隔 离 的 话, 在 输 入 或 者 输 出 的 图 1 PLC 系 统 架 构, 示 出 了 各 种 不 同 的 I/O 模 块 功 能 PLC 系 统 包 含 输 入 模 块 输 出 模 块 和 输 入 / 输 出 模 块 因 为 许 多 输 入 和 输 出 都 涉 及 现 实 世 界 中 的 模 拟 变 量 而 控 制 器 是 数 字 式 的 PLC 系 统 硬 件 设 计 任 务 将 主 要 围 绕 如 下 方 面 展 开 : 数 模 转

More information

供充放一体电源及充电电源手册_0_.doc

供充放一体电源及充电电源手册_0_.doc 2010. 05 供充放一体电源及充电电源 适用于铅酸电池 锂电池 镍氢电池 实现不间断供电 采用自动均 / 浮充 恒流 / 恒压 零电流 -ΔV 检测 / 涓充 / 滴充技术 提供各种保护 显示各种工作状态 目录 SCD 供充放一体电源 ( 锂电池系列 )-----------1 SCD 供充放一体电源 ( 铅酸电池系列 )----------3 C 充电电源 ( 镍氢电池系列 )-------------------------5

More information

数字信号处理 第五章06 IIR数字滤波器-频率变换2.ppt [兼容模式]

数字信号处理 第五章06 IIR数字滤波器-频率变换2.ppt [兼容模式] 数字信号处理 周治国 05. 第五章数字滤波器 IIR 数字滤波器的频率变换 数字带通 带阻 高通滤波器的设计 把一个归一化原型模拟低通滤波器变换成另一个所需类型的模拟滤波器, 再将其数字化 直接从模拟滤波器通过一定的频率变换关系完成所需类型数字滤波器的设计 先设计低通型的数字滤波器, 再用数字频率变化方法将其转换成所需类型数字滤波器 模拟原型 模拟 - 模拟频带变换 模拟带通带阻高通 数字化 数字带通带阻高通

More information

MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需

MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需 MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需检测电阻, 能检测交直流电流和外围电路简单 检测电流方案为电流传感器 APCL-C784 和 C79B

More information

行业

行业 PCL-818HD/HG/L PCL-818HD/HG/L 1.1...2 1.1.1 /...2 1.1.2 ID...2 1.2...3 1.3...3 2.1...3 2.2...3 2.2.1...4 2.2.2...4 2.2.3 DMA...5 2.2.4...5 2.2.5 D/A...5 2.2.6...6 2.2.7 EXE.trigger GATE0...6 2.2.8 FIFO

More information

SM16126 LED 显示屏驱动 ICIGGS2011V1.2 SM16126 概述 封装信息 SM16126 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据 产品名称 封装形式 塑封体尺寸 (mm) 脚间距 (mm) 转换成并行输出数据格式

SM16126 LED 显示屏驱动 ICIGGS2011V1.2 SM16126 概述 封装信息 SM16126 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据 产品名称 封装形式 塑封体尺寸 (mm) 脚间距 (mm) 转换成并行输出数据格式 SM16126 概述 封装信息 SM16126 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据 产品名称 封装形式 塑封体尺寸 (mm) 脚间距 (mm) 转换成并行输出数据格式 SM16126 工作电压为 3.3V 5V, 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流 ; 且单颗 IC 片内输出通道的电流差异小于 ±3%;

More information

Microsoft Word - EG0001datasheet_V1.0.docx

Microsoft Word - EG0001datasheet_V1.0.docx 1. 特点 CMOS 数模混合专用集成电路 具有独立的高输入阻抗运算放大器, 可与多种传感器匹配, 进行信号预处理 双向鉴幅器可有效抑制干扰 内设延迟时间和封锁时间定时器, 结构新颖, 稳定可靠, 调节范围宽 内置参考电源 工作电压范围宽 :+3V +5V 封装形式 :DIP16 SOP16 封装 2. 描述 EG0001 是一款具有较高性能的传感信号处理集成电路 它配以热释电红外传感器和少量外接元器件构成被动式的热释电红外开关

More information

組譯與連結(Ver6

組譯與連結(Ver6 Intel 8088/86 CPU GND 1 40 VCC GND 1 40 VCC A14 2 39 A15 AD14 2 39 AD15 A13 3 38 A16/S3 AD13 3 38 A16/S3 A12 4 37 A17/S4 AD12 4 37 A17/S4 A11 5 36 A18/S5 AD11 5 36 A18/S5 A10 A9 6 7 35 34 A19/S6 SS0 (

More information

浙江朗威微系统有限公司

浙江朗威微系统有限公司 浙江朗威微系统有限公司 LW54123 漏电保护专用集成电路说明书 1 订购须知 联系信息 浙江朗威微系统有限公司 芯片名称 描述 封装类型 LW54123 高速对地漏电保护集成电路 P8/OP8 Add:No. 99 Huaxing Road, Hangzhou East oftware Park Building, 4th Floor enture Building 浙江省杭州市华星路 99 号东部软件园创业大厦

More information

untitled

untitled EDM12832-08 : 25-1 : 116600 : (0411)7612956 7632020 7631122 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

  K-845 开关量输入输出卡技术说明书

  K-845 开关量输入输出卡技术说明书 K-845 光隔开关量输入输出接口卡使用说明书 北京科瑞兴业科技有限公司 北京科瑞兴业科技有限公司 地址 : 北京市海淀区知春里 28 号开源商务写字楼 212 213 室 邮政编码 :100086 电话 :010-51650651 010-62527214 传真 :010-62657424 http://www.krxgk.com Sales E-mail: sgq@krxgk.com Tech

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

长 安 大 学 硕 士 学 位 论 文 基 于 数 据 仓 库 和 数 据 挖 掘 的 行 为 分 析 研 究 姓 名 : 杨 雅 薇 申 请 学 位 级 别 : 硕 士 专 业 : 计 算 机 软 件 与 理 论 指 导 教 师 : 张 卫 钢 20100530 长安大学硕士学位论文 3 1 3系统架构设计 行为分析数据仓库的应用模型由四部分组成 如图3 3所示

More information

untitled

untitled SIMATIC S7-300 4/2 4/2 S7-300/S7-300F 4/4 4/4 CPU 312C - CPU 317F-2 DP 4/38 SIPLUS 4/38 SIPLUS CPU 312C, CPU 313C, CPU 314, CPU 315-2 DP 4/40 4/40 SM 321 4/46 SM 322 4/52 SM 323/SM 327 I/O 4/56 SIPLUS

More information

AN-80 各单通道 ADC 采用先进的 CMOS 工艺制造, 提供 引脚 LFCSP 封装, 额定温度范围为 0ºC 至 +8ºC 工业温度范围 设计和布局考虑因素对于布局设计师, 引脚排列间的唯一差异是数据输出位的分配 所有双通道转换器中, 引脚 和引脚 8 分别为 A 和 B 的 MSB, 该

AN-80 各单通道 ADC 采用先进的 CMOS 工艺制造, 提供 引脚 LFCSP 封装, 额定温度范围为 0ºC 至 +8ºC 工业温度范围 设计和布局考虑因素对于布局设计师, 引脚排列间的唯一差异是数据输出位的分配 所有双通道转换器中, 引脚 和引脚 8 分别为 A 和 B 的 MSB, 该 AN-80 应用笔记 One Technology Way P.O. Box Norwood, MA 00- Tel: 8/-00 Fax: 8/- www.analog.com 利用引脚兼容高速 ADC 简化设计任务 作者 :Robert M. Clarke 简介选择 ADC 可能是系统设计师最棘手的问题 转换器分辨率和采样速率决定系统性能 然而, 设计通常是基于一系列无法验证的假设, 除非原型系统经过测试

More information

XT1861

XT1861 同步升压 DC-DC 变换器 产品概述 XT1861 系列产品是一款低功耗高效率 低纹波 工作频率高的 PFM 控制升压 DC-DC 变换器 XT1861 系列产品仅需要 3 个外部元器, 即可完成低输入的电池电压输入 用途 1~3 个干电池的电子设备 数码相机 电子词典 ED 手电筒 ED 灯 血压计 MP3 遥控玩具 无线耳机 无线鼠标键盘 医疗器械 防丢器 汽车防盗器 充电器 VCR PD 等手持电子设备

More information

CS1240/CS1241用户手册

CS1240/CS1241用户手册 用户手册 20-bit Sigma-Delta ADC 通讯地址 : 深圳市南山区蛇口南海大道 1079 号花园城数码大厦 A 座 9 楼邮政编码 :518067 公司电话 :+(86 755)86169257 传真 :+(86 755)86169057 公司网站 :www.chipsea.com 微信号 : 芯海科技微信二维码 : 第 1 页, 共 30 页 版本历史 历史版本 修改内容 版本日期

More information

吉林大学学报 工学版 244 第 4 卷 复杂 鉴于本文篇幅所限 具体公式可详见参考文 献 7 每帧的动力学方程建立及其解算方法如图 3 所示 图4 滚转角速度与输入量 η 随时间的变化波形 Fig 4 Waveform of roll rate and input η with time changing 图5 Fig 5 滚转角随时间的变化波形 Waveform of roll angle with

More information

SM16106 LED 显示屏驱动 IC IT1GIGV1.0 SM16106 概述 SM16106 是专为 LED 显示屏设计的驱动芯片, 内 建 CMOS 位移寄存器与锁存功能, 可以将串行的输入 数据转换成并行输出数据格式 SM16106 工作电压为 3.3V 5.0V, 提供 16 个电 流

SM16106 LED 显示屏驱动 IC IT1GIGV1.0 SM16106 概述 SM16106 是专为 LED 显示屏设计的驱动芯片, 内 建 CMOS 位移寄存器与锁存功能, 可以将串行的输入 数据转换成并行输出数据格式 SM16106 工作电压为 3.3V 5.0V, 提供 16 个电 流 SM16106 概述 SM16106 是专为 LED 显示屏设计的驱动芯片, 内 建 CMOS 位移寄存器与锁存功能, 可以将串行的输入 数据转换成并行输出数据格式 SM16106 工作电压为 3.3V 5.0V, 提供 16 个电 流源, 可以在每个输出端口提供 1mA 32mA 的恒定电 流 ; 且单颗 IC 片内输出电流差异小于 ±2.5%; 多颗 IC 间的输出电流差异小于 ±3.5%; 通道输出电流不随着输

More information

Ps22Pdf

Ps22Pdf 1, : ( ),?, :,,,, ( ), 1 180,, ( ) 1 1,, 2 180 ;,, 3 180 ;, n ( n - 2 ),, ( n - 2) 180 1 1, : ( ),.,, 2, (, ) 1 , 3 x + y = 14, 2 x - y = 6 : 1 ( ) : + 5 x = 20, x = 4 x = 4 y = 2, x = 4, y = 2 2 ( ) :

More information

行业

行业 PCI-1710 1.1...2 1.1.1...2 1.1.2...2 1.1.3 FIFO( )...2 1.1.4...2 1.1.5...2 1.1.6 16 16...3 1.1.7...3 1.2...3 1.3...3 2.1...3 2.2...4 2.2.1...4 2.2.2...5 2.3...9 2.3.1...10 2.3.2... 11 2.3.3...12 2.3.4...12

More information

第5章:汇编语言程序设计

第5章:汇编语言程序设计 第 5 章 : 汇编语言程序设计 程 汇编语言指令格式 系统伪指令 存储器选择方式 常用子程序 1 汇编语言程序设计 PIC 指令系统 语言系统 指 CPU 编 器语言 器语言 器语言 设计 用 语言 设计 语言 汇编语言 2 汇编语言指令格式 汇编语言指令格式 ( 指令 ) label opcode operand comment 指令 用 存 指令 指令语 3 汇编语言指令格式 1 指令 用 指令

More information

untitled

untitled EDM16080-01 Model No.: Editor: 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3

More information

内部结构框图 SDI LSB 16Bit 移位寄存器 (1 16Bit 通道 ) MSB 0 15 LSB MSB 输出开 / 关数据锁存 (1 16Bit 通道 ) 0 15 R-EXT 16 通道恒流输出驱动 OUT0 OUT1 OUT14 OUT15 图 2 管脚信息 SDI OUT0 OUT

内部结构框图 SDI LSB 16Bit 移位寄存器 (1 16Bit 通道 ) MSB 0 15 LSB MSB 输出开 / 关数据锁存 (1 16Bit 通道 ) 0 15 R-EXT 16 通道恒流输出驱动 OUT0 OUT1 OUT14 OUT15 图 2 管脚信息 SDI OUT0 OUT 特性描述 是 D 显示面板设计的驱动 IC, 它内建的 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成平行输出数据格式 具有 16 个电流源, 可以在每个输出端口提供 2~60mA 恒定电流量以驱动 D, 每个 OUT 驱动通道输出可短接后得到更大的电流输出, 且当环境发生变化时, 对其输出电流影响很小 同时可以选用不同阻值 (REXT) 的外接电阻来调整 各输出端口的电流大小, 因此,

More information

Ps22Pdf

Ps22Pdf CIP ) / :,2006.2 ISBN 7-80702 - 113-6..........G.206 CIP (2006)080133 :8501168mm 1/ 32 : 120 :2000 2006 3 1 : 5000 ISBN 7-80702 - 113-6/ G206 : 348.00 (16 ) ,?, :,,,,,,,,,!,?,,,,,,?,, ,,,,,,,,,,,,,,,!,!,!

More information

驱动器 STEPPING MOTOR DRIVER 5 相微步马达驱动器 特点 KR-55M! 由于微步驱动低速旋转时 马达都光滑地旋转! 根据切换信号可使用 2 种步进角! 最高分割数是 80 分割 80 分割时基本步进 0.72 的马 达是 1 个脉冲 个旋转 40,000 脉冲

驱动器 STEPPING MOTOR DRIVER 5 相微步马达驱动器 特点 KR-55M! 由于微步驱动低速旋转时 马达都光滑地旋转! 根据切换信号可使用 2 种步进角! 最高分割数是 80 分割 80 分割时基本步进 0.72 的马 达是 1 个脉冲 个旋转 40,000 脉冲 5 相微步马达 KR-55M! 由于微步驱动低速旋转时 马达都光滑地旋转! 根据切换信号可使用 2 种步进角! 最高分割数是 80 分割 80 分割时基本步进 0.72 的马 达是 1 个脉冲 0.009 1 个旋转 40,000 脉冲! 有自动电流下降 自己测试功能路等功能 型号 KR-55M 输入电源 DC24V 10% 驱动电流 1.4A/ 相 Max 微步 驱动方式 1,2,4,5,8,10,16,20,40,80,

More information

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas 目录 1 IPv6 快速转发 1-1 1.1 IPv6 快速转发配置命令 1-1 1.1.1 display ipv6 fast-forwarding aging-time 1-1 1.1.2 display ipv6 fast-forwarding cache 1-1 1.1.3 ipv6 fast-forwarding aging-time 1-3 1.1.4 ipv6 fast-forwarding

More information

1 2 / 3 1 A (2-1) (2-2) A4 6 A4 7 A4 8 A4 9 A ( () 4 A4, A4 7 ) 1 (2-1) (2-2) ()

1 2 / 3 1 A (2-1) (2-2) A4 6 A4 7 A4 8 A4 9 A ( () 4 A4, A4 7 ) 1 (2-1) (2-2) () (39mm E-Mail ( )( ), : : 1 1 ( ) 2 2 ( ) 29mm) WSK ( 1 2 / 3 1 A4 2 1 3 (2-1) 2-1 4 (2-2) 2-2 5 A4 6 A4 7 A4 8 A4 9 A4 10 11 ( () 4 A4, 5 6 7 8 A4 7 ) 1 (2-1) (2-2) () 1 2 (2-1) 3 (2-2) 4 5 6 7 (8 ) 9

More information

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8 Version History 日期 版本 2016/8/4 1.00 2016/9/2 1.01 2016/11/29 1.02 2017/2/16 1.03 2017/6/9 1.04 2018/6/27 1.05 2018/8/8 1.06 新增 MG196 PBGA256S 封装信息 ; 修改 SSPI 模式的配置功能 ; 增加 QN88 封装信息 2019/3/27 1.07 增加电源供电要求

More information

模拟信号到数字信号的转换

模拟信号到数字信号的转换 数据采集 基础知识 : 模拟信号到数字信号的转换 Copyright 014 Measurement Computing Corporation ADC 芯片类型 模拟 - 数字转换器 (ADCs) 将模拟电压信号转换至二进制数字 ( 一系列的 1 和 0), 最终将其转换至数值 ( 十进制数 ), 以便显示在仪表, 监视器或者图表上 二进制位的位数, 也就是我们常说的比特 (bits) 数代表了模数转换的精度

More information

Microsoft PowerPoint - IC测试培训二.ppt

Microsoft PowerPoint - IC测试培训二.ppt IC 测试培训 第二章 IC 测试实例 By 孙鹏程 29-9-8 1 2.1 LDO 基础知识 本章要点 2.2 LDO 主要参数及意义 2.3 LDO 测试方案 2.4 LDO 测试程序 2 2.1 LDO 基础知识 什么是 LDO? LDO:(low dropout regulator) 低压差线性稳压器, 其基本电路可由串联调整管 VT 取样电阻 R1 和 R2 比较放大器 A 组成 取样电压加在比较器

More information

4 / ( / / 5 / / ( / 6 ( / / 7 1 2 / 3 ( 4 ( 2003 8 ( 2

4 / ( / / 5 / / ( / 6 ( / / 7 1 2 / 3 ( 4 ( 2003 8 ( 2 : / ( 6 (2003 8 : ( 1 ( ( / / (,, ( ( - ( - (39mm 29mm 2 ( 1 2 3-6 3 6-24 6-48 12-24 8-12 WSK / WSK WSK 1 4 / ( / / 5 / / ( / 6 ( / / 7 1 2 / 3 ( 4 ( 2003 8 ( 2 9 5 ( 10 3 11 / (600 4 5 AA 710 AB 720 730

More information

第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1 ) + ; & ).& &+ 1 & / ) 5 93 & 9 *67893: + 99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!"#$%&'!"#$%&' '& ( ')*&+,& '(-./01 &

第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1 ) + ; & ).& &+ 1 & / ) 5 93 & 9 *67893: + 99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!#$%&'!#$%&' '& ( ')*&+,& '(-./01 & 第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1)+ ; &).& &+ 1&/) 593 & 9 *67893:+99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!#$%&'!#$%&''&(')*&+,& '(-./01&+ -2 3456-78&9:;'& &'

More information

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas

目录 1 IPv6 快速转发 IPv6 快速转发配置命令 display ipv6 fast-forwarding aging-time display ipv6 fast-forwarding cache ipv6 fas 目录 1 IPv6 快速转发 1-1 1.1 IPv6 快速转发配置命令 1-1 1.1.1 display ipv6 fast-forwarding aging-time 1-1 1.1.2 display ipv6 fast-forwarding cache 1-1 1.1.3 ipv6 fast-forwarding aging-time 1-3 1.1.4 ipv6 fast-forwarding

More information

2006年国家公务员招录考试行测真题(A)

2006年国家公务员招录考试行测真题(A) 2006 年 中 央 国 家 机 关 公 务 员 录 用 考 试 行 政 职 业 能 力 测 验 (A) 真 题 说 明 这 项 测 验 共 有 五 个 部 分,135 道 题, 总 时 限 为 120 分 钟 各 部 分 不 分 别 计 时, 但 都 给 出 了 参 考 时 限, 供 你 参 考 以 分 配 时 间 请 在 机 读 答 题 卡 上 严 格 按 照 要 求 填 写 好 自 己 的 姓

More information

深圳明和科技

深圳明和科技 500mA 同步降压 DC/DC 转化器 ME3101 系列 描述 : ME3101 是一款同步整流降压型 DC/DC 内置 0.6ΩPMOS 驱动管和 0.7ΩNMOS 开关管 兼容陶瓷电容, 外部只需一只电感和两只电容, 可高效率的输出 500mA 内置振荡器电路, 振荡频率可达 1.2MHZ ME3101 为 PFM/PWM 型自动开关控制模式, 在满载时也能快速响应, 达到纹波小, 效率高的效果

More information

《微型计算机原理》

《微型计算机原理》 第五章汇编语言程序设计 1 画图说明下列语句所分配的存储器空间及初始化的数据值 难度:2 (1) BYTE_VAR DB BYTE,12,-12H,3 DUP(0,2 DUP(1,2),7) (2) WORD_VAR DW 3 DUP(0,1,2),7,-5, BY, TE,256H ( 1) (2) 07H BYTE_VAR 42H WORD_VAR 59H FBH 54H FFH 45H 59H

More information

OB1

OB1 SIMATIC 克索稳定杆淬火机 20150813\ 2018-06-14 09:29: 30 OB1 - < 离线 > Cycle Execution 名称 : 系列 : 作者 : 版本 : 0.1 块版本 : 2 时间标志代码 : 2018-02-10 14:06:32 接口 : 1996-02-15 16:51:12 长度 ( 块 / 逻辑 / 数据 ): 11154 10520 00030

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABD2DCFC2CEE7CCE22D3130CFC2>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABD2DCFC2CEE7CCE22D3130CFC2> 全 国 计 算 机 技 术 与 软 件 专 业 技 术 资 格 ( 水 平 考 试 2010 年 下 半 年 嵌 入 式 系 统 设 计 师 下 午 试 卷 ( 考 试 时 间 14:00~16:30 共 150 分 钟 请 按 下 述 要 求 正 确 填 写 答 题 纸 1. 在 答 题 纸 的 指 定 位 置 填 写 你 所 在 的 省 自 治 区 直 辖 市 计 划 单 列 市 的 名 称 2.

More information

MSP430 MSP430 F149 MSP430F149 P USART MSP430F PWM 63#

MSP430 MSP430 F149 MSP430F149 P USART MSP430F PWM 63# MSP430 MSP430 0002 63# 710061 2003 7 63# 710061 1 MSP430 MSP430 F149 MSP430F149 P USART MSP430F149 485 232 PWM 63# 710061 2 MSP430 MSP430 16 FLASH,, 16, 64K,, 16 14 12 6 P USART DCO, 8M FLASH,, JTAG FET(FLASH

More information

DPJJX1.DOC

DPJJX1.DOC 8051 111 2K 1 2 3 ' ' 1 CPU RAM ROM / A/D D/A PC CPU 40 68 10 20 8 51 PIII 8051 2 MCS51 8051 8031 89C51 8051 8031 89C51? MCS51 INTEL INTEL 8031 8051 8751 8032 8052 8752 8051 8051 8051 MCS51 8031 8031

More information

Microsoft Word - ha0013s.doc

Microsoft Word - ha0013s.doc 文件编码 :HA0013s 简介 : 本文介绍利用 8 位微控制器控制 DV16100NRB 液晶显示驱动器的方法 该 LCM 由内置的 Hitachi HD44780 进行驱动及控制 本文应用中, 着重考虑如何使微控制器产生正确的信号以符合 LCM 所需的时序 若要获得详细的时序及指令信息, 请查阅 LCM 厂商的资料 LCM 能以 4 位或 8 位模式工作 在 4 位模式下, 传送一个字符或一条指令需两个传输周期完成

More information

数 学 高 分 的 展 望 一 管 理 类 联 考 分 析 第 一 篇 大 纲 解 析 篇 编 写 : 孙 华 明 1 综 合 能 力 考 试 时 间 :014 年 1 月 4 日 上 午 8:30~11:30 分 值 分 配 : 数 学 :75 分 逻 辑 :60 分 作 文 :65 分 ; 总

数 学 高 分 的 展 望 一 管 理 类 联 考 分 析 第 一 篇 大 纲 解 析 篇 编 写 : 孙 华 明 1 综 合 能 力 考 试 时 间 :014 年 1 月 4 日 上 午 8:30~11:30 分 值 分 配 : 数 学 :75 分 逻 辑 :60 分 作 文 :65 分 ; 总 目 录 数 学 高 分 的 展 望... 1 第 一 篇 大 纲 解 析 篇... 1 一 管 理 类 联 考 分 析... 1 二 最 新 大 纲 解 析... 1 三 考 前 复 习 资 料 及 方 法... 第 二 篇 总 结 篇... 4 1 应 用 题 考 点 总 结 与 技 巧 归 纳... 4 代 数 模 块 题 型 归 纳 及 考 点 总 结... 9 3 数 列 模 块 题 型 归

More information

Electrical and Optical Clock Data Recovery Solutions - Data Sheet

Electrical and Optical Clock Data Recovery Solutions - Data Sheet 32 GBd N1076A 32 GBd N1077A / 64 GBd N1076B 64 GBd N1078A / 64 GBd NRZ PAM4 O/E < 100 fs RMS JSA PLL ...3...4...4...5 /...6...8...11 N1076A...12 N1076B DCA-M...13 N1077A...15 N1078A DCA-M...17...21...

More information