3.1 概述 3. DAC 的工作原理 本章内容 3.3 典型 DAC 芯片及应用举例

Size: px
Start display at page:

Download "3.1 概述 3. DAC 的工作原理 本章内容 3.3 典型 DAC 芯片及应用举例"

Transcription

1 第三章 数字模拟转换器 1

2 3.1 概述 3. DAC 的工作原理 本章内容 3.3 典型 DAC 芯片及应用举例

3 3 3.1 概述 DAC 通常包括 ( 但不限于 ) 以下几个组成部分 : 电阻网络 运算放大器 模拟开关 精密参考电压源 DAC 不仅是模拟量输出通道中最主要装置, 而且在许多反馈型 ADC 中,DAC, 也是其中的重要组成部分, 对 ADC 性能有着至关重要的影响 需要指出的是 :DAC: 输出的只是时间上的连续信号 对于 n 比特二进制数字输入,DAC, 输出信号幅度只有 n 个取值可能, 其波形为阶梯信号, 不是严格意义上的模拟信号!

4 D/A 转换基本原理 输入的数字编码 Din(d n-1 d 1 d 0 ), 按其权值大小转换成相应的模拟量并相加, 其结果 Aout 与数字量 Din 成正比, 即实现了 D/A 转换 4 Aout= K(d n- 1 n-1 n- 1 0 i n-1 + dn- + + d1 + d0 ) = K di i= 0 目前 D/A 转换器可分为以下两大类型 : 奈奎斯特型, 又称为并行转换型 过采样型, 又称为 sigma-delta(σ-δ) 调制型 4

5 DAC 的转换特性 理想转换特性 : 输出模拟量与输入数字量成正比 即 : 输出模拟电压 uo = Ku Din 或 : 输出模拟电流 io = Ki Din 其中 Ku 或 Ki 为电压或电流转换比例系数,Din, 为输入二进制数所代表的十进制数 以模拟电压输出为例 : u o = K u (d n-1 n-1 + d n n- u O /ku, 或 i O /ki d 1 1 LSB LSB: Least Significant Bit 1 + d 0 0 ) FSR 5 D 5

6 6 DAC 的主要技术指标 ( 以二进制为例 ) (1)) 分辨率 分辨率用输入二进制数的有效比特数表示 在分辨率为 n 比特的 DAC 中, 输出电压能区分 n 个不同的输入二进制代码状态, 能给出 n 个不同等级的输出模拟电压 分辨率也可用 DAC 的最小输出电压与最大输出电压之 比来表示 例如 :10 比特 DAC 的分辨率为 : = = 0.1% 所以该款 DAC 的分辨率也可以称为 0.1% 6

7 7 ()) 转换速度 用完成一次转换所需的时间 建立时间 t set 来衡量 建立时间 t set (settled) : 从输入信号变化开始到输出电压进入与稳态值相差 ±1/ LSB 范围以内的时间 输入信号由最小 ( 全为 0) ) 变为最大 ( 全为 1,, 对应 V FSR 量程 ) 时, 所需的时间最长, 这是 t set 的测量条件 FSR 满 评价转换速度的另外一个指标是 : 在单位时间内,DAC, 可以完成转换的最大次数

8 8 (3)) 转换精度 输出模拟电压实际值与理论值之间的差值 转换误差的表示形式主要有 : 最低有效位的倍数 如 :1: 1 LSB 0. LSB 输出电压满度值 FSR 的百分数, 如 :0.1: 0.1%FSR DAC 执行转换任务的四个部件均可引起转换误差, 但具有不同的特点 注意 : 权电阻网络和模拟开关均集成在 DAC 内部 ; 但有些 D/A 转换电路中的求和放大器和参考电源为外接

9 A) ) 非线性误差 由 DAC 内部器件的缺陷所引起, 又分为差分非线性 DNL 和积分非线性 INL 前者指 DAC 输出增量与理论值的偏差 ; 后者指 DAC 整体传输函数偏离理论值的程度 DNL 过大将导致 DAC 转换特性出现局部非单调性 ( 工程上常称为 失码 ), 从而引起系统不稳定 9

10 10 B) ) 零点失调误差 DAC 内部运算放大器的零点失调引起的误差, 也称为零点偏移误差 输出 A ( 模拟量 ) FSR 实际曲线 理想直线 实际曲线 正的零点偏移 负的零点偏移 O 最大数字量 输入 D ( 数字量 )

11 11 零点失调误差可通过放大器的零点校准进行消除 但是由于半导体材料的温度特性, 静态的零点校准方法无法在整个温度范围内消除零点失调误差 放大器工作环境的温度变化, 使得零点失调误差成为影响精度的主要因素 因此这种误差又称为温度漂移误差, 简称温飘或漂移 思考 : 怎样克服? 在放大器工作过程中, 如果能够不断地对实际温飘进行动态测量, 并利用负反馈技术对零点进行动态地自适应校准 ( 补偿 ), 从而使放大器始终工作在无漂移误差状态 自动零点补偿 (AZ) 技术

12 1 C) ) 增益误差 : 由运算放大器增益不稳定, 或者精密参考电压源 V REF 不稳定造成的一种线性误差, 也称比例系数误差 增益误差随输入增 加而增大, 但是相 输出 A ( 模拟量 ) 对误差基本不变 增益校准可以暂时 FSR 实际曲线 理想直线 消除增益误差 但 实际曲线 是增益误差随温度 变化而改变 事实上, 转换误差是以上几种误差之和 O 最大数字量 输入 D ( 数字量 )

13 13 3. DAC 的工作原理 有多种方式可以实现 D/A 转换, 例如 : 脉冲宽度调制信号 PDM 或 PWM(Pulse Pulse-Duration or Width Modulation) 用脉冲宽度表示信号的幅度, 也是一种模拟信号, 在许多领域有着广泛的用途 使用可编程定时器 / 计数器 ( 如 Intel 853/ 854), 可以很方便地将数字信号转换成 PDM 信号 许多单片机本身就带有 PDM 信号输出端口 若将 PDM 信号再转换成脉冲幅度调制信号 PAM (Pulse Amplitude Modulation),PAM 信号经过低通滤波以后就形成连续时间信号 有多种电路可以实现 PDM 到 PAM 的变换

14 14 上述转换方法的主要缺点 速度太慢! 集成 D/A 转换器分为奈奎斯特型 ( 又称并行转换型 ) 和过和采样型 (Σ-Δ 调制型 ) 两大类, 本章主要介绍前者 : 一 权电阻网络型 DAC 1) 电路结构 D 3 D D 1 D 0 数字寄存器 D i = 0,S i 接右侧断开 D i = 1,S i 接 V REF V REF S 3 S S 1 S 0 I 3 R I R I 1 R I 0 3 R i Σ i F Σ R F v O

15 第三章第三章数字模拟转换器数字模拟转换器 ) 工作原理运算放大器的运算放大器的 Σ 点是虚地, 该点电位总是近似为零 对点是虚地, 该点电位总是近似为零 对于输入二进制数中的任意一位于输入二进制数中的任意一位 Di Di 有 :, 流过该位权电阻的电流为若 ;, 流过该位权电阻的电流为若 R V I 1 D 0 I 0 D i 1 n REF i i i i i i 1 n REF i i D R V I D 位权电阻的电流为所以, 流过 1 n 0 i i i 1 n REF 1 n 0 i i i 1 n REF 1 n 0 i i D R V D R V = I i 根据叠加原理 : 在运放的输入端已经实现了数字信号到模拟电流的转换! 15

16 16 由于运算放大器的输入偏置电流近似为 0, 所以 : i i 又由于 v O = -i F F R 3) ) 特点讨论 i = F - v = O -i / R R F F, 所以输出电压为 : = - V REF n - 1 R R F n i = - 1 ( 0 D i i ) 输入数字量转换比例系数 k 优点 : 电路简单, 元件少 DAC 的转换精度取决于基准电压 V REF 以及模拟开关 运放和各电阻值的精度 缺点 : 各支路的电阻阻值各不相同, 差异大, 对各权值电阻的精度要求也不同, 芯片制作困难 除了少数低分辨率的 BCD 码 D/A 转换芯片外, 这种类型的 DAC 电路很少有实际应用 F

17 17 4) ) 双极性输出结构 在单极性输出 DAC 的基础上, 增加由 V B 和 R B 组成的偏移 电路, 即可实现双极性输出 通常 V B =- V REF u O i R F i V B Di F n 1 VREF ( i ib) RF R n 1 R i 0 R B D D 1 D 0 V REF S S 1 S 0 I R R 4R i i Σ R F R i B Σ u O R B V B (=-V REF )

18 二 R-R T 形电阻网络 DAC 1) 电路结构 18 由若干个相同的 R R 网络 ( 节 ) 组成, 每节对应于一个 输入比特, 节与节之间串接成 T 形网络 D 3 D D 1 D 0 R F i Σ Σ i F v O V REF S 3 S S 1 S 0 I/ R I/4 R I/8 R I/16 R I I/ I/4 I/8 D C B A R R R 4 比特 R-R 倒 T 形电阻网络 DAC R I/16 Di= 0,Si 接地 Di= 1,Si 接左侧

19 第三章第三章数字模拟转换器数字模拟转换器 ) 工作原理电路特点 : 任意节点 (A B 和 C 等 ) 向右看, 等效电阻都为 R 又由于运算放大器输入端 Σ 点是虚地 ( 该点电位总是近似为零 ), 有 : R V I D I D I D I D I i REF 其中 REF D D D D R V i 所以 : F REF F F F O D D D D R R V R i R i v 输出电压为 : 1 0 F REF O DAC n i i i n D R R V 为 n 位时 : v 转换比例系数转换比例系数输入数字量 19

20 0 3) ) 特点讨论 模拟开关 Si 不论接何位置, 都相当于接地 由于各个电阻两端的电压和流过的电流都不随开关的掷向而改变, 不存在对网络 ( 芯片 ) 中寄生电容的充 放电现象, 因而工作速度和转换精度都有所提高 由于只使用两种阻值的电阻, 因此电阻的精度容易保证, 芯片制造简单 无论是权电阻网络 DAC 还是倒 T 形电阻网络 DAC, 模拟开关总存在一定的导通电阻和导通压降, 而且在实际芯片中, 每个开关的情况又不完全相同, 所以它们的存在无疑会引起转换误差, 影响转换精度 思考 : 引入恒流源电路, 消除电阻分压现象

21 三 权电流型 D/A 转换器 1 1) 电路结构

22 ) 恒流源电路 改变 R Ei, 从而获得不同的恒流源 问题 : 电路中有多个数值差异很大的恒流源, 需要多个数值差异也很大的 R Ei, 又给芯片实现带来困难 怎么办?

23 3) ) 改进 在实际应用的权电流型 DAC 中, 利用倒 T 形电阻网络的分流作用产生所需要的一组恒流源 3 I/ I/4 I/8 I/16 I/16 I/ I/4 I/8 I/16

24 4 四 具有双极性输出的 D/A 转换器 具有双极性输出的 DAC 能够把以补码补码形式输入的正负数分别转换成正负极性的模拟电压 以下以 3 比特二进制补码的情况为例, 说明转换的原理 3 比特补码符号位取反 变成偏移二进码

25 5 电路实现 : 符号取反 偏移电路 其中 : 反相器 G 完成符号位的取反 ;R; B 和 V B 组成偏移电路 为使输入为 100 时的输出电压等于零, 需使下式成立 :

26 五 Sigma-delta 调制型 D/A 转换器简介 数字音频的普及, 迫切需要大量和廉价的高分辨率 DAC 此外, 资源勘探 医学影像和防务电子设备对精密 DAC 都有旺盛的需求 IC 制造遇到的问题 : 数字易, 模拟难 奈奎斯特型 DAC 难以实现 16bit 以上分辨率 解决之道 : 尽可能用数字取代模拟 Σ-Δ 型 DAC 的关键技术 : 过采样 + 噪声整形 ( 原理将在下一章介绍 ) 6 优点 : 制造简单, 匹配容易, 高精度 缺点 : 输出有时延 主要生产厂商 :TI: ADI NEC Motorola

27 7 3.3 典型 DAC 芯片及应用举例 奈奎斯特 ( 并行转换 ) 型 DAC 电路的组成 电阻网络 运算放大器 模拟开关 必备部分, 集成在芯片内部 求和放大 恒流源电路 阻抗变换 精密参考电压源 V REF 有些在芯片内部集成了基于齐纳二极管或雪崩二极管的精密参考电压源 ; 有些需要外接 数字信号输入控制和锁存电路 有些没有, 在转换时有输入数字信号的保持问题

28 8 一 DAC0808(DAC08 DAC080N) (1) 特点和主要技术指标 : 权电流转换方式, 双极型电路 高速互补电流输出 建立时间 :70~85 ns 最大摆率 (di/dt):8ma/μs 满量程电流校准 :±1 LSB TTL, CMOS, ECL, HTL, PMOS 电平接口 全温度范围内非线性误差小于 0.1% FSR 电流温飘 :±10ppm / ºC 电源电压范围 :±4.5 V to ±18 V 低功耗 :35 mw at ±5 V DAC0808 N 型 DIP16 封装管脚图

29 9 () 内部结构和各引脚功能 V+ 和 V-: 正负模拟电源 范围从 ±4.5 V 到 ±18 V V LC : 逻辑接口电平控制 改变 V LC 的电平可实现与不同类型的数字电平接口 如果与 TTL 电平互连,V LC 接地 ; 与 CMOS 和 PMOS 电平互连, V LC 接 +5V

30 30 V REF(+) 和 V REF(-) : 精密参考电源输入端 外接参考电压源经电阻 R REF 或电位器后, 再连接到 V REF(+) 和 V REF(-) 端 ( 如下图 ) 输入基准电流 :I REF = V REF(+) / R REF I REF 最大值 +5mA, 典型值 +ma 通常 V REF(+) 为 10V, R REF 取 5KΩ

31 31 I OUT 与 I OUT : 互补电流输出 以下简单电路可将电流型输出转换成电压型输出 (I/V 变换 ) 单极性正相电压输出 单极性反相电压输出 特点 : 电路简单, 加偏置电路还可以构成双极性输出 但是输出阻抗较大 可以使用运放构成有源 I/V 变换, 利用射随电路减少输出阻抗 此部分电路稍后介绍

32 3 B 8 ~B 1 :8 比特数字信号输入, 输入无锁存 对于输入无锁存的 DAC, 不适合与数字总线直接相连, 除非与总线之间另外再增加数字锁存器和相应的锁存控制信号 此类 DAC 一般与输出保持或锁存的数字接口互连, 当数字信号变化时,DAC 的输出立即随之改变 因此, 此类 DAC 适用于随动控制和快速跟踪随动控制和快速跟踪等场合 另外注意到 :DAC 输出 = 模拟基准 数字输入 ; 改变基准也可以改变输出的幅度 因此此类 DAC 称为模拟数字混合乘算器, 或模数混合乘算型 DAC COMP: 补偿端, 利用外接电容, 对基准变化后 DAC 的频率 ( 带宽 ) 特性进行补偿 ( 若 V REF =10V,R REF =KΩ~80KΩ, I REF =5mA~15μA, 电容值可取 15pf~70pf

33 (3) 应用举例 任意函数发生器 各种函数波形数据事先写入 EPROM DIP 开关输出作为 EPROM 的高位地址信号, 选择函数类型 ; 计数器输出作为低位地址信号, 顺序读出各存储单元中的数据 33 V REF + 运放 + 运放 CLK D 7 ~D 0 64KB EPROM A 9 ~A 0 A 15 ~A 10 RESET 10 位计数器 6 位 DIP 开关

34 34 讨论 : a) 怎样改变输出波形的幅度? 调节电位器, 改变 Rs 的大小 DAC0808 的 IREF 的变化范围为 40:1, 最大 5mA, 最小 15μA b) 怎样在 X 轴方向上对波形进行伸缩控制? 改变 CLK 的周期 c) 怎样改善波形质量? 增加每段函数波形对应的存储单元数量 使用更高分辨率的 DAC

35 35 d)dac 输出端的低通滤波 阶梯状输出波形, 可看作是采样保持器的输出 换码闪烁噪声 输入数据改变时,DAC 输出需要 建立时间 ; 此外数据每一位也不是同时变化 输入数据 Dn-1 Dn Dn+1 Dn+ 输出波形 闪烁噪声 解决方法 :DAC 输出端增加低通滤波器, 平滑波形和滤除闪烁噪声

36 e) 输出阻抗 1 对于 DAC 后端的电路 ( 执行机构 ),DAC 的输出阻抗相当于信号源的内阻 Rs,Rs 将造成精度损失 解决方法 : 利用射随电路减少输出阻抗 如果 DAC 的输出回路线路较长, 存在较大的线路阻抗, 在实际负载上有较大的精度损失 解决方法 :Kelvin 连接, 电路如下图所示, 利用负反馈减少输出回路阻抗造成的精度损失 36 DAC 采样信号线 OA 只采样电压 实际流经的电流很小, 即使有电阻也不会产生压降 可以使用较细的导线 Kelvin connection 输出回路 实际负载 RL

37 二 AD754 (1) 特点和主要技术指标 : 37 R-R T 形电阻网络 8 比特分辨率, 满量程总误差 ±0.15 LSB 建立时间 : 400±100ns AD754 结构

38 38 自带输入锁存器, 与内存 写 操作相类似的锁存时序 CMOS 工艺,10mW, 低功耗, 与 TTL 电平兼容接口 外接精密参考电压 基准电压可正 可负, 基准电压的极性改变时, 输出电压极性也相应改变 内部不含运算放大器,OUT1, 端通常外接运算放大器的负输入端,OUT, 接地

39 () 引脚信号 D 7 ~D 0 : 数字输入,D, 7 是 MSB,D 0 是 LSB; CS: : 片选信号 ; WR: : 写信号, 输入锁存控制 ; REF : 参考电压输入, 电压范围 -5v~+5v; V REF R F : 反馈输入端 ; V DD DD : 电源,<17v, <17v; GND: : 地 OUT 1 OUT 互补电流输出 D 7 D 6 D 1 D 0 CS WR V DD & 8D 锁 存 器 CP R-R 倒 T 形电阻网络 3 GND R F V REF R F 运算放大器负输入端 OUT 1 OUT 39 运算放大器正输入端, 接地

40 (3) 应用举例 使用 DAC 构成数字衰减器 ( 数字电位器 ),, 将输入信号 v I 进行衰减, 衰减比例为 1~55 之间的任意整数 v I ( 输入信号 ) 连接到 V REF 端,DAC, 输出经由两个 F007 构成的 级反相放大器 (1:1( 跟随器 ) 后, 有 : 7 V DD v I i v v I (V REF ) D 7 D D AD 754 CS WR OUT 1 OUT - A1 + F007 R O D 8 i i0 v 衰减倍数为 : v R - A + F007 v O I O 7 D i i0 8 i 40

41 (4)DAC 的零点和满度 ( 增益 ) 校准 v O 接高精度数字电压表,V, REF 接 -10v;; 当输入为 00H 时, 调节 RP 3, 使 v O 在 0~0mv(0.5LSB 0.5LSB) ) 之间 ; 当输入为 FFH 时, 反复调节 RP 1 和 RP, 使 v O 在 10v~9.98v 之间 41 D 7 D 6 D 1 D 0 CS WR V DD = +1V AD V REF R F OUT 1 OUT RP 1 RP μa V +1V 1-1V 6 5 RP 3 10 k 调整基准电压 调整放大倍数 v O 增益校准 调零电位器, 零点校准

42 4 三 DAC0830 / 0831 / 特点和主要技术指标 : 分辨率 :8: 比特, 权电流 + 倒 T 型电阻网络 ; 满量程总误差 :DAC0830: DAC % 0.1%( LSB), DAC0831 ± ±0.% 0.%( LSB), DAC083 ± ±0.5% 0.5%(11 LSB) 建立时间 :1μs,:, 互补电流输出 增益温度系数 :ppm: / (0.000%/ FS / )/ 带有 级数据输入锁存器 单电源 :+5V: +5V~+15V;V REF :-10V~+10V; 低功耗 :0mW: 0mW,CMOS 工艺

43 43 引脚信号 V REF : 参考电压输入 Vcc: : 工作电源 AGND: : 模拟信号地 DGND: : 数字信号地 R fb : 反馈输入端 I OUT1 OUT1 I OUT : 互补电流输出 D 7 ~D 0 : 并行数字输入 ILE CS WR 1 X FER WR : 输入锁存控制 FER CS WR 1 AGND D 3 D D 1 D 0 V REF R fb 0Pin 双列直插封装 (DIP0)) 管脚 DAC 0830 /0831 / V CC ILE WR X FER D 4 D 5 D 6 D 7 I OUT DGND I OUT1

44 3 内部结构 44 1 通 锁存 LE 断

45 45 4 数据输入时序和输出波形 如果将 WR X FER 接地,LE, 则为高电平, 第二个锁存器 (DAC Reg) ) 始终处于 直通 状态 在 CS 和 WR 1 作用下, 数据输入时序和 DAC 的输出波形如下 : 1

46 46 5 三种工作方式 (1)) 双缓冲方式 Step 1:ILE1 ILE 接高电平, 当 CS 和 WR 1 有效时,DAC083, 被选中,WR, 1 的后沿将数据锁存到 Input Reg; ; 但是此时还没有得到转换 Data Step : X FER 和 ILE WR 有效, 将 Input Reg 中的内容送到 CS DAC Reg 中 (WR( LE 1 写操作与写入内容无关 ) 该步骤执行 D 7 ~D 0 WR 1 X FER WR LE 后, 本次输出数据才得到转换

47 47 特点 : 每次转换需要操作两次 D 7 ~D 0 输入寄存器 DAC 寄存器 D/A 转换器 I OUT1 IOUT 适用场合 : LE 1 LE 多个 DAC 的同步输出 ( 每个 DAC 的输出可能各不相同, 但是在同一时刻同时开始输出 ) 利用双缓冲结构, 将每个 DAC 需要输出的数据逐个写入各自的 Input Reg 中 所有 DAC 的 X FER 和 WR 引脚连在一起, 待所有 DAC 数据装载完毕, X FER 和 WR 同时有效, 实现多个 DAC 的同步输出

48 多片 DAC 同步输出电路 48 DATA BUS

49 49 ()) 单缓冲方式 将 DAC083 中的某个缓冲器 ( 一般宜选择 DAC Reg) ) 设置在始终导通 ( 直通 ) 状态, 即将 X FER 和 WR 接地 只需一次数据写入操作即可得到 DAC 输出 D 7 ~D 0 输入寄存器 DAC 寄存器 D/A 转换器 I OUT1 IOUT LE 1 LE WR X FER

50 50 (3)) 无缓冲方式 将 DAC083 中的两个缓冲器均设置为直通状态, 数据输入端口 D7~D0 D0 上的任何变化将立即反映在 DAC 的输出端 D 7 ~D 0 输入寄存器 DAC 寄存器 D/A 转换器 I OUT1 IOUT ILE H LE 1 LE WR 1 CS WR X FER

51 6 输出 I/V 变换 DAC0830/31/3 为电流输出型, 要获得模拟电压输出时, 可外接运放实现 I/V 变换 (1)) 单极性 I/V 变换 如果 VREF 为 +5 V, 当输入数字量 D 从 00H 至 FFH 时, 对应的模拟输出电压 V OUT 的范围是 -5~0-0 V 51 N DI V REF R fb _ I OUT1 + I OUT A =-I OUT1 Vout=- OUT1 R fb =-(D/ 8 ) V REF Vout AGND

52 5 ()) 双极性 I/V 变换 如果要输出双极性电压, 则需再加一级运算放大器作为偏移电路, 如图示 当输入数字量 N 从 00H 至 FFH 变化时, 对应的模拟电压 Vout 的输出范围是 -5~+5- V 使用运放进行 I/V 变换的好处是可获得较低的输出阻抗 R (R) R 3 (R) V REF N DI R fb I OUT1 I OUT AGND _ A1 + R 1 (R) Vout1 _ A + Vout

53 7 DAC 与 μp(micro-processor) 的连接 53 DAC 芯片相当于一个 输出设备,, 至少需要一级锁存器作为接口电路 以 Intel 8086 系统为例, 介绍 DAC 与微处理器的接口设计 (1)) 双缓冲方式的接口 CS 和 X FER 各有一个端口地址 8086 D 0 ~D 7 DAC083 V REF +5 V M / IO WR A 7~0 地址译码 WR 1 WR CS X FER R FB I OUT I OUT1 - + V OUT

54 54 ()) 单缓冲方式的接口 WR 和 X FER 接地,DAC, Reg 处于直通状态, 只需 CS 一个端口地址 8086 DAC083 D 0 ~D 7 V REF +5 V AB M / IO 地址译码 CS R FB I OUT I OUT1 - + V OUT WR Vcc WR 1 ILE WR 模拟地 X FER 数字地

55 (3)) 无缓冲方式的接口 若 DAC 0830/31/3 工作在无缓冲方式下, 输入数据不能锁存, 不能与总线直接相连 此时, 接口应采用带有数据锁存功能的并行接口芯片, 如 Intel 855A 等 下图为使用 Intel 855A 可编程并行接口芯片构成的接口电路 Intel 855A 的 A 口工作在方式 A ADC083 D 7~0 RD WR A 0 A 1 AB M / IO 译码 D 7~0 RD WR A 0 A 1 CS PA 7~0 R FB I OUT1 I OUT ILE WR 1 WR CS XFER - + Vcc V OUT

56 8 应用举例 波形发生器 DAC0830/31/3 通过 Intel 855A 与 Intel 8086 CPU 相连 Intel 855A 的 A 口和 B 口均工作在方式 0,, 其中 A 口输出数据,B, 口控制 DAC 的工作方式, 电路如图 假设 Intel 855A 的端口地址分别为 100H 10H 104H 和 106H,, 生成锯齿波锯齿波的程序片段如下 : A DAC 或 8088 PA 0 ~PA 7 PB 4 PB 3 PB PB 1 PB 0 D 0 ~D 7 I LE CS WR 1 WR X FER V REF R FB I OUT I OUT1 +5 V - + V O

57 ;855A 初始化 MOV MOV OUT DX,106H ;855A 的控制字端口地址 AL,80H DX,AL ;B 口控制 DAC 的转换 MOV MOV OUT ; 生成锯齿波 MOV MOV L1: OUT INC NOP JMP ; 设置 855A 的方式字,A, 口 B 口工 ; 作在方式 0,, 所有口线为输出 DX,10H ;855A 的 B 口地址 AL,10H DX,AL DX,100H AL,0H DX,AL ; 置 0830 为直通工作方式 ; 855A 的 A 口地址 ; 设置锯齿波的初值 ; 向 DAC 送数据 AL ;+1(-1)) 为上升 ( 下降 ) 的锯齿波 L1 ; 可以通过 NOP 改变周期 ; 利用该电路可以产生任意单值波形 57

58 四 DAC108/109/110 1 特点和主要技术指标 : 分辨率 :1: 比特, 权电流 + 倒 T 型电阻网络 ; 精度 : 满量程总误差 :DAC108: DAC108 ± ±0.1% 0.1%, DAC109 ±0.% 0.%,DAC110 ± ±0.5% 建立时间 :1μs,:, 互补电流输出 增益温度系数 :1.3ppm: / / 带有 级数据输入锁存器 单电源 :+5V: +5V~+15V;V REF :-5V~+5V; 低功耗 :0mW: 0mW,CMOS 工艺 与 DAC0830/31/3 的结构类似, 工作原理基本相同 58

59 内部结构 59 59

60 60 DAC110 与 DAC083 的区别如下 : DAC110 中的 1bit 的 Input Reg 分为高 8bit 和低 4bit 两部分, 目的是为了方便与 8bit 总线接口 ; DAC 083 中的 ILE 引脚改为 B 1 /B, 这是因为与 8 比特总线接口时,1bit, 数据要分为 次写入 DAC110 与 16 比特以上总线接口时, 工作原理与 DAC 083 基本相同, 也有三种工作方式可以选择 唯一要注意的是,D11, D11~D0D0 与数据总线的连接方式应该与数据的存储格式一致 D15 H L D0 D15 H L D0 向左看齐 :D11~D0 接 DB15~DB4 向右看齐 :D11~D0 接 DB11~DB0

61 3 与 8bit 总线接口方式 问题 : 1bit 数据必须分两次写入, 怎样写? DAC 110 与 8 比特数据总线怎样连接? 61 还有几种工作方式可以选择? 接口实现方法之一 : 数据采用向左看齐格式 ; DAC 110 与 8 比特数据总线的连接方式见下页图 ; 利用双缓冲结构, 先写高 8 位, 再写低 4(8) ) 位 ; 图中高 8 位的写入地址为 51H,, 低 4 位的写入地址为 50H,5H 5H 是启动转换 (XFER( XFER) ) 端口地址

62 DAC110 与 8 比特数据总线的连接方式 6 6

63 数据输出步骤 : 1) B 1 /B =1,, 先写高 8 位 写入过程中因 WR 1 和 CS 有效, 高 8 位数据被装入高 8 位 Input Reg,, 同时最高 4 位数据也被 错误 地装入了低 4 位 Input Reg; ) B 1 /B =0,, 后写低 4 位 此时高 8 位 Input Reg 的 LE 1 因 B 1 /B =0 而处于低电平, 先前写入的数据被锁存 ; 写入的低 4 位数据经 DB7~DB4 DB4 写入到低 4 位 Input Reg 至此, 完成了 1bit 数据的装入操作 3) CPU 再对 5H 执行写操作,X, FER 和 WR 有效后, 将 个 Input Reg 中的数据装入 1bit 的 DAC Reg 中, 再由 DAC 网络完成转换 63 讨论 : 双缓冲结构的重要性

64 如果按照与 8bit 总线的连接方式, 在芯片内部就将 DI 11 ~DI 0 进行连接, 外部只有 8 比特并行数据接口, 这就是管脚与 DAC 0830/31/3 完全兼容的 1bitDAC 产品 DAC130 /31/3 64 DAC130 /31/3 64

65 五 数据串行输入 ( 并行转换型 )DAC) 应用背景 : 周知, 远程传输宜采用串行方式 ; 即使是近距通信, 并行方式因线间干扰, 速率也不及串行通信方式 此外, 串行通信数据线少 引脚少 系统微型化 典型芯片 (1) ( AD7543 早期生产的 1-Bit CMOS 型电流输出 DAC 包括 1 个 1-bit 串行输入 / 并行输出移位寄存器 ( 寄存器 A), ),1 个 1-bit DAC 输入寄存器 ( 寄存器 B) ) AD7543 有多种选通信号 ( 脉冲前沿或后沿 ) 可供用户选择, 在选通信号的作用下, 串行数据经 SRI 引脚装入寄存器 A 一旦寄存器 A 装满,LOAD, 信号将寄存器 A 的数据装入寄存器 B,, 开始转换 65

66 66 AD7543 内部结构和引脚 <±5V DAC Reg 清除 LOAD 信号 选通信号 互补电流输出 模拟地 串行数据输入 0~7V, 典型 5V 数字地

67 67 AD7543 选通和控制信号的作用 Reg.A Control Input Reg.B Control Input STB1 STB STB3 STB4 CLR LD1 LD AD7543 对应的操作 X X X SRI 上的数据进入 Reg. A X X X SRI 上的数据进入 Reg. A X X X SRI 上的数据进入 Reg. A X X X SRI 上的数据进入 Reg. A 1 X X X X 0 X X X X 1 X 无操作 X X X 1 0 X X Reg.B 被清零 1 1 X 1 X 1 无操作 1 O 0 Reg.A 的内容存入到 Reg.B 67

68 AD7543 的输入时序 AD7543 对串行数据输入的选通信号定时和脉宽等有较严格的要求, 以下是 AD7543 的时序波形要求 68 t STB3

69 AD7543 有 16 个管脚 ( 还是太多 ),), 有多种选通方式 ( 当时串行通信缺少相对统一的标准 ) 通常, 串行输入 DAC 所需控制信号分为串行传送串行传送和转 换控制两部分 其中串行数据传送多采用更为简单的协议, 如 SPI 接口 (Serial( Peripheral Interface) ) 和高速的 JESD04B 接口, 也有少数采用 I C 协议以及私有标准 ; 而转换控制方式各厂商的不同产品可能有所区别 其目的不仅为了减少芯片管脚, 也为了降低对选通信号的定时要求和提高传送效率 以下以 ADI 公司的 AD5441 为例, 介绍其所采用的数据传送接口方式 69

70 典型芯片 () ( AD5441 简介 1bit 串行 DAC, 仅有 8 条引脚,+5V 单电源供电 ; 70 串行数据输入采用 三线方式 三条线分别为 :SRI CLK 和 LD, 与 SPI 兼容 内部包括 1 个 1bit 串入 / 并出移位寄存器,1, 个 1bit DAC 输入寄存器 主要指标 : 建立时间 :5μs: 非线性误差 :±0.5LSB: 增益误差 : ±1 1 LSB 增益温度系数 :±5ppm/: 5ppm/

71 串行数据输入 三线方式 的时序波形 71 装入移位寄存器 CLK 的上升沿只需对准 SRI 输入数据的 中间 上升沿采样, 下降沿同步 装入 DAC 寄存器 串行数据输入时序 ( 与 SPI 兼容 ) DAC 寄存器锁存 装入 DAC 寄存器 ts 建立时间 DAC 转换时序

72 7 串行数据接口 (1) SPI 接口简介 SPI(Serial Serial Peripheral Interface 串行外围设备接口 ) 是一种高速全双工同步的通信总线, 由 Motorola 首先定义, 现有多个厂家支持, 但还是一种 事实标准 SPI 协议较为简单, 采用主从方式工作, 通常有一个主器件 (M)( ) 和一个或多个从器件 (S)( ) SPI 接口由以下 4 根线构成 ( 单向传输时 3 根即可 ) 1)MOSI: : 主器件数据输出, 从器件数据输入 ; )MISO: : 主器件数据输入, 从器件数据输出 ; 3)SCLK: : 时钟信号, 由主器件产生 ; 4)CS(SSel): 从器件使能 ( 片选 ) 信号, 主器件控制

73 73 点对点通信时,SPI, 接口不需要寻址, 且为全双工通信, 简单高效 一主多从时, 每个从器件需要独立的片选信号,SPI, 总线的连接方式如下图所示 SPI Master SCLK MOSI MISO CS1 CS CS3 SPI 的两个缺点 : 没有流控 没有确认 SCLK MOSI MISO CS SCLK MOSI MISO CS SCLK MOSI MISO CS Slave 1 Slave Slave 3

74 SCLK 第三章数字模拟转换器 74 SPI 接口的信号传输时序 上升沿采样下降沿同步 SPI 接口内部有两个简单的 8bit 移位寄存器 主器件与被选中的从器件之间, 在主器件输出的串行移位时钟 SCLK 的控制下, 高位在前低位在后逐位传输 SPI 传送时序如下图所示 串行数据可以选择与 SCLK 的上升沿同步 ( 接收端在下降沿采样 ); 也可以选择与 SCLK 的下降沿同步 ( 接收端在上升沿采样 ) MSB LSB 上升沿同步下降沿采样 MSB LSB

75 串行数据接口 () JESD04B 协议简介 年 JEDEC(Joint Electron Device Engineering Council, 固体器件工程联合会 ) 发布 JESD04 的第一个版本, 后经 次修订,11 年 7 月形成了目前的版本 JESD04B JESD04B 不仅是一种高速高效的数据转换器 ( 包括 ADC 和 DAC) 接口, 也是 FPGA 和 ASIC 的标准接口 JESD04B 的特点 : 采纳和吸收了 LVDS 接口和 PCIe 总线中许多类似的技术 分为 CGS( 代码组同步 ) 初始通道同步 (ILAS) 和数据传输三个阶段, 利用 8b/10b 编码和内嵌时钟进行内同步 JESD 04B 支持多通道, 设备分为 和 1.5 Gbps( 最高 ) 三个速率等级 如需进一步了解, 请在附录中下载 : 抓住 JESD04B 接口功能的关键问题 JESD04B 中的链路同步和对齐

76 DAC 器件的发展 76 高精度 : 传统奈奎斯特型 DAC 受限于工艺和复杂的模拟电路, 无法实现高精度 随着 ΔΣ 调制技术的出现, 使数模转换技术翻开了新的篇章, 分辨率可达 4bit, 如 TI 公司的 PCM179/1794,ADI 的 AD1955 等 高速度 : 现代雷达和无线宽带通信等领域多采用直接数字合成 (DSS) 产生任意波形信号, 高速 DAC 是关键器件 高速 DAC 在 R-R 电流开关结构基础上, 不仅对建立和保持时间进行了优化, 还使用大量数字技术, 如增加上采样插值模块以增加 DAC 内部速率 使用模拟正交调制器 (AQM) 和数字 FIR 滤波器等, 使得 DAC 的速度超过 Gsps 如 TI 公司 ADC1J4000,1bit 分辨率, 速度可达 4Gsps

77 77 思考题和习题 : 1 某 16 位微机系统中, 拟采用多片 DAC 108 构成多通道同步输出电路, 请设计电路结构, 并画出草图 与并行数据接口相比较, DAC 采用串行数据接口的优点有哪些? 3 某基于 16 位微处理器的控制系统, 需要使用一片 1bit DAC 108 D/A 转换芯片和若干运算放大器构成数字衰减器, 对输入的模拟信号 f(t) 进行衰减, 衰减倍数由 CPU 输出的数据控制, 请设计该数字衰减器电路 ( 与微机总线的接口方式任选 ), 并简述你所设计电路的工作原理

PowerPoint Presentation

PowerPoint Presentation 7.2 并行接口 二. 可编程并行接口芯片 8255A 的功能及其应用 与 CPU 一侧相连的引脚 D0 PA0 ~ ~ ~ ~ ~ D7 PA7 RESET PC7 WR RD PC4 PC3 PC0 A0 PB0 A1 PB7 CS PA 口 PC 口上半部 PC 口下半部 PB 口 A 组 B 组 与 I/O 设备相连的引脚 7.2 并行接口二. 可编程并行接口芯片 8255A 的功能及其应用

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

GW EDA VHDL VHDL VHDL VHDL ADC009 0 FPGA PC GW EDA a GW EDA beda README.TXT c d 0 e J MZH +V GND -V D/A +V GND S JA J D D D D D D D D C K J J VGA VGA B EDA JB B J HC B RS- CON CON HC PS/ CPLD/FPGA J RS-

More information

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小由外接电阻来调整 封装图 SSOP24L-0.635-D1.40 (mm) ( SM16125ES ) 特点 16 通道恒流源输出 电流输出大小不因输出端负载电压变化而变化

More information

器之 间 向一致时为正 相反时则为负 ③大量电荷的定向移动形成电 流 单个电荷的定向移动同样形成电流 3 电势与电势差 1 陈述概念 电场中某点处 电荷的电势能 E p 与电荷量 q Ep 的比值叫做该点处的电势 表达式为 V 电场中两点之间的 q 电势之差叫做电势差 表达式为 UAB V A VB 2 理解概念 电势差是电场中任意两点之间的电势之差 与参考点的选择无关 电势是反映电场能的性质的物理量

More information

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg 1 1 a. 0.5V 5V 1Hz 1MHz b. 0.1% 2 : a. 0.5V 5V 1Hz 1MHz b. 0.1% (3) a. 0.5V 5V 100 s b. 1% 4 1 10 5 1MHz 6 1 2 1 0.1Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15

More information

ETA104 数据采集模块数据手册

ETA104 数据采集模块数据手册 Emtronix ETA104 数据采集模块数据手册 1. 概述 1.1 ETA104 介绍 ETA104 模拟数据采集模块 ( 下文简称 :ETA104 模块 ) 是基于英创公司 SBC840 工控应用底板 符合 DM5028 标准应用扩展模块 ETA104 模块上的模数转换功能, 采用 ADS7871 模拟信号转换芯片, 占用 ESMARC 工控主板的 SPI 总线进行通讯, 最高可实现 48K

More information

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x 115 AVR W.V. Awdrey ATMEL AVR PIC AVR PIC AVR RISC AVR PIC AVR AVR AVR AVR AVR ATtiny15 AVR AVR AVR RAM ROM 121 116 122 ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r31 3 16 X Y Z 6-1 118 7 0

More information

adsd

adsd HS8108 电脑开关电源控制器 概述 : HS8108 是 PWM 开关电源控制器, 主要用于台式 PC( 个人电脑 ) 的开关电源部分 HS8108 能够提供开关电源所有的控制和保护功能 : PWM 脉宽调制及推挽输出, 具有过压 欠压 过流 过功耗 远程控制 AC 掉电保护等功能, 两个内嵌的精密 431 调节器用作稳定 5V 辅助电源和 33V 输出电压, 内嵌的精密振荡器可以保证各种延时的精确性

More information

MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需

MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需 MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需检测电阻, 能检测交直流电流和外围电路简单 检测电流方案为电流传感器 APCL-C784 和 C79B

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

引脚名称 QFN(4 4)-24L SSOP-24L ( 引脚间距 / 1.0) SOP-24L ( 引脚间距 1.27) 引脚描述 管脚号 管脚名称功能 QFN-24 SSOP-24/SOP-24L 1 4 LAT 数据锁存输入端 ( 低电平有效 ) 2 5 OUT0 3 6 OUT1

引脚名称 QFN(4 4)-24L SSOP-24L ( 引脚间距 / 1.0) SOP-24L ( 引脚间距 1.27) 引脚描述 管脚号 管脚名称功能 QFN-24 SSOP-24/SOP-24L 1 4 LAT 数据锁存输入端 ( 低电平有效 ) 2 5 OUT0 3 6 OUT1 D5056 带预充电管的 16 位 LED 控制器 D5056 是一款专用于大屏幕 LED 低压差 高精度带预充电管的 16 位恒流驱动芯片 内含高精度电流驱动电路 16 位移位寄存器 锁存器 温度补偿电路等 D5056 内置带预充电管, 可以解决列消隐问题 再配上我司解决行消隐的 D4973,LED 屏幕消隐问题可完美解决, 大大提高刷新率 D5056 可接受外部 CPU 编程控制信号, 分别控制

More information

Intersil精密模拟器件

Intersil精密模拟器件 Intersil 1nV/vHz & 0.00017% 1nV/ Hz Hz IC +125 DCPs VOUT I 2 C Intersil 450nA ( ) 2nA na / IntersilIC 2.8mm 1.6mm / V I SS µa (Max.) V OS (mv) (Max.) I B (pa) @1kHz (nv/ Hz ) khz (Tye.)(dB) (Tye.)(dB)

More information

行业

行业 PCL-1800 PCL-1800 1.1...2 1.1.1 1K FIFO...2 1.1.2...2 1.1.3 16...3 1.1.4...3 1.1.5...3 1.1.6...3 1.2...3 1.3...4 1.4...5 2.1...5 2.2...6 2.2.1...6 2.2.2...6 2.2.3 D/A...7 2.2.4...7 2.2.5 TRIG0 GATE0...8

More information

Microsoft PowerPoint - adc(2)_2016_08.ppt [兼容模式]

Microsoft PowerPoint - adc(2)_2016_08.ppt [兼容模式] 模数转换器 中国科学技术大学精密机械与精密仪器系 1 参考书目 : 马明建, 周长城, 数据采集与处理技术,1998 年 8 月, 西安交通大学, 西安 卢文祥 杜润生, 机械工程测试 信息 信号分析,1989, 华中理工大学出版社, 武汉 佟德纯, 工程信号处理及应用, 1989, 上海交通大学出版社, 上海 模数转换器李为民 2 第二节 模数转换器的分类 按转换信号的关系分类 按转换电路结构和工作原理分类

More information

CD4066中文资料:CD4066是四双向模拟开关,主要用作模拟或数字信号的多路传输。引出端排列与CC4016一致,但具有比较低的导通阻抗。另外,导通阻抗在整个输入信号范围内基本上变。CD4066由四个相互独立的双向开关组成,每个开关有一个控制信号,开关中的p和n器件在控制信号作用下同时开关。这种结

CD4066中文资料:CD4066是四双向模拟开关,主要用作模拟或数字信号的多路传输。引出端排列与CC4016一致,但具有比较低的导通阻抗。另外,导通阻抗在整个输入信号范围内基本上变。CD4066由四个相互独立的双向开关组成,每个开关有一个控制信号,开关中的p和n器件在控制信号作用下同时开关。这种结 CD466 中文资料 CD466 的引脚功能下图所示 每个封装内部有 4 个独立的模拟开关 每个模拟开关有输入 输出 控制三个端子 其中输入端和输出端可互换 当控制端加高电平时 开关导通 ; 当控制端加低电平时开关截止 模拟开关导通时 导通电阻为几十欧姆 ; 模拟开关截止时 呈现很高的阻抗 可以看成为开路 模拟开关可传输数字信号和模拟信号 可传输的模拟信号的上限频率为 4 各开关间的串扰很小 典型值为

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

水晶分析师

水晶分析师 大数据时代的挑战 产品定位 体系架构 功能特点 大数据处理平台 行业大数据应用 IT 基础设施 数据源 Hadoop Yarn 终端 统一管理和监控中心(Deploy,Configure,monitor,Manage) Master Servers TRS CRYSTAL MPP Flat Files Applications&DBs ETL&DI Products 技术指标 1 TRS

More information

第一次段考 二年級社會領域試題 郭玉華 (A)(B) (C)(D)

第一次段考   二年級社會領域試題 郭玉華   (A)(B) (C)(D) 五 福 二 社 p1 高 雄 市 立 五 福 國 民 中 學 97 學 年 度 第 1 學 期 第 1 次 段 考 二 年 級 社 會 學 習 領 域 試 題 卷 代 號 :30 答 案 卡 塗 寫 注 意 事 項 1. 答 案 卡 劃 記 時, 必 須 用 黑 色 2B 鉛 筆 塗 黑 塗 滿, 但 不 可 超 出 圈 外 2. 年 班 級 座 號 科 目 請 劃 記 正 確 若 劃 記 錯 誤,

More information

untitled

untitled EDM12832-08 : 25-1 : 116600 : (0411)7612956 7632020 7631122 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

IC芯片自主创新设计实验

IC芯片自主创新设计实验 IC 芯片自主创新设计实验 设计报告 设计题目 : 格雷码计数器芯片设计 设计学生 : 吴东生 ( 集成电路 ) 景国新 ( 固体电子 ) 林道明 ( 集成电路 ) 连维重 ( 集成电路 ) 施望 ( 集成电路 ) 刘锦秀 ( 集成电路 ) 刘中伟 ( 集成电路 ) 李梦宁 ( 集成电路 ) 指导教师 : 阮爱武 杜涛 指导单位 : 电子设计自动化技术 课程组 一 格雷码计数器芯片设计概述 功能描述

More information

MICROMASTER 410/420/430/440 DA kW 250kW MICROMASTER Eco & MIDIMASTER Eco MICROMASTER, MICROMASTER Vector DA64 MIDIMASTER Vector 90kW (Low

MICROMASTER 410/420/430/440 DA kW 250kW MICROMASTER Eco & MIDIMASTER Eco MICROMASTER, MICROMASTER Vector DA64 MIDIMASTER Vector 90kW (Low DA51.2 2002 micromaster MICROMASTER 410/420/430/440 0.12kW 250kW s MICROMASTER 410/420/430/440 DA51.2 2002 0.12kW 250kW MICROMASTER Eco & MIDIMASTER Eco MICROMASTER, MICROMASTER Vector DA64 MIDIMASTER

More information

单片机原理及应用实验指导书.doc

单片机原理及应用实验指导书.doc 1 2 3 4...2...4...9...9 AEDK598 MCS51...9 MCS51...10...10...10...10...10...10...11 P1...12...12...12....12...12...13 P3...14...14...14...14...14...14 I/O...15...15...15...15...15...16...17...17...17...17...17...18...19...19

More information

SM74HC164

SM74HC164 SM0ED 单通道 恒流驱动控制芯片 QZOOIAV1.0 SM0ED 特点 本司专利的恒流控制技术 a) OUT 端口输出电流外置可调, 范围 ma~0ma b) 芯片间输出电流偏差 < ± % 具有过热保护功能 单颗芯片可做 1W 系统方案 芯片可与 共用 PCB 板 芯片应用系统无 EMI 问题 线路简单 成本低廉 封装形式 :ESOP 概述 SM0ED 是单通道 恒流驱动控制芯片, 芯片使用本司专利的恒流设定和控制技术,

More information

技术文档

技术文档 地址 : 深圳市高新技术产业园南区高新南一道国微大厦 5 楼 ADD: Shenzhen High-tech Industrial Park,South Area GaoxinS.Ave.1 st,guowei Building. 电话 Tel:0755-26991331 传真 Fax:0755-26991336 邮编 :518057 网址 :www.chinaasic.com LED 恒流驱动芯片

More information

24位模数转换器

24位模数转换器 24 位高精度低电压模数转换器 特征 : 24 位分辨率 ( 无失码 ) 20.7 位有效位 (ENBO 128 倍放大 10Hz 输出 3.0V) 18.2 位不动码 (ENBO 128 倍放大 10Hz 输出 3.0V) 内部集成可编程增益 : 2 4 8 16 32 128 256 1024 2048 倍 输出码率可选 (Hz): 0.625 1.25 2.5 5 10 20( 默认 ) 40

More information

DATASHEET SEARCH SITE ==

DATASHEET SEARCH SITE == 特性描述 是应用于电子秤低频测量的单通道模拟前端 该器件可以接受直接来自传感器的低电平的输入信号, 然后产生串行的数字输出 利用 Σ-Δ 转换技术实现了 24 位无丢失代码性能 通过选定的输入信号被送到一个基于模拟调制器的增益可编程专用前端 片内数字滤波器处理调制器的输出信号, 通过通信口发命令可调节滤波器的截止点和输出更新速率, 从而对数字滤波器的第一个陷波进行编程 只需 2.6~5.5V 单电源供电

More information

12232A LED LED LED EL EL CCFL EL CCF

12232A LED LED LED EL EL CCFL EL CCF 12232A 0 50-20 +70-30 +85 LED LED LED EL EL CCFL EL CCF 122 x 32 1/32Duty 1/5Bias 6:00 STN( ), EL LED EL/100VAC 400HZ LED/4.2VDC 1 / VDD-VSS 0 6.5 V Ta=25 LCD VDD-V0 0 12.0 V V1 0 VDD V VDD-VSS - 4.75

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

Microsoft Word - SDI0818用户手册_V1_Agu22_08.doc

Microsoft Word - SDI0818用户手册_V1_Agu22_08.doc 24 位模数转换器 特征 : 24 位分辨率 ( 无失码 ) 19.5 位有效位 (ENBO 128 倍放大 ) 18 位不动码 内部集成 128 倍增益放大器 内部集成震荡器频率 :2.45MHz 偏差 :+/- 5% 具有很高的电源抑制比, 方便在强电源噪声环境下应用 : 10Hz 时对 50Hz 60Hz 噪声抑制 (-100dB) 输出码率 10Hz 80Hz 可选 外部输入参考电压 VREFP

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

ICN2028

ICN2028 概述 DP5220B 是一款专为 LED 显示屏设计的驱动 IC, 采用 16 路恒流灌电流输出 DP5220B 集成了 Noise Free TM 技术, 具有极佳的抗干扰特性, 使恒流及低灰效果不受 PCB 板的影响 并可选用不同的外挂电阻对输出级电流大小进行调节, 精确控制 LED 的发光亮度 DP5220B 在显示过程中 (OE=0) 会缓存 16bit 显示数据, 所以系统在 DP5220B

More information

Microsoft Word - 部分习题参考答案.doc

Microsoft Word - 部分习题参考答案.doc 部分习题参考答案 第 1 章 1.1 (1) U ab 5 (2) U ab 5 () I 2 () I 2 1.2 (a)-10w(b)-8w,0w(c)-50w,25w 1. () P 560 W, P 50 W, P 600 W, P 20 W, P 180 W 1. I 2 m U 60 1.5 P 50 W 1.6 U 26, U 7 ac bd 1.7 U ab 11, I, I 2,

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 第 9 章 温度检测功能 1. 内容 9-2 2. 功能 9-2 3. 温度二极管电压的特性 9-2 4. 使用 ADI-ADuM4138 时的温度检测功能 9-3 5. 使用 ADI-ADuM4138 时的温度检测补偿方法 9-3 Co., Ltd. All rights reserved. 9-1 V F (V) V F (V) 1. 内容 在此对温度二极管功能进行说明 同时对实际使用 ADI-ADuM4138

More information

!"#!$ %!$"" %%$&&''!(!)!*+,,!%*& -./ *##)' * %$" ',!*+/!*+ / - 0,1 #$*#2 #$*#2'' *($#3 *($#3'' -. 45,67!&$#6)*$ , ' 189

!#!$ %!$ %%$&&''!(!)!*+,,!%*& -./ *##)' * %$ ',!*+/!*+ / - 0,1 #$*#2 #$*#2'' *($#3 *($#3'' -. 45,67!&$#6)*$ , ' 189 !"#!$ %!$""%%$&&''!(!)!*+,,!%*& -./ *##)' * %$" ',!*+/!*+ / - 0,1 #$*#2#$*#2'' *($#3*($#3'' -. 45,67!&$#6)*$+689. 0, ' 189 (# 9(##(# '!# ( $,F, A(#./F/ #-'-* 2>F> *'-* $3F>>/ "96((* ( (" 9 96 4>/ E> #-'-*

More information

SM74HC164

SM74HC164 SM08D 单通道 恒流驱动控制芯片 QZOOISV. SM08D 特点 本司专利的恒流控制技术 a) 端口输出电流外置可调, 范围 5mA~60mA b) 芯片间输出电流偏差 < ± 4% 具有过热保护功能 芯片可与 共用 PCB 板 芯片应用系统无 EMI 问题 线路简单 成本低廉 封装形式 : TO5- SOT SOT89- 概述 SM08D 是单通道 恒流驱动控制芯片, 芯片使用本司专利的恒流设定和控制技术,

More information

物品重量分級器.doc

物品重量分級器.doc Ω Ω Ω Ω Ω Ω Ω 9 A R = Ω Ω + - - + R = A R = - ρ A A R = + A A R = A ρ Ω = + A A A - R + + = + = ρ ) A A ) ( A R ( + + = + + = A ) A R (+ R R = R R = F F Active Dummy V Active Dummy ± ± ± mv = mv ±

More information

Microsoft Word - 新建 Microsoft Word 文档.doc

Microsoft Word - 新建 Microsoft Word 文档.doc 变 频 器 知 识 大 全 目 录 基 础 篇 变 频 器 的 基 础 知 识 变 频 器 的 工 作 原 理 变 频 器 控 制 方 式 变 频 器 的 使 用 中 遇 到 的 问 题 和 故 障 防 范 变 频 器 对 周 边 设 备 的 影 响 及 故 障 防 范 变 频 器 技 术 发 展 方 向 预 测 控 制 篇 通 用 变 频 器 中 基 于 DSP 的 数 字 控 制 器 实 现 基

More information

ICN2028

ICN2028 ICN2028 (16 路双缓存恒流输出 LED 驱动芯片 ) 概述 ICN2028 是一款专为 LED 显示屏设计的驱动 IC, 采用 16 路恒流灌电流输出 ICN2028 集成了 Noise Free TM 技术, 具有极佳的抗干扰特性, 使恒流及低灰效果不受 PCB 板的影响 并可选用不同的外挂电阻对输出级电流大小进行调节, 精确控制 LED 的发光亮度 ICN2028 在显示过程中 (OE=0)

More information

1996 1997 GJB-151 1998 1999 2001 2002 220AC TEMPEST TEMPEST / / 1.dV/dt di/dt 2. NEMP ESD V -- - +++ - +++ - - - + V V V G PCB PCB / / IEC CISPR CISPR Pub. IEC TC77 IEC CENELEC EN FCC DOD FCC Part,

More information

图 内部结构图 8251 的外部引脚如图 所示, 共 28 个引脚, 每个引脚信号的输入输出方式如图中的箭 头方向所示

图 内部结构图 8251 的外部引脚如图 所示, 共 28 个引脚, 每个引脚信号的输入输出方式如图中的箭 头方向所示 实验题目 : 串行接口芯片 8251 实验目的 : 1. 掌握 8251 的工作方式及应用 2. 了解有关串口通讯的知识 串行接口芯片 8251 3. 掌握使用 8251 实现双机通讯的软件编程和电路连接 实验设备 : IA-32 架构的微机系统及应用教学平台两套 实验原理 : 1. 8251 的基本性能 性能 : 8251 是可编程的串行通信接口, 可以管理信号变化范围很大的串行数据通信 有下列基本

More information

JX-300X DCS 3.1 SP202 SP201S SP211 SP243X SP233 SP244 SP221 SP251 SP251-1 SP251-2 800*600*2100 715*425*1000 RS-232/RS-485 5V24V 110W 24V 110W SP291 SB

JX-300X DCS 3.1 SP202 SP201S SP211 SP243X SP233 SP244 SP221 SP251 SP251-1 SP251-2 800*600*2100 715*425*1000 RS-232/RS-485 5V24V 110W 24V 110W SP291 SB JX-300X DCS I/O / SBUS 3-1 3-1 JX-300X DCS 3-1 JX-300X DCS 3.1 SP202 SP201S SP211 SP243X SP233 SP244 SP221 SP251 SP251-1 SP251-2 800*600*2100 715*425*1000 RS-232/RS-485 5V24V 110W 24V 110W SP291 SBUS 3-2

More information

Visual Basic AD/DA Visual Basic 2

Visual Basic AD/DA Visual Basic 2 4900H238 4900H237 4900H208 1 Visual Basic AD/DA Visual Basic 2 PCI AD/DA Visual Basic Visual Basic 3 4 3 3 4 AD/DA ID AD/DA PCI AD/DA 15 Visual Basic 17 5 20 PID Visual Basic 26 31 Visual Basic-------------------------------------------------------------

More information

内部结构框图 SDI LSB 16Bit 移位寄存器 (1 16Bit 通道 ) MSB 0 15 LSB MSB 输出开 / 关数据锁存 (1 16Bit 通道 ) 0 15 R-EXT 16 通道恒流输出驱动 OUT0 OUT1 OUT14 OUT15 图 2 管脚信息 SDI OUT0 OUT

内部结构框图 SDI LSB 16Bit 移位寄存器 (1 16Bit 通道 ) MSB 0 15 LSB MSB 输出开 / 关数据锁存 (1 16Bit 通道 ) 0 15 R-EXT 16 通道恒流输出驱动 OUT0 OUT1 OUT14 OUT15 图 2 管脚信息 SDI OUT0 OUT 特性描述 是 D 显示面板设计的驱动 IC, 它内建的 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成平行输出数据格式 具有 16 个电流源, 可以在每个输出端口提供 2~60mA 恒定电流量以驱动 D, 每个 OUT 驱动通道输出可短接后得到更大的电流输出, 且当环境发生变化时, 对其输出电流影响很小 同时可以选用不同阻值 (REXT) 的外接电阻来调整 各输出端口的电流大小, 因此,

More information

DPJJX1.DOC

DPJJX1.DOC 8051 111 2K 1 2 3 ' ' 1 CPU RAM ROM / A/D D/A PC CPU 40 68 10 20 8 51 PIII 8051 2 MCS51 8051 8031 89C51 8051 8031 89C51? MCS51 INTEL INTEL 8031 8051 8751 8032 8052 8752 8051 8051 8051 MCS51 8031 8031

More information

ABB Drives RTAC-0 RTAC-0 3ABD 0000980 REV A CN Based on: 3AFE 64486853 REV A EN PDM: 30005749 00 5 00 ABB RTAC-0! RTAC-0 iii iv RTAC-0 ......................................................... iii..................................................iii..................................................

More information

文件编号

文件编号 GM7123 3 This specification are subject to be changed without notice. Any latest information please preview http://www.fosvos.com DATA SHEET GM7123 3 2010.07 +86-21-58998693/58994470 This specification

More information

第 3 章分立元件基本电路 3.1 共发射极放大电路 3.2 共集电极放大电路 3.3 共源极放大电路 3.4 分立元件组成的基本门电路

第 3 章分立元件基本电路 3.1 共发射极放大电路 3.2 共集电极放大电路 3.3 共源极放大电路 3.4 分立元件组成的基本门电路 第 3 章分立元件基本电路 3.1 共发射极放大电路 3.2 共集电极放大电路 3.3 共源极放大电路 3.4 分立元件组成的基本门电路 3.1 共发射极放大电路 3.1.1 电路组成 3.1.2 静态分析 3.1.3 动态分析 3.1.4 静态工作点的稳定 3.1.5 频率特性 3.1.1 电路组成 基极电阻 输入电容 u i C C 2 C 1 CE E 晶体管 集电极电阻 u 0 CC 直流电源

More information

目录 特性... 应用... 概述... 修订历史... 2 功能框图... 3 规格... 4 交流工作特性... 6 时序特性... 6 时序图... 7 绝对最大额定值... 9 ESD 警告... 9 引脚配置和功能描述... 典型工作特性... 术语...8 工作原理...2 架构...2

目录 特性... 应用... 概述... 修订历史... 2 功能框图... 3 规格... 4 交流工作特性... 6 时序特性... 6 时序图... 7 绝对最大额定值... 9 ESD 警告... 9 引脚配置和功能描述... 典型工作特性... 术语...8 工作原理...2 架构...2 完整的四通道 2/4/6 位 串行输入 单极性 / 双极性 电压输出 DAC AD5724R/AD5734R/AD5754R 特性完整的四通道 2/4/6 位 DAC 采用单电源 / 双电源供电软件可编程输出范围 +5 V + V +.8 V ±5 V ± V ±.8 V 积分非线性 (INL) 误差 :±6 LSB( 最大值 ); 微分非线性 (DNL) 误差 :± LSB( 最大值 ) 总不可调整误差

More information

Microsoft PowerPoint - vlsi_chapter02

Microsoft PowerPoint - vlsi_chapter02 第 2 章 MOSFET 逻辑设计 本章目录 2.1 理想开关 2.2 MOSFET 开关 2.3 基本的 CMOS 逻辑门 2.4 CMOS 复合逻辑门 2.5 传输门电路 2.6 时钟控制和数据流控制 2017-9-7 第 2 章 MOSFET 逻辑设计 1 2.1 理想开关 1 高电平有效的控制开关 2 低电平有效的控制开关 y = x? 2017-9-7 第 2 章 MOSFET 逻辑设计

More information

untitled

untitled EDM16080-01 Model No.: Editor: 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3

More information

HMI COM1 RS SIEMENSE S7-200 RS485

HMI COM1 RS SIEMENSE S7-200 RS485 目录 第一部分维控人机界面串口引脚定义...2 1 LEVI777T COM1 引脚定义原理图...2 2 LEVI777T COM2 引脚定义原理图...2 3 LEVI908T COM1 引脚定义原理图...2 4 LEVI908T COM2/COM3 引脚定义原理图...3 第二部分通信针头...4 1 通信针头...4 第三部分各 PLC 与 LEVI 通信线接法...5 1 西门子 S7-200

More information

MICROMASTER 410/420/440 DA kW 200kW MICROMASTER Eco & MIDIMASTER Eco MICROMASTER, MICROMASTER Vector DA64 MIDIMASTER Vector 90kW (Low-Vol

MICROMASTER 410/420/440 DA kW 200kW MICROMASTER Eco & MIDIMASTER Eco MICROMASTER, MICROMASTER Vector DA64 MIDIMASTER Vector 90kW (Low-Vol s MICROMASTER 410/420/440 0.12kW 200kW DA51.2 2002 MICROMASTER 410/420/440 DA51.2 2002 0.12kW 200kW MICROMASTER Eco & MIDIMASTER Eco MICROMASTER, MICROMASTER Vector DA64 MIDIMASTER Vector 90kW (Low-Voltage

More information

´®ÐжàͨµÀ10λA/Dת»»Æ÷TLC1543¼°ÆäÔÚµçѹÊý¾Ý²É¼¯ÏµÍ³ÖеÄÓ¦ÓÃ

´®ÐжàͨµÀ10λA/Dת»»Æ÷TLC1543¼°ÆäÔÚµçѹÊý¾Ý²É¼¯ÏµÍ³ÖеÄÓ¦Óà 电子世界 杂志 串行 A/D 转换器 TLC1543 及其应用 严天峰 TLC1543 是美国 TI 公司生产的多通道 低价格的模数转换器 采用串行通信接口, 具有输入通道多 性价比高 易于和单片机接口的特点, 可广泛应用于各种数据采集系统 TLC1543 为 20 脚 DIP 封装的 CMOS 10 位开关电容逐次 A/D 逼近模数转换器, 引脚排列如图 1 所示 其中 A0~A10(1~9 11

More information

第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1 ) + ; & ).& &+ 1 & / ) 5 93 & 9 *67893: + 99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!"#$%&'!"#$%&' '& ( ')*&+,& '(-./01 &

第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1 ) + ; & ).& &+ 1 & / ) 5 93 & 9 *67893: + 99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!#$%&'!#$%&' '& ( ')*&+,& '(-./01 & 第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1)+ ; &).& &+ 1&/) 593 & 9 *67893:+99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!#$%&'!#$%&''&(')*&+,& '(-./01&+ -2 3456-78&9:;'& &'

More information

97 04 25 0970002232 97 12 31 1-7 1 2 1 0 1 0 1 0 1 0 1 0 1 0 1 2 24 A1. 0 1 ( 6 ) 2 ( 6 ) 3 4 A1a.? 5 6 0 1 A1b.? 0 1 2 A2. 0 1 A2b. A2c. A2a. A2d. 1 A3. 1 A4 2 0 A4 A3a.?? 0 A4 1 A3b. 0 A4 1 A3c.?? 1

More information

Microsoft Word - BCT530x中文版 - 最终版本

Microsoft Word - BCT530x中文版 - 最终版本 BCT530X 陶瓷加热控制器 产品描述 : BCT530X 是为陶瓷发热体专门设计的温度控制芯片 它可以设定温度, 直接驱动 SCR, 在不需要外加温度传感器情况下, 利用陶瓷发热体本身特性, 检测和控制加热器的温度 系统可以设置 ON 和 OFF 按键, 具有调整快速或慢速的升温速度的功能, 并由发光二极管来指示不同的工作状态, 以满足客户的需求 部分型号芯片内置关机定时器, 当 AC 频率为

More information

Microsoft Word - GT21L16S2W简要说明V3.7.doc

Microsoft Word - GT21L16S2W简要说明V3.7.doc GT21L16S2W 标 准 汉 字 字 库 芯 片 简 要 说 明 BRIEF 字 型 :11X12 点 阵 15X16 点 阵 字 符 集 :GB2312 兼 容 Unicode 内 码 排 置 方 式 : 横 置 横 排 总 线 接 口 :SPI 串 行 总 线 芯 片 形 式 :SO8 封 装 VER 3.7 2010-Q3 集 通 数 码 科 技 - 1 - 版 本 修 订 记 录 GT21L16S2W

More information

Applications

Applications 概述 FM6126/FM6126Q 是一款专为 LED 模块和显示器设计的驱动 IC, 具有 16 路恒定的电流输出驱动能力 FM6126/FM6126Q 是一款专为 LED 显示屏设计的驱动 IC, 采用 16 路恒流灌电流输出 FM6126/FM6126Q 采用了 输出钳位 专利技术, 可以有效消除第一行偏暗现象, 同时可以防止灯珠损坏 同时 FM6126/FM6126Q 具有极佳的抗干扰特性,

More information

Electrical and Optical Clock Data Recovery Solutions - Data Sheet

Electrical and Optical Clock Data Recovery Solutions - Data Sheet 32 GBd N1076A 32 GBd N1077A / 64 GBd N1076B 64 GBd N1078A / 64 GBd NRZ PAM4 O/E < 100 fs RMS JSA PLL ...3...4...4...5 /...6...8...11 N1076A...12 N1076B DCA-M...13 N1077A...15 N1078A DCA-M...17...21...

More information

行业

行业 PCI-1710 1.1...2 1.1.1...2 1.1.2...2 1.1.3 FIFO( )...2 1.1.4...2 1.1.5...2 1.1.6 16 16...3 1.1.7...3 1.2...3 1.3...3 2.1...3 2.2...4 2.2.1...4 2.2.2...5 2.3...9 2.3.1...10 2.3.2... 11 2.3.3...12 2.3.4...12

More information

untitled

untitled LCD EDM12864HBSL-ALC-G : 25-1 : 116600 : (0411)7612956 7632020 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

MICROMSTER 410/420/430/440 MICROMSTER kw 0.75 kw 0.12kW 250kW MICROMSTER kw 11 kw D C01 MICROMSTER kw 250kW E86060-

MICROMSTER 410/420/430/440 MICROMSTER kw 0.75 kw 0.12kW 250kW MICROMSTER kw 11 kw D C01 MICROMSTER kw 250kW E86060- D51.2 2003 MICROMSTER 410/420/430/440 D51.2 2003 micromaster MICROMSTER 410/420/430/440 0.12kW 250kW MICROMSTER 410/420/430/440 MICROMSTER 410 0.12 kw 0.75 kw 0.12kW 250kW MICROMSTER 420 0.12 kw 11 kw

More information

V. PID PID...0

V. PID PID...0 F&B 00% V. PID................0............5....9 PID...0 .... IO900 99.98%.. Pt00Pt00.0Cu50Cu00Pt0 KEBTRN 0-0mA-0mA0-5V-5V mv 0-5V 0-50Ω.. LP TP - - P P.0 P.0 P.0 P.0 P.05 P.0 P.00 t.0 t.0 t.0 t.0 t.05

More information

考生编号政治政治分外语外语分科目 1 科目 1 分科目 2 科目 2 分总分专业代码专业名称专业排名考试方式报名号 思想政治理论 62 英语一 78 数学一 108 普通物理 ( 包括力学 电磁学 光学 ) 物理电子学 1 全国统考 11

考生编号政治政治分外语外语分科目 1 科目 1 分科目 2 科目 2 分总分专业代码专业名称专业排名考试方式报名号 思想政治理论 62 英语一 78 数学一 108 普通物理 ( 包括力学 电磁学 光学 ) 物理电子学 1 全国统考 11 100019000480001 思想政治理论 62 英语一 78 数学一 108 122 370 080901 物理电子学 1 全国统考 110199199 100019000480002 思想政治理论 49 英语一 44 数学一 0 电子线路 0 93 080902 电路与系统 3 全国统考 110189851 100019000480003 59 英语 ( 单考 63 高等数学 100 电子线路

More information

TouchWin Human Machine Interface

TouchWin    Human Machine Interface Human Machine Interface TP 1 2 3 1 2 3 4 5 TP 1 PLC 113 TouchWin / 2 TouchWin PLC Programmable Logical Controller PLC CAD/CAM PLC I/O 3 TouchWin...3...4...6 1... 6 1-1... 7 1-2... 8 1-3... 10 1-4... 13

More information

8S E600Y 0773-CE

8S E600Y 0773-CE 控制面板及侧 背面端子 液晶电视快速操作引导 液晶电视快速操作引导 控制面 板 按键介 绍 控制面板按键及侧面端子介绍 感光头 红外接收器 指示灯 电源 开 关 按键 频 道- 频 道+ 音 量- 音 量+ 频道选择键 音量控制键 菜单 确定 返 回/主 页 确定键 返回/主页键 菜单键 背面端 子 介绍 USB1 光纤音频 输出 USB2 USB3 SD卡 SD卡槽 CA卡 V 注:当您使用非本机配送的重低音音箱连接本机

More information

行业

行业 PCL-727 PCL-727 1.1...2 1.2...2 1.3...2 1.4...3 2.1...3 2.2...3 2.2.1...3 2.2.2...4 2.2.3...5 2.3...6 2.4...7 2.4.1...7 2.4.2...9 2.5...15 2.5.1...16 2.5.2...17 2.5.3...18 3.1...19 3.1.1...19 3.1.2 4~20mA...20

More information

2 12

2 12 SHENZHEN BRILLIANT CRYSTAL TECHNOLOGIC CO.,LTD. The specification for the following models Graphic LCM serial communication control board CB001 PROPOSED BY APPROVED Design Approved TEL:+86-755-29995238

More information

Ps22Pdf

Ps22Pdf ( ) ( 150 ) 25 15 20 40 ( 25, 1, 25 ), 1. A. B. C. D. 2. A. B. C. D. 3., J = 1 H = 1 ( A B, J', J, H ) A. A = B = 1, J' =0 B. A = B = J' =1 C. A = J' =1, B =0 D. B = J' = 1, A = 0 4. AB + AB A. AB B. AB

More information

<4D F736F F F696E74202D20B5DA35D5C2CEA2B4A6C0EDC6F7B9A4D7F7D4ADC0ED2E707074>

<4D F736F F F696E74202D20B5DA35D5C2CEA2B4A6C0EDC6F7B9A4D7F7D4ADC0ED2E707074> 第 5 章 微处理器工作原理 1 5.1 8086 处理器 2 1. 管脚定义 3 8086/88 管脚描述 8086:16 位微处理器, 16 位外部数据总线 8088:16 位微处理器, 8 位外部数据总线 GND AD14 AD13 AD12 AD11 AD10 AD9 AD8 AD7 AD6 AD5 AD4 AD3 AD2 AD1 AD0 NMI INTR CLK GND 1 2 3 4 5

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

CS1240/CS1241用户手册

CS1240/CS1241用户手册 用户手册 20-bit Sigma-Delta ADC 通讯地址 : 深圳市南山区蛇口南海大道 1079 号花园城数码大厦 A 座 9 楼邮政编码 :518067 公司电话 :+(86 755)86169257 传真 :+(86 755)86169057 公司网站 :www.chipsea.com 微信号 : 芯海科技微信二维码 : 第 1 页, 共 30 页 版本历史 历史版本 修改内容 版本日期

More information

A$1.3600 / US$ DKr6.4500 / US$ - 12 n - 12 n 1.4310-1.4505 12 1.4505 6 1.4505-1.4310 16 1.4310 6 1.4395-1.4505 12 1.4505 3 S1(b / a) + Pb 1 S0(b / a) 1 + Pa S0(b / a) + Pa 1 S1(b / a) 1 + Pb S1(b/

More information

Microsoft PowerPoint - IC测试培训二.ppt

Microsoft PowerPoint - IC测试培训二.ppt IC 测试培训 第二章 IC 测试实例 By 孙鹏程 29-9-8 1 2.1 LDO 基础知识 本章要点 2.2 LDO 主要参数及意义 2.3 LDO 测试方案 2.4 LDO 测试程序 2 2.1 LDO 基础知识 什么是 LDO? LDO:(low dropout regulator) 低压差线性稳压器, 其基本电路可由串联调整管 VT 取样电阻 R1 和 R2 比较放大器 A 组成 取样电压加在比较器

More information

Ctpu

Ctpu 二 委 任 出 席 安 全 理 事 会 的 代 表 副 代 表 候 补 代 表 和 代 理 代 表 2010 年 8 月 1 日 至 2011 年 7 月 31 日 期 间 委 任 出 席 安 全 理 事 会 的 代 表 副 代 表 候 补 代 表 和 代 理 代 表 如 下 : * 奥 地 利 海 因 茨 菲 舍 尔 先 生 ( 奥 地 利 联 邦 总 统 ) 米 夏 埃 尔 施 平 德 埃 格

More information

Microsoft Word - GT21L16S2Y简要说明V37.doc

Microsoft Word - GT21L16S2Y简要说明V37.doc GT21L16S2Y 标准汉字字库芯片 简要说明 BRIEF 字型 :11X12 点阵 15X16 点阵 字符集 :G312 兼容 Unicode 内码 排置方式 : 竖置横排 总线接口 :SPI 串行总线 芯片形式 :SO8 封装 VER 3.7 2012-2 集通数码科技 - 1 - 版本修订记录 GT21L16S2Y 标准点阵汉字字库芯片简要说明 版本号 修改内容 日期 备注 V35 1. 15*16

More information

课程简介

课程简介 3.4 三种组态放大器的中频特性 放大电路的交流小信号分析是分频段进行 ; 中频段 : 电路电容不起作用, 晶体管用低频小信号模型 ; 低频段 : 电路电容起作用, 晶体管用低频小信号模型 ; 高频段 : 主要考虑 PN 结电容, 晶体管用高频小信号模型 ; 标志放大电路的增益和阻抗特性的分析是在中频段 进行, 不随频率变化, 反映带通特性 ; 1 分析的对象和内容 1. 单级共射放大电路 对象 :BJT

More information

TD

TD *TD-000212-05* 20- 应用实例 4 本例显示的是使用两个亚低 音扬声器和多个顶箱的双声 道 立体声 设置 除了各声道都增加了一个顶 箱外 也可以增加更多的顶 箱 本例和例 3 的情况一 致 声道 2 或 右声道 声道 1 或 左声道 要接到更多的顶箱 将最后 一个顶箱的全幅线路输出接 头处的线缆接到下一个顶箱 的全幅线路输入接头 在不 降低信号质量的情况下 最

More information

untitled

untitled 2006-4-25 2006-4-26 2 2006-4-26 3 20 50 6 2006-4-26 4 µ 2006-4-26 5 CERN LEP/LHC 2006-4-26 6 L3 Detector 2006-4-26 7 2006-4-26 8 ATLAS Detector (A Toroidal LHC ApparatuS) 2006-4-26 9 CMS Detector 2006-4-26

More information

Microsoft Word - SPEC-TL0001-CH_v1_02

Microsoft Word - SPEC-TL0001-CH_v1_02 传感与控制 http://www.sensor-ic.com/ 红外传感信号处理器 简介 是一款具有较高性能的传感信号处理集成电路. 它和 BISS000 芯片完全兼容, 它配以热释电红外线传感器和少量外接元器件构成被动式的热红外开关 它能自动快速开启各类白炽灯 萤光灯 蜂鸣器 自动门 电风扇 烘干机和自动洗手池等装置, 特别适用于企业 宾馆 商场 库房及家庭的过道 走廊等敏感区域, 或用于安全区域的自动灯光

More information

Microsoft Word - BL5372_UMAN_V1.1.doc

Microsoft Word - BL5372_UMAN_V1.1.doc 低 功 耗 实 时 时 钟 芯 (RTC)BL5372 用 户 手 册 V1.2 ( 2012.11.12) 上 海 贝 岭 股 份 有 限 公 司 Shanghai Belling Co., Ltd. 1. 概 述 低 功 耗 实 时 时 钟 芯 片 (RTC)BL5372 BL5372 是 一 款 低 功 耗 实 时 时 钟 电 路, 通 过 I 2 C 两 线 接 口 电 路 可 以 与 CPU

More information

AD542 目录 特性... 应用... 概述... 配套低功耗产品... 功能框图... 修订历史... 技术规格... 4 交流工作特性... 9 时序特性... 9 绝对最大额定值... 热阻... ESD 警告... 引脚配置和功能描述... 2 典型性能参数... 4 术语... 2 工作

AD542 目录 特性... 应用... 概述... 配套低功耗产品... 功能框图... 修订历史... 技术规格... 4 交流工作特性... 9 时序特性... 9 绝对最大额定值... 热阻... ESD 警告... 引脚配置和功能描述... 2 典型性能参数... 4 术语... 2 工作 6 位 串行输入 环路供电 4 ma 至 2 ma DAC AD542 产品特性 6 位分辨率和单调性引脚可选的 NAMUR 兼容范围 4 ma 至 2 ma.8 ma 至 2 ma.2 ma 至 24 ma NAMUR 兼容报警电流下限报警电流 =.2 ma 上限报警电流 = 22.8 ma/24 ma 总不可调整误差 (TUE):.5%( 最大值 ) 积分非线性 (INL) 误差 :.5% FSR(

More information

深圳明和科技

深圳明和科技 500mA 同步降压 DC/DC 转化器 ME3101 系列 描述 : ME3101 是一款同步整流降压型 DC/DC 内置 0.6ΩPMOS 驱动管和 0.7ΩNMOS 开关管 兼容陶瓷电容, 外部只需一只电感和两只电容, 可高效率的输出 500mA 内置振荡器电路, 振荡频率可达 1.2MHZ ME3101 为 PFM/PWM 型自动开关控制模式, 在满载时也能快速响应, 达到纹波小, 效率高的效果

More information

行业

行业 PCL-818HD/HG/L PCL-818HD/HG/L 1.1...2 1.1.1 /...2 1.1.2 ID...2 1.2...3 1.3...3 2.1...3 2.2...3 2.2.1...4 2.2.2...4 2.2.3 DMA...5 2.2.4...5 2.2.5 D/A...5 2.2.6...6 2.2.7 EXE.trigger GATE0...6 2.2.8 FIFO

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

数 字 隔 离 器 光 耦 隔 离 器 或 者 电 磁 隔 离 器 用 来 将 系 统 现 场 的 ADC DAC 和 信 号 调 理 电 路 与 数 字 端 的 控 制 器 隔 离 开 来 如 果 模 拟 端 的 系 统 也 必 须 实 现 充 分 隔 离 的 话, 在 输 入 或 者 输 出 的

数 字 隔 离 器 光 耦 隔 离 器 或 者 电 磁 隔 离 器 用 来 将 系 统 现 场 的 ADC DAC 和 信 号 调 理 电 路 与 数 字 端 的 控 制 器 隔 离 开 来 如 果 模 拟 端 的 系 统 也 必 须 实 现 充 分 隔 离 的 话, 在 输 入 或 者 输 出 的 图 1 PLC 系 统 架 构, 示 出 了 各 种 不 同 的 I/O 模 块 功 能 PLC 系 统 包 含 输 入 模 块 输 出 模 块 和 输 入 / 输 出 模 块 因 为 许 多 输 入 和 输 出 都 涉 及 现 实 世 界 中 的 模 拟 变 量 而 控 制 器 是 数 字 式 的 PLC 系 统 硬 件 设 计 任 务 将 主 要 围 绕 如 下 方 面 展 开 : 数 模 转

More information

AN-80 各单通道 ADC 采用先进的 CMOS 工艺制造, 提供 引脚 LFCSP 封装, 额定温度范围为 0ºC 至 +8ºC 工业温度范围 设计和布局考虑因素对于布局设计师, 引脚排列间的唯一差异是数据输出位的分配 所有双通道转换器中, 引脚 和引脚 8 分别为 A 和 B 的 MSB, 该

AN-80 各单通道 ADC 采用先进的 CMOS 工艺制造, 提供 引脚 LFCSP 封装, 额定温度范围为 0ºC 至 +8ºC 工业温度范围 设计和布局考虑因素对于布局设计师, 引脚排列间的唯一差异是数据输出位的分配 所有双通道转换器中, 引脚 和引脚 8 分别为 A 和 B 的 MSB, 该 AN-80 应用笔记 One Technology Way P.O. Box Norwood, MA 00- Tel: 8/-00 Fax: 8/- www.analog.com 利用引脚兼容高速 ADC 简化设计任务 作者 :Robert M. Clarke 简介选择 ADC 可能是系统设计师最棘手的问题 转换器分辨率和采样速率决定系统性能 然而, 设计通常是基于一系列无法验证的假设, 除非原型系统经过测试

More information

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi SPHE8202R Design Guide V2.0 JUN, 2007 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com SPHE8202R Design Guide Important Notice

More information

Microsoft Word - DW01

Microsoft Word - DW01 用途 / Purpose: 用于锂离子 / 锂聚合物可充电电池组 For lithium ion / lithium polymer rechargeable battery pack 特点 / Features: (1) 高电压检测电路 / Precision voltage detection circuit 过充检测电压 Overcharge detection voltage 4.3 过充恢复电压

More information

学年本科教学和思政工作情况汇报

学年本科教学和思政工作情况汇报 微机原理与接口技术 第 0 章计算机基础知识 浙江大学 王晓萍 内容提要 计算机是计算数学与微电子学相结合的产物 微电子学的基本电 路及集成电路是计算机的硬件基础, 而计算数学的计算方法与数据结 构则是其软件基础 本章分为 3 个教学单元 补充介绍计算机的基础知识, 包括常用的数制与转换, 微机中数值的表示方法, 以及字母 字符的二进制编码 ; 微机的基本组成电路 (6 种逻辑电路 触发器 寄存器

More information

A20_banana_v12_141107

A20_banana_v12_141107 hrsday, gst, of heet ate: ev ocment mber ize itle anana ro.,, lace & close to I I I I I I I I M M M M Q Q Q Q Q Q Q Q Q Q Y Q Q Q Q Q Y Q Q Q Q Q Q Q Q M Q J Q Q Q Q Q Q M Q Q Y Q Q# Q Q# Q Q# Q J Q# QM

More information

rptProductDatasheet

rptProductDatasheet 益处 拥有成本更低, 预热速度快 50%, 节能, 低功耗 易于集成, 各种满刻度 法兰和接口, 标配两个 轻松一键调零或远程信号调零指令, 可调零点偏移 诊断端口用于快速维修和维护 两年保修服务, 先进的加热概念和真空计保护延长了使用寿命 卓越的信号稳定性和可重复性, 即使是最苛刻的等离子应用, 都无需长期执行重新校准 合规性与标准 :CE EN UL SEMI RoHS 1 订购信息 2 精度

More information

麻省理工学院

麻省理工学院 麻省理工学院电气工程与计算机科学系 6.002 电子线路 2000 秋季 实验 4 音频回放系统 讲义 F00-058 概述 : 该实验中, 同学将搭建, 测试并演示在作业 11 中设计的音频回放系统 与以前的实验相同, 将两人一组进行实验操作 实验由两部分组成 : 课前预习与实验操作 ; 没有课后任务 在实验前, 每个同学应该在实验报告中完成课前预习 在 11 月 30 日至 12 月 8 日之间进行实验操作

More information

1 2 / 3 1 A (2-1) (2-2) A4 6 A4 7 A4 8 A4 9 A ( () 4 A4, A4 7 ) 1 (2-1) (2-2) ()

1 2 / 3 1 A (2-1) (2-2) A4 6 A4 7 A4 8 A4 9 A ( () 4 A4, A4 7 ) 1 (2-1) (2-2) () (39mm E-Mail ( )( ), : : 1 1 ( ) 2 2 ( ) 29mm) WSK ( 1 2 / 3 1 A4 2 1 3 (2-1) 2-1 4 (2-2) 2-2 5 A4 6 A4 7 A4 8 A4 9 A4 10 11 ( () 4 A4, 5 6 7 8 A4 7 ) 1 (2-1) (2-2) () 1 2 (2-1) 3 (2-2) 4 5 6 7 (8 ) 9

More information

展 望 与 述 评 2 广 电 设 备 与 技 术 2013.2

展 望 与 述 评 2 广 电 设 备 与 技 术 2013.2 展 望 与 述 评 2013.2 广 电 设 备 与 技 术 1 展 望 与 述 评 2 广 电 设 备 与 技 术 2013.2 展 望 与 述 评 2013.2 广 电 设 备 与 技 术 3 展 望 与 述 评 4 广 电 设 备 与 技 术 2013.2 展 望 与 述 评 2013.2 广 电 设 备 与 技 术 5 展 望 与 述 评 骆 萧 萧 卜 筱 皛 本 文 讨 论 了 在 非

More information