CH341DS2.DOC

Size: px
Start display at page:

Download "CH341DS2.DOC"

Transcription

1 CH341 中文手册 ( 二 ) 1 1 并口功能说明 USB 总线转接芯片 CH341 中文手册 ( 二 ): 并口及同步串口说明版本 :2C 一般说明 CH341 的并口是主动式并口, 在计算机端的程序控制下, 可以直接从外部电路输入输出数据, 一般不需要外接单片机 /DSP/MCU CH341 的并口主要有 2 种接口方式 :EPP 方式和 MEM 方式 EPP 方式类似于 EPP V1.7 或者 EPP V1.9 规范,MEM 方式类似于 INTEL 时序存储器的读写方式 芯片复位后的默认方式是 EPP, 在 USB 配置完成后, 计算机端的程序可以随时控制 CH341 在上述 2 种方式之间进行切换 另外还有一种 BUS 方式, 以地址和数据复用总线的方式提供 7 位地址和 8 位数据 1.2. EPP 并口 EPP 并口的主要引脚包括 WR# 引脚 DS# 引脚 AS# 引脚 WAIT# 引脚, 相关信号的时序说明可以参照 EPP 规范 V1.7 和 V1.9 EPP 方式通过 WR# DS# 和 AS# 的逻辑组合执行具体操作 WR# 用于指示当前的数据或地址传输方向, 对计算机端而言, 高电平是对外部电路执行读操作, 低电平是对外部电路执行写操作 选通信号是低电平有效的脉冲信号, 选通信号包括数据选通 DS# 和地址选通 AS#,DS# 有效执行数据操作,AS# 有效执行地址操作 EPP 的实际操作发生于选通信号有效期间, 例如 : 在 WR# 为高电平期间 DS# 输出脉冲, 则执行一个数据读操作 ; 在 WR# 为低电平期间 AS# 输出脉冲, 则执行一个地址写操作 CH341A 的 EPP 数据读写操作 DS# 支持 WAIT# 等待信号, 在 CH341 开始输出低电平选通信号后, 如果 WAIT# 为低电平, 那么选通信号将继续保持低电平直到 WAIT# 恢复为高电平或者 85uS 等待超时后才结束输出 CH341A 的 EPP 地址读写操作 AS# 不支持 WAIT# 等待信号, 所以 EPP 地址读写操作比数据读写操作略快一些 写操作的选通信号的低电平有效宽度最小是 0.16uS 或者 0.25uS, 读操作的选通信号的低电平有效宽度最小是 0.25uS 或者 0.33uS, 理想状态下的最大传输速度是 800KB/S, 在 WINDOWS XP SP2 环境下使用连续的大数据块进行速度测试, 实测传输速度约为 : 下传 510KB/S, 上传 560KB/S 1.3. MEM 并口 MEM 并口的主要引脚包括 WR# 引脚 RD# 引脚 (DS# 引脚的别名 ) A0 引脚 (AS# 引脚的别名 ) WAIT# 引脚 MEM 方式类似于存储器的读写方式,WR# 和 RD# 都是低电平有效的脉冲信号 MEM 的实际操作发生于 WR# 或者 RD# 有效期间, 对计算机端而言, 当 WR# 有效时对外部电路执行写操作, 当 RD# 有效时对外部电路执行读操作 A0 用于指示当前读写操作的地址, 例如 : 将 A0 和 A0 的反相分别用于两个外部设备的片选 ; 或者将 A0=1 时的操作指向外部设备的命令端口, 而将 A0=0 时的操作指向数据端口 CH341A 的 MEM 读写操作支持 WAIT# 等待信号 WR# 的低电平有效宽度最小是 0.25uS,RD# 的低电平有效宽度最小是 0.33uS, 理想状态下的最大传输速度是 800KB/S 实测传输速度与 EPP 数据读写差不多, 但略低于 EPP 地址读写操作的速度 1.4. BUS 并口 ( 该功能未经完全测试 ) BUS 并口的主要引脚包括 WR# 引脚 RD# 引脚 (DS# 引脚的别名 ) ALE 引脚 (AS# 引脚的别名 ) WAIT# 引脚

2 CH341 中文手册 ( 二 ) 2 BUS 方式相当于更多地址线的 MEM 方式,WR# 和 RD# 都是低电平有效的脉冲信号, 在每次读写脉冲发生之前, 数据总线 D0-D7 先输出 7 位将要操作的目标地址, 接着 ALE 信号输出高电平, 使外部的地址锁存器电路 ( 例如 74LS373) 将 7 位地址锁存后输出, 用于外部设备的片选, 然后,WR# 或 RD# 其中之一输出有效的读写脉冲 BUS 的实际读写操作发生于 WR# 或者 RD# 有效期间, 对计算机端而言, 当 WR# 有效时对外部电路执行写操作, 当 RD# 有效时对外部电路执行读操作 CH341A 的 BUS 读写操作支持 WAIT# 等待信号 WR# 的低电平有效宽度最小是 0.33uS,RD# 的低电平有效宽度最小是 0.51uS, 理想状态下的最大传输速度是 300KB/S, 但在进行多个 I/O 地址的独立读写操作时速度可能只有 1K/S 1.5. 辅助引脚 辅助引脚包括 RST# 引脚和 INT# 引脚, 以及 ERR# SLCT PEMP 等引脚 RST# 引脚是复位输出引脚, 当其为低电平时, 说明 CH341 芯片正在复位或者计算机端的程序要求复位外部电路 INT# 引脚是中断请求输入引脚, 当其检测到上升沿时, 计算机端的程序将会收到中断通知 其它引脚都是自定义的通用输入引脚, 计算机端的应用程序可以查询其引脚状态 2 同步串口功能说明 2.1. 一般说明 CH341 的同步串口是主动式串口, 只能作为 Host/Master 主机端, 在计算机端的程序控制下, 可以直接从外部电路输入输出数据, 一般不需要外接单片机 /DSP/MCU CH341A 采用 FlexWire(TM) 技术, 通过计算机相关程序控制进行组合可以实现 :2 线串口 4 线串口 5 线串口, 其中以 2 线串口及 4 线串口较为常用 线串口 2 线串口的主要引脚包括 SCL 引脚 SDA 引脚 SCL 用于单向输出同步时钟, 开漏输出且内置上拉电阻,SDA 用于准双向数据输入输出, 开漏输出及输入且内置上拉电阻 2 线串口的基本操作元素包括 : 起始位 停止位 位输出 位输入 起始位定义为当 SDA 为高电平时,SCL 输出下降沿 ( 从高电平切换为低电平 ) 停止位定义为当 SDA 为高电平时,SCL 输入上升沿 ( 从低电平切换为高电平 ) 位输出定义为当 SCL 为低电平时,SDA 输出位数据, 然后 SCL 输出高电平脉冲 位输入定义为 SCL 输出高电平脉冲, 在下降沿之前从 SDA 输入位数据 字节输出定义为 8 个位输出及 1 个位输入用于应答 字节输入定义为 8 个位输入及 1 个位输出用于应答 2 线串口的数据输入和输出以字节为单位, 每个字节含 8 个位, 高位在前 CH341 的 2 线串口支持两线串口的 A/D D/A 存储器及 I/O 扩展芯片 例如, 常见的 24C 系列串行 EEPROM:24C01A 到 24C16 24C32 到 24C1024 等 线串口 ( 该功能未经完全测试 ) 4 线串口的主要引脚包括 DCK 引脚 DIN 引脚 DOUT 引脚 片选引脚 CS0 CS1 CS2 DCK 用于单向输出同步时钟,DIN 用于单向输入数据,DOUT 用于单向输出数据, 片选引脚 CSn 用于选择设备 4 线串口的基本操作元素包括 : 片选选中 片选结束 位输出 位输入 片选选中定义为片选引脚 CSn 输出有效电平 ( 可以定义为高电平或低电平 ) 片选结束定义为片选引脚 CSn 输出非有效电平 位输出定义为当 DCK 为低电平时,DOUT 输出位数据, 然后 DCK 输出高电平脉冲 位输入定义为当 DCK 为高电平时, 从 DIN 输入位数据 字节输出定义为 8 个位输出, 字节输入定义为 8 个位输入

3 CH341 中文手册 ( 二 ) 3 4 线串口的数据输入和输出以字节为单位, 每个字节含 8 个位, 支持低位在前和高位在前 线串口 ( 该功能未经完全测试 ) 5 线串口的主要引脚包括 DCK 引脚 DIN 引脚 DIN2 引脚 DOUT 引脚 DOUT2 引脚 片选引脚 CS0 CS1 CS2 DCK 用于单向输出同步时钟,DIN 和 DIN2 用于单向输入数据,DOUT 和 DOUT2 用于单向输出数据, 片选引脚 CSn 用于选择外部设备 5 线串口是 4 线串口中增加一组数据输入 DIN2 和一组数据输出 DOUT2 的版本 5 线串口的基本操作元素包括 : 片选选中 片选结束 双位输出 双位输入 双位输出定义为当 DCK 为低电平时,DOUT 和 DOUT2 输出位数据, 然后 DCK 输出高电平脉冲 一个字节 8 位数据, 高 4 位从 DOUT 输出, 低 4 位从 DOUT2 输出 双位输入定义为当 DCK 为高电平时, 从 DIN 和 DIN2 输入位数据 一个字节 8 个数据, 高 4 位来自 DIN 输入, 低 4 位来自 DIN2 输入 字节输出定义为一个字节 8 位分为两组各 4 位分别输出 字节输入定义为分别输入两组各 4 位组合成一个字节 5 线串口的数据输入和输出以半字节为单位, 每个半字节含 4 个位, 支持低位在前和高位在前 要分别在 DOUT 和 DOUT2 产生字节输出, 那么需要两个字节输出组合 CH341 的 5 线串口用于仿真具有较多 I/O 的同步串行接口 2.5. 位操作 ( 该功能未经完全测试 ) 正常情况下,CH341 的同步串口操作是以字节为基本单位的, 一次操作可能是 1 个字节或者几个甚至几十个字节 而实际应用中可能需要输入或者输出非 8 倍数的数据位, 例如某 A/D 采集芯片需要输入 10 位数据, 为了方便这种应用,CH341 的 FlexWire(TM) 技术提供了一个基本操作, 能够一次输入或者输出 1 位数据, 重复使用该操作能够输入 2 位数据, 再加上一个字节操作, 从而实现 10 位数据的输入输出 该方法仅适用于 4 线串口或者 5 线串口, 能够控制 DCK DOUT DOUT2 CS0 CS1 CS2 引脚产生一个位输出, 能够从 DCK DIN DIN2 DOUT DOUT2 CS0 CS1 CS2 引脚实现一个位输入 2.6. 辅助引脚 同步串口应用下的辅助引脚与并口应用下的相同, 请参考并口功能说明 3 计算机端的软件 在计算机端的 Windows 操作系统下,CH341 的并口驱动程序和动态链接库 DLL 向应用程序提供了应用层接口, 包括 : 设备管理 API 并口数据传输 API 同步串口数据传输 API 中断处理 API 有关 API 参数的说明请参考 CH341DLL.H, 主要 API 如下 3.1. 设备管理 API CH341OpenDevice( // 打开 CH341 设备, 返回句柄, 出错则无效 ULONG iindex ); // 指定 CH341 设备序号,0 对应第一个设备将 CH341 作为设备, 使用前必须先打开, 然后才能使用 CH341CloseDevice( // 关闭 CH341 设备 ULONG iindex ); // 指定 CH341 设备序号用完 CH341 后, 或者应用程序退出前, 应该关闭 CH341 设备

4 CH341 中文手册 ( 二 ) 4 CH341SetDeviceNotify( // 设定设备事件通知程序,0 对应第一个设备 PCHAR ideviceid, // 可选参数, 指向字符串, 指定被监控的设备的 ID, 字符串以 \0 终止 mpch341_notify_routine inotifyroutine ); // 指定设备事件回调程序用于应用程序监控 CH341 设备的插拔事件, 确保应用程序随时知道 USB 设备是否存在, 防止在 USB 设备拔出后收发数据, 并及时响应 USB 设备的插入 CH341GetStatus( // 通过 CH341 直接输入数据和状态, 类似的 API 还有 CH341GetInput PULONG istatus ); // 指向一个双字单元, 用于保存状态数据获取的状态数据中 : 位 7- 位 0 对应 CH341 的 D7-D0 引脚, 位 8 对应 CH341 的 ERR# 引脚, 位 9 对应 CH341 的 PEMP 引脚, 位 10 对应 CH341 的 INT# 引脚, 位 11 对应 CH341 的 SLCT 引脚, 位 13 对应 CH341 的 BUSY/WAIT# 引脚, 位 14 对应 CH341 的 AUTOFD#/DATAS# 引脚, 位 15 对应 CH341 的 SLCTIN#/ADDRS# 引脚, 位 23 对应 CH341 的 SDA 引脚 CH341SetOutput( // 设置 CH341 的 I/O 方向, 并通过 CH341 直接输出数据 // 谨慎使用该 API, 防止修改 I/O 方向使输入引脚变为输出导致与其它输出引脚之间短路而损坏 ULONG ienable, // 数据有效标志 ULONG isetdirout, // 设置 I/O 方向, 位清 0 则对应引脚为输入, 位置 1 则对应引脚为输出 ULONG isetdataout ); // 输出数据, 如果 I/O 方向为输出, 那么位数据将通过引脚输出上述的 I/O 方向和输出数据以 32 位数据表示, 其中 : 位 7- 位 0 对应 CH341 的 D7-D0 引脚, 位 8 对应 CH341 的 ERR# 引脚, 位 9 对应 CH341 的 PEMP 引脚, 位 10 对应 CH341 的 INT# 引脚, 位 11 对应 CH341 的 SLCT 引脚, 位 13 对应 CH341 的 WAIT# 引脚, 位 14 对应 CH341 的 DATAS#/READ# 引脚, 位 15 对应 CH341 的 ADDRS#/ADDR/ALE 引脚另外, 以下引脚只能输出, 不考虑 I/O 方向 : 位 16 对应 CH341 的 RESET# 引脚, 位 17 对应 CH341 的 WRITE# 引脚, 位 18 对应 CH341 的 SCL 引脚, 位 29 对应 CH341 的 SDA 引脚 CH341Set_D5_D0( // 设置 CH341 的 D5-D0 引脚的 I/O 方向, 并通过 D5-D0 引脚直接输出数据 // 谨慎使用该 API, 防止修改 I/O 方向使输入引脚变为输出导致与其它输出引脚之间短路而损坏 ULONG isetdirout, // 设置 D5-D0 各引脚的 I/O 方向, 清 0 则引脚为输入, 置 1 则引脚为输出 ULONG isetdataout ); // 设置 D5-D0 各引脚的输出数据, 仅当 I/O 方向为输出时生效 3.2. 中断处理 API CH341SetIntRoutine( // 设定中断服务程序 mpch341_int_routine iintroutine ); // 指定中断服务程序, 为 NULL 则取消中断服务设置 CH341 的中断服务程序,iIntRoutine 是一个符合 mpch341_int_routine 格式的子程序, 当 CH341 的 INT# 引脚出现上升沿时,DLL 自动调用 iintroutine, 并向其提供一个引脚状态参数, 引脚状态参数中, 位为 1 则说明对应的引脚为高电平, 位为 0 则说明对应的引脚为低电平, 位 7- 位 0 对应 CH341 的 D7-D0 引脚, 位 8 对应 CH341 的 ERR# 引脚, 位 9 对应 CH341 的 PEMP 引脚, 位 10 对应 CH341 的 INT# 引脚, 位 11 对应 CH341 的 SLCT 引脚例如 : 主程序 main {... CH341OpenDevice( 0 ); // 打开设备, 针对 0# 设备, 如果有多个, 可以计数 CH341SetIntRoutine( 0, myinterruptevent ); // 设置中断服务程序

5 CH341 中文手册 ( 二 ) 5... 读写数据, 或者在接收到中断服务程序的通知后处理中断 CH341CloseDevice( 0 ); // 用完后关闭设备 } 中断服务程序, 当 CH341 的 INT# 引脚出现上升沿时,DLL 会自动调用该子程序 void CALLBACK myinterruptevent ( unsigned long PinStatus ) { if ( PinStatus & mstatebiterr ) printf( 发生中断时 ERR# 引脚为高电平 ); else printf( 发生中断时 ERR# 引脚为低电平 );... 自己处理或者通知主程序处理 } 3.3. 并口数据传输 API CH341InitParallel( // 复位并初始化并口,RST# 输出低电平脉冲 ULONG imode ); // 指定并口模式 : 0 为 EPP 模式, 2 为 MEM 模式, >=256 保持当前模式在 CH341 上电时自动初始化并口, 如果需要, 也可以重新初始化并口, 以清除缓冲区 在初始化过程中,RST# 引脚会输出 100uS 左右宽度的低电平脉冲, 用于通知外部设备复位, CH341EppReadData( // EPP 方式读数据 : WR#=1, DS#=0, AS#=1, D0-D7=input PVOID obuffer, // 指向一个足够大的缓冲区, 用于保存读取的数据 PULONG iolength ); // 指向长度单元, 输入时为准备读取的长度, 返回后为实际读取的长度以 EPP 时序连续读取数据, 长度为 0 到 4096 字节, 例如 : UCHAR buf[1024]; ULONG len=1024; CH341EppReadData( 0, buf, &len ); // 针对 0# 设备以 EPP 方式读取 1KB 数据 CH341EppReadAddr( // EPP 方式读地址 : WR#=1, DS#=1, AS#=0, D0-D7=input PVOID obuffer, // 指向一个足够大的缓冲区, 用于保存读取的地址数据 PULONG iolength ); // 指向长度单元, 输入时为准备读取的长度, 返回后为实际读取的长度以 EPP 时序连续读取地址数据, 长度为 0 到 4096 字节, 在标准 EPP 时序中通常用不到 CH341EppWriteData( // EPP 方式写数据 : WR#=0, DS#=0, AS#=1, D0-D7=output PVOID ibuffer, // 指向一个缓冲区, 放置准备写出的数据 PULONG iolength ); // 指向长度单元, 输入时为准备写出的长度, 返回后为实际写出的长度以 EPP 时序连续写出数据, 长度为 0 到 4096 字节, 例如 : UCHAR buf[1024]; ULONG len=1024; 在 buf 中放置数据, 准备以 EPP 方式写出 CH341EppWriteData( 0, buf, &len ); // 针对 0# 设备以 EPP 方式写出 1KB 数据 CH341EppWriteAddr( // EPP 方式写地址 : WR#=0, DS#=1, AS#=0, D0-D7=output PVOID ibuffer, // 指向一个缓冲区, 放置准备写出的地址数据 PULONG iolength ); // 指向长度单元, 输入时为准备写出的长度, 返回后为实际写出的长度以 EPP 时序连续写出地址数据, 长度为 0 到 4096 字节, 在标准 EPP 时序中通常只写一个字节的地址

6 CH341 中文手册 ( 二 ) 6 CH341EppSetAddr( // EPP 方式设置地址 : WR#=0, DS#=1, AS#=0, D0-D7=output UCHAR iaddr ); // 指定 EPP 地址以 EPP 时序输出一个地址, 是 CH341EppWriteAddr 的简化 CH341MemReadAddr0( // MEM 方式读地址 0: WR#=1, DS#/RD#=0, AS#/ADDR=0, D0-D7=input PVOID obuffer, // 指向一个足够大的缓冲区, 用于保存从地址 0 读取的数据 PULONG iolength ); // 指向长度单元, 输入时为准备读取的长度, 返回后为实际读取的长度以 MEM 时序连续读取数据, 长度为 0 到 4096 字节, 读操作期间 ADDR=0, 例如 : UCHAR buf[1024]; ULONG len=1024; CH341MemReadAddr0( 0, buf, &len ); // 针对 0# 设备以 MEM 方式从地址 0 读取 1K 数据 CH341MemReadAddr1( // MEM 方式读地址 1: WR#=1, DS#/RD#=0, AS#/ADDR=1, D0-D7=input PVOID obuffer, // 指向一个足够大的缓冲区, 用于保存从地址 1 读取的数据 PULONG iolength ); // 指向长度单元, 输入时为准备读取的长度, 返回后为实际读取的长度以 MEM 时序连续读取数据, 长度为 0 到 4096 字节, 读操作期间 ADDR=1 CH341MemWriteAddr0( // MEM 方式写地址 0: WR#=0, DS#/RD#=1, AS#/ADDR=0, D0-D7=output PVOID ibuffer, // 指向一个缓冲区, 放置准备向地址 0 写出的数据 PULONG iolength ); // 指向长度单元, 输入时为准备写出的长度, 返回后为实际写出的长度以 MEM 时序连续写出数据, 长度为 0 到 4096 字节, 写操作期间 ADDR=0, 例如 : UCHAR buf[1024]; ULONG len=1024; 在 buf 中放置数据, 准备以 MEM 方式写出 CH341MemWriteAddr0( 0, buf, &len ); // 针对 0# 设备以 MEM 方式向地址 0 写出 1K 数据 CH341MemWriteAddr1( // MEM 方式写地址 1: WR#=0, DS#/RD#=1, AS#/ADDR=1, D0-D7=output PVOID ibuffer, // 指向一个缓冲区, 放置准备向地址 1 写出的数据 PULONG iolength ); // 指向长度单元, 输入时为准备写出的长度, 返回后为实际写出的长度以 MEM 时序连续写出数据, 长度为 0 到 4096 字节, 写操作期间 ADDR= 同步串口数据传输 API CH341ReadI2C( // 从两线串口读取一个字节数据, 仅适用于 7 位地址的设备 UCHAR idevice, // 低 7 位指定设备地址 UCHAR iaddr, // 指定数据单元的地址 PUCHAR obyte ); // 指向一个字节单元, 用于保存读取的字节数据 CH341WriteI2C( // 向两线串口写入一个字节数据, 仅适用于 7 位地址的设备 UCHAR idevice, // 低 7 位指定设备地址 UCHAR iaddr, // 指定数据单元的地址 UCHAR ibyte ); // 待写入的字节数据

7 CH341 中文手册 ( 二 ) 7 CH341WriteRead( // 执行数据流命令, 先输出再输入 ULONG iwritelength, // 写长度, 准备写出的长度 PVOID iwritebuffer, // 指向一个缓冲区, 放置准备写出的数据 ULONG ireadstep, // 准备读取的单个块的长度, 总长度为 (ireadstep*ireadtimes) ULONG ireadtimes, // 准备读取的次数 PULONG oreadlength, // 指向长度单元, 返回后为实际读取的长度 PVOID oreadbuffer ); // 指向一个足够大的缓冲区, 用于保存读取的数据 先输出数据再输入数据, 执行数据流命令, 适用于同步串口等 CH341SetStream( // 设置同步串口流模式 ULONG imode ); // 指定模式, 见下行 // 位 1 位 0: I2C 速度 /SCL 频率, 00= 低速 20KHz,01= 标准 100KHz,10= 快速 400KHz,11= 高速 750KHz // 位 2: SPI 的 I/O 数 /IO 引脚, 0= 单入单出 (4 线接口 ),1= 双入双出 (5 线接口 ) // 位 7: SPI 字节中的位顺序, 0= 低位在前, 1= 高位在前 // 其它保留, 必须为 0 CH341StreamI2C( // 处理两线串口的数据流, 适用于所有两线串口的设备 ULONG iwritelength, // 准备写出的数据字节数 PVOID iwritebuffer, // 指向缓冲区, 放置准备写出的数据, 首字节是设备地址及读写位 ULONG ireadlength, // 准备读取的数据字节数 PVOID oreadbuffer ); // 指向缓冲区, 返回后是读入的数据对两线串口设备进行操作 例如, 从 24C256 中 3200H 开始的地址读出 256 字节的数据 : UCHAR OutBuf[5], InBuf[300]; // 待写数据缓冲区, 读出数据缓冲区 OutBuf[0]=0xA1; OutBuf[1]=0x32; OutBuf[2]=0x00; // 待写数据 : 设备地址及单元地址 CH341StreamI2C( 0, 3, OutBuf, 256, InBuf ); // 针对 0# 设备处理两线串口的数据流 CH341ReadEEPROM( // 从 EEPROM 中读取数据块, 速度约 56K 字节 EEPROM_TYPE ieepromid, // 指定 EEPROM 型号 ULONG iaddr, // 指定数据单元的地址 ULONG ilength, // 准备读取的数据字节数 PUCHAR obuffer ); // 指向一个缓冲区, 返回后是读入的数据读写 EEPROM 的 API 支持从 24C01 到 24C16 和从 24C32 到 24C4096 的各种型号的 EEPROM 存储器 CH341WriteEEPROM( // 向 EEPROM 中写入数据块 EEPROM_TYPE ieepromid, // 指定 EEPROM 型号 ULONG iaddr, // 指定数据单元的地址 ULONG ilength, // 准备写出的数据字节数 PUCHAR ibuffer ); // 指向一个缓冲区, 放置准备写出的数据 CH341StreamSPI4( // 处理 SPI 数据流,4 线接口, 速度约 68K 字节 // SPI 时序 : DCK 时钟输出, 默认为低, DOUT 在时钟上升沿之前输出, DIN 在时钟下降沿之后输入 ULONG ichipselect, // 片选控制, 位 7 为 0 则忽略片选控制, 位 7 为 1 则参数有效 ULONG ilength, // 准备传输的数据字节数

8 CH341 中文手册 ( 二 ) 8 PVOID iobuffer ); // 缓冲区, 放置准备从 DOUT 写出的数据, 返回后是从 DIN 读入的数据 有关 DLL 中各个 API 的使用实例请参考 CH341 评估板资料中的各个源程序及例子 4 参数 4.1. MEM 方式时序参数 ( 测试条件 :TA=25, 参考附图前半部分 ) 名称参数说明最小值典型值最大值单位 TWMEM 写选通 WR# 的低电平有效宽度 ns TRMEM 读选通 RD# 的低电平有效宽度 ns TAS WR# 或 RD# 有效前的地址建立时间 80 ns TAH WR# 或 RD# 有效后的地址保持时间 230 ns TDS WR# 有效前的数据输出建立时间 80 ns TDH WR# 有效后的数据输出保持时间 300 ns TIS RD# 无效前的数据输入建立时间 170 ns TIH RD# 无效后的数据输入保持时间 0 ns MEM EPP 4.2. EPP 方式时序参数 ( 测试条件 :TA=25, 参考附图后半部分 ) 名称参数说明最小值典型值最大值单位 TWEPPD 数据写操作的选通的低电平有效宽度 ns TWEPPA 地址写操作的选通的低电平有效宽度 ns TREPPD 数据读操作的选通的低电平有效宽度 ns TREPPA 地址读操作的选通的低电平有效宽度 ns TXS 选通有效前的方向 WR# 建立时间 500 ns TXH 选通有效后的方向 WR# 保持时间 150 ns TDS 选通有效前的数据输出建立时间 80 ns TDH 选通有效后的数据输出保持时间 220 ns

9 CH341 中文手册 ( 二 ) 9 TIS 选通无效前的数据输入建立时间 170 ns TIH 选通无效后的数据输入保持时间 0 ns 4.3. BUS 方式时序参数 ( 测试条件 :TA=25, 参考下图, 数值单位为 ns) 5 应用 5.1. 基本连接 ( 下图 ) P4 是 USB 端口,USB 总线包括一对 5V 电源线和一对数据信号线, 通常,+5V 电源线是红色, 接地线是黑色,D+ 信号线是绿色,D- 信号线是白色 USB 总线提供的电源电流最大可以达到 500mA, 一般情况下,CH341 芯片和低功耗的 USB 产品可以直接使用 USB 总线提供的 5V 电源 如果 USB 产品通过其它供电方式提供常备电源, 那么 CH341 也应该使用该常备电源, 如果需要同时使用 USB 总线的电源, 那么可以通过阻值约为 1Ω 的电阻连接 USB 总线的 5V 电源线与 USB 产品的 5V 常备电源, 并且两者的接地线直接相连接 电容 C18 用于 CH341 内部电源节点退耦,C18 是容量为 0.01μF 的独石或高频瓷片电容 电容 C19 和 C20 用于外部电源退耦,C19 是容量为 0.1μF 的独石或高频瓷片电容 晶体 X4 电容 C16 和 C17 用于时钟振荡电路 X4 的频率是 12MHz,C16 和 C17 是容量为 15pF~30pF 的独石或高频瓷片电容 在设计印刷线路板 PCB 时, 需要注意 : 退耦电容 C18 和 C19 尽量靠近 CH341 的相连引脚 ; 使 D+ 和 D- 信号线贴近平行布线, 尽量在两侧提供地线或者覆铜, 减少来自外界的信号干扰 ; 尽量缩短 XI 和 XO 引脚相关信号线的长度, 为了减少高频干扰, 可以在相关元器件周边环绕地线或者覆铜 图中 SDA 引脚直接接地, 所以 CH341 工作于并口方式

10 CH341 中文手册 ( 二 ) EPP 并口方式应用 ( 下图 ) 图中使用非门以及或门进行译码, 产生较多的控制信号, 如果实际应用只需要较少的输入和输出, 那么可以省去这些译码, 而直接使用 AS# DS# 等控制信号进行简单的实现 5.3. MEM 并口方式应用 ( 下图 ) 图中尚未用到 A0 引脚 (AS# 引脚 ), 可以实现 8 位数字信号输入和 8 位数字信号输出 如果使用 A0 控制 74LS139 分别对 -READ 和 -WRITE 进行地址片选, 那么 CH341 可以连接两组 74LS244+74LS273, 从而实现 16 位数字信号输入和 16 位数字信号输出

11 CH341 中文手册 ( 二 ) 两线同步串口应用 ( 下图 ) 2 线同步串口支持多个设备的地址识别, 采用数据流方式读写数据, 支持一次读写较大的数据块 CH341 的两线串口支持 20KHz/100KHz/400KHz/750KHz 的速度, 与具有硬件两线串口的设备连接时可以选择较高的速度, 与软件模拟两线串口的单片机连接时只能选择较低的速度 ( 例如 20KHz) 图中使用电阻 R4 强制 ACT# 引脚在 CH341 功能配置期间为低电平, 从而禁止 CH341 配置时访问 2 线同步串口而影响总线上的其它设备, 如果不需要 LED 显示, 那么可以省去电阻 R3 和发光管 L2, 并且可以将 R4 接 RST# 端改为接 GND 5.5. BUS 并口方式应用 ( 下图 ) 图中用 ALE 控制 U23 锁存得到 7 位地址 A0-A6, 可以用于驱动存储器或再次译码产生多个片选 5.6. 数字输入输出 ( 下图 ) 如果只需要少于 8 个的输入输出引脚, 那么还可以省掉外部的各种 74LS 器件, 直接使用 CH341 的 D7~D0 以及其它引脚, 通过调用 DLL 中的 CH341Set_D5_D0 等 API 实现简单的输入输出

CH340DS1.DOC

CH340DS1.DOC CH340 中文手册 ( 一 ) 1 1 概述 USB 转串口芯片 CH340 中文手册版本 :1E http://wch.cn CH340 是一个 USB 总线的转接芯片, 实现 USB 转串口 USB 转 IrDA 红外或者 USB 转打印口 在串口方式下,CH340 提供常用的 MODEM 联络信号, 用于为计算机扩展异步串口, 或者将普通的串口设备直接升级到 USB 总线 有关 USB 转打印口的说明请参考手册

More information

CH341DS1.DOC

CH341DS1.DOC CH341 中文手册 ( 一 ) 1 1 概述 USB 总线转接芯片 CH341 中文手册版本 :2D http://wch.cn CH341 是一个 USB 总线的转接芯片, 通过 USB 总线提供异步串口 打印口 并口以及常用的 2 线和 4 线等同步串行接口 在异步串口方式下,CH341 提供串口发送使能 串口接收就绪等交互式的速率控制信号以及常用的 MODEM 联络信号, 用于为计算机扩展异步串口,

More information

CH374DS1.DOC

CH374DS1.DOC CH374 中文手册 ( 一 ) 1 1 概述 USB 总线接口芯片 CH374 中文手册 ( 一 ) 版本 :2A http://wch.cn CH374 是一个 USB 总线的通用接口芯片, 支持 USB-HOST 主机方式和 USB-DEVICE/SLAVE 设备方式, 内置 3 端口 HUB 根集线器, 支持低速和全速的控制传输 批量传输 中断传输以及同步 / 等时传输 在本地端,CH374

More information

CH9325DS

CH9325DS CH9328 中文手册 1 1 概述 串口转 HID 键盘芯片 CH9328 中文手册版本 :V1.4 http://wch.cn CH9328 是一款串口转 HID 键盘芯片, 在电脑上识别为标准的 USB HID 类键盘设备 CH9328 用于单向数据传输, 可以接收串口发送过来的数据 ( 如 ASCII 码 ), 并按照 HID 类键盘设备规范, 将数据打包成标准的键盘码值通过 USB 口上传给计算机

More information

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63>

<4D F736F F D20B5DAC8FDCBC4D5C2D7F7D2B5B4F0B0B82E646F63> 第三章 Q3 1 1. 省略了 I/O 操作的复杂逻辑, 易实现, 耗费低 ; 2. 可以利用丰富的内存寻址模式实现灵活的 I/O 操作 Q3 2 假设存储单元 ds1 处寄存器地址为 0x2000, 代码如下 #define ds1 0x2000 while ( *ds1 == 0 ) ; Q3 3 假设设备 (dev1) 中有两个寄存器 ds1 和 dd1,dev1 的地址为 0x1000,ds1

More information

CH340DS1.DOC

CH340DS1.DOC CH340 手册 ( 一 ) 1 1 概述 USB 转串口芯片 CH340 手册版本 :2B http://wch.cn CH340 是一个 USB 总线的转接芯片, 实现 USB 转串口或者 USB 转打印口 在串口方式下,CH340 提供常用的 MODEM 联络信号, 用于为计算机扩展异步串口, 或者将普通的串口设备直接升级到 USB 总线 有关 USB 转打印口的说明请参考手册 ( 二 )CH340DS2

More information

CH372DS1.DOC

CH372DS1.DOC CH372 中文手册 ( 一 ) 1 1 概述 USB 总线接口芯片 CH372 中文手册版本 :3D http://wch.cn CH372 是一个 USB 总线的通用设备接口芯片, 是 CH371 的升级产品, 是 CH375 芯片的功能简化版 在本地端,CH372 具有 8 位数据总线和读 写 片选控制线以及中断输出, 可以方便地挂接到单片机 /DSP/MCU/MPU 等控制器的系统总线上 ;

More information

CH432DS1.DOC

CH432DS1.DOC CH432 中文手册 ( 一 ) 1 1 概述 双串口芯片 CH432 中文手册版本 :1A http://wch.cn CH432 是双 UART 芯片, 包含两个兼容 16C550 的异步串口, 支持半双工收发自动切换和 IrDA 红外编解码, 支持最高 4Mbps 的通讯波特率, 可以用于单片机 / 嵌入式系统的 RS232 串口扩展 带自动硬件速率控制的高速串口 RS485 通讯 IrDA

More information

fgfdg

fgfdg CYGNAL 应用笔记 AN006 Cygnal C8051 IDT71V124 128Kx8 SRAM A[16:8] 地址总线 A[16:8] 74VHC573 8 位锁存器 ALE LE AD[7:0] 地址 / 数据总线 D Q A[7:0] I/O[7:0] CS CS /WR WE /RD OE 引言 图 1. 外部 SRAM 接口框图 本应用笔记的目的是介绍如何将一个通用 SRAM 芯片或一个按存储器寻址的外设部件与

More information

<4D F736F F F696E74202D20B5DA35D5C2CEA2B4A6C0EDC6F7B9A4D7F7D4ADC0ED2E707074>

<4D F736F F F696E74202D20B5DA35D5C2CEA2B4A6C0EDC6F7B9A4D7F7D4ADC0ED2E707074> 第 5 章 微处理器工作原理 1 5.1 8086 处理器 2 1. 管脚定义 3 8086/88 管脚描述 8086:16 位微处理器, 16 位外部数据总线 8088:16 位微处理器, 8 位外部数据总线 GND AD14 AD13 AD12 AD11 AD10 AD9 AD8 AD7 AD6 AD5 AD4 AD3 AD2 AD1 AD0 NMI INTR CLK GND 1 2 3 4 5

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

第 卷 第 期 年 月 半 导 体 学 报! " # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% )

第 卷 第 期 年 月 半 导 体 学 报!  # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% ) 第 卷 第 期 年 月!"# $%&'%' $!&'#%#$1/#1 $'! /18103 2?/03101?/18103 /3 0,?/0301.13 )*+!!! '!,!! -.&' $!'! 4%%&1)/1(7%&)03(%)%&,%*(1&0)%$-0*,%30)17*1*)0(+1(1+&1*+*),)1; &113(%44(10&.0701&0-&00*/)%;()1%-1+%&0)0*1*)%

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

KPCI KPCI-815 TEL: Fax:

KPCI KPCI-815 TEL: Fax: KPCI-815 1 KPCI-815 Ver 2.0 TEL:010-62527213625272146264370562528727 Fax:010-62657424 KPCI-815 2 1 2 KPCI-815 TEL:010-62527213625272146264370562528727 Fax:010-62657424 KPCI-815 3 KPCI-815 KPCI-815 PCI

More information

CH365DS1.DOC

CH365DS1.DOC CH365 中文手册 ( 一 ) 1 1 概述 PCI 总线接口芯片 CH365 中文手册版本 :1D http://wch.cn CH365 是一个连接 PCI 总线的通用接口芯片, 支持 I/O 端口映射 存储器映射 扩展 ROM 以及中断 CH365 将 32 位高速 PCI 总线转换为简便易用的类似于 ISA 总线的 8 位主动并行接口, 用于制作低成本的基于 PCI 总线的计算机板卡, 以及将原先基于

More information

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File 51 C 51 51 C C C C C C * 2003-3-30 pnzwzw@163.com C C C C KEIL uvision2 MCS51 PLM C VC++ 51 KEIL51 KEIL51 KEIL51 KEIL 2K DEMO C KEIL KEIL51 P 1 1 1 1-1 - 1 Project New Project 1 2 Windows 1 3 N C test

More information

手册 doc

手册 doc 1. 2. 3. 3.1 3.2 3.3 SD 3.4 3.5 SD 3.6 3.7 4. 4.1 4.2 4.3 SD 4.4 5. 5.1 5.2 5.3 SD 6. 1. 1~3 ( ) 320x240~704x288 66 (2G SD 320x2401FPS ) 32M~2G SD SD SD SD 24V DC 3W( ) -10~70 10~90% 154x44x144mm 2. DVR106

More information

xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结!

xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结! xilinx FPGA 串口设计笔记 在设计中, 需要用 FPGA 读取 GPS 内部的信息,GPS 的通信方式为串口, 所以在 FPGA 中移植了串口程序 本次移植的程序源代码是特权的串口程序, 本以为移植应该很快就能完成, 但其中还是出了一写小问题, 耽误了不少的时间, 下面将问题进行一个总结! 以下是串口的时序 : 在设计中, 耽误时间最长的问题就是数据老是出错, 为了找出问题的所在, 用示波器观察了

More information

untitled

untitled EDM12864-03 : 25-1 : 116600 : (0411)7612956 7632020 7612955 : (0411)7612958 Model No.: Editor: 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小由外接电阻来调整 封装图 SSOP24L-0.635-D1.40 (mm) ( SM16125ES ) 特点 16 通道恒流源输出 电流输出大小不因输出端负载电压变化而变化

More information

帝国CMS下在PHP文件中调用数据库类执行SQL语句实例

帝国CMS下在PHP文件中调用数据库类执行SQL语句实例 帝国 CMS 下在 PHP 文件中调用数据库类执行 SQL 语句实例 这篇文章主要介绍了帝国 CMS 下在 PHP 文件中调用数据库类执行 SQL 语句实例, 本文还详细介绍了帝国 CMS 数据库类中的一些常用方法, 需要的朋友可以参考下 例 1: 连接 MYSQL 数据库例子 (a.php)

More information

杭州言曼科技有限公司 CB01 子卡说明文档 ( 对外发布 ) CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别 CB01 标配版本和低成本区别 CB01 结构信息

杭州言曼科技有限公司 CB01 子卡说明文档 ( 对外发布 )   CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别 CB01 标配版本和低成本区别 CB01 结构信息 CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别... 2 2. CB01 标配版本和低成本区别... 2 3. CB01 结构信息... 3 4. CB01 功耗信息... 3 5. LED 显示... 3 6. 按键... 4 7. 拨码开关... 4 8. USB 串口 - 型号 :CP2102... 4 9. 输入时钟... 4 10. I2C

More information

PowerPoint Presentation

PowerPoint Presentation 7.2 并行接口 二. 可编程并行接口芯片 8255A 的功能及其应用 与 CPU 一侧相连的引脚 D0 PA0 ~ ~ ~ ~ ~ D7 PA7 RESET PC7 WR RD PC4 PC3 PC0 A0 PB0 A1 PB7 CS PA 口 PC 口上半部 PC 口下半部 PB 口 A 组 B 组 与 I/O 设备相连的引脚 7.2 并行接口二. 可编程并行接口芯片 8255A 的功能及其应用

More information

KPCI KPCI-815

KPCI KPCI-815 KPCI-815 1 KPCI-815 Ver 2.0 KPCI-815 2 1 2 KPCI-815 KPCI-815 3 KPCI-815 KPCI-815 PCI 8 KPCI-815 I/O 37 D L H L 12 H 16 2.1 PCI 32 33MHz 132MBS 2.2 32 / 16 0V~5V0V~10V*5V10V 10M 100K A/D A/D / 0.2%FRS 2.3

More information

<4D6963726F736F667420576F7264202D20D4D3D6BECEC4B8E5C4A3B0E52E646F63>

<4D6963726F736F667420576F7264202D20D4D3D6BECEC4B8E5C4A3B0E52E646F63> 1/8 文 / 杜 洋 自 从 我 的 爱 上 单 片 机 一 书 问 世, 爱 上 一 词 就 在 电 子 制 作 的 圈 子 里 小 火 了 一 把 随 后 又 出 现 了 爱 上 制 作 爱 上 Arduino, 还 有 一 大 堆 类 似 名 字 的 出 版 物 虽 然 每 一 本 的 内 容 都 很 精 彩, 但 总 这 么 爱 来 爱 去 的, 是 不 是 有 点 审 美 疲 劳 呢?

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

NAIS-500 AIS B 简体中文 www.bandg.com www.simrad-yachting.com www.lowrance.com Navico NAIS-500 AIS B NAVICO HOLDING Navico Holding AS 2017 Navico Holding AS ¼ / / NAIS-500 1 1 4 4 4 7 AIS B 7 AIS 8 8 9 12

More information

<4D F736F F D203034CAB5D1E9CBC D20B5C4494F20BDD3BFDACAB5D1E92E646F63>

<4D F736F F D203034CAB5D1E9CBC D20B5C4494F20BDD3BFDACAB5D1E92E646F63> 实验四 ARM 的 I/O 接口实验 一 实验目的 1. 了解 S3C44B0X 的通用 I/O 接口 2. 掌握 I/0 功能的复用并熟练的配置, 进行编程实验二 实验设备 1. EL-ARM-830 教学实验箱,PentiumII 以上的 PC 机, 仿真器电缆 2. PC 操作系统 WIN98 或 WIN2000 或 WINXP,ARM SDT2.5 或 ADS1.2 集成开发环境, 仿真器驱动程序

More information

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x 115 AVR W.V. Awdrey ATMEL AVR PIC AVR PIC AVR RISC AVR PIC AVR AVR AVR AVR AVR ATtiny15 AVR AVR AVR RAM ROM 121 116 122 ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r31 3 16 X Y Z 6-1 118 7 0

More information

管脚描述 X1 X KHz 晶振管脚 GND 地 RST 复位脚 I/O 数据输入 / 输出引脚 SCLK 串行时钟 Vcc1,Vcc2 电源供电管脚 订单信息部分 # 描述 DS1302 串行时钟芯片 8 脚 DIP DS1302S 串行时钟芯片 8 脚 SOIC 200mil DS

管脚描述 X1 X KHz 晶振管脚 GND 地 RST 复位脚 I/O 数据输入 / 输出引脚 SCLK 串行时钟 Vcc1,Vcc2 电源供电管脚 订单信息部分 # 描述 DS1302 串行时钟芯片 8 脚 DIP DS1302S 串行时钟芯片 8 脚 SOIC 200mil DS DS1302 涓流充电时钟保持芯片的原理与应用 摘要本文概括介绍了 DS1302 时钟芯片的特点和基本组成通过实例详细说明了有关功能的应用软件关于 DS1302 各寄存器的详细位控功能请参考 DALLAS 达拉斯公司的相应产品资料 概述 DS1302 是 DALLAS 公司推出的涓流充电时钟芯片 内含有一个实时时钟 / 日历和 31 字节静态 RAM 通过简 单的串行接口与单片机进行通信 实时时钟

More information

I Q = M A C A 100 6 100 120 = 11 100 = 110 5 10 1 1 2 3 4 5 2 1 2 3 4 5 3 1 2 3 4 5 4 1 2 3 4 5 5 1 2 3 4 5 6 1 2 3 4 5 7 1 2 3 4 5 8 1 2 3 4 5 9 1 2 3 4 5 10 1 2 3 4 5 11 1 2 3 4 5 12 1 2 3 4

More information

Huawei Technologies Co

Huawei Technologies Co Testbench Preliminary itator 1 TESTBENCH... 3 2 TESTBENCH... 3 2.1 Testbench... 3 2.2... 4 2.2.1 HDL... 4 2.2.2... 5 2.2.3 PLI... 5 2.3... 6 2.4... 6 2.4.1... 6 2.4.2... 7 3 TESTBENCH... 9 3.1 2-4... 9

More information

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg 1 1 a. 0.5V 5V 1Hz 1MHz b. 0.1% 2 : a. 0.5V 5V 1Hz 1MHz b. 0.1% (3) a. 0.5V 5V 100 s b. 1% 4 1 10 5 1MHz 6 1 2 1 0.1Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15

More information

2 12

2 12 SHENZHEN BRILLIANT CRYSTAL TECHNOLOGIC CO.,LTD. The specification for the following models Graphic LCM serial communication control board CB001 PROPOSED BY APPROVED Design Approved TEL:+86-755-29995238

More information

untitled

untitled EDM12832-08 : 25-1 : 116600 : (0411)7612956 7632020 7631122 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

51 C 51 isp 10 C PCB C C C C KEIL

51 C 51 isp 10   C   PCB C C C C KEIL http://wwwispdowncom 51 C " + + " 51 AT89S51 In-System-Programming ISP 10 io 244 CPLD ATMEL PIC CPLD/FPGA ARM9 ISP http://wwwispdowncom/showoneproductasp?productid=15 51 C C C C C ispdown http://wwwispdowncom

More information

93C46串行EEPROM数据读写

93C46串行EEPROM数据读写 一 \ 实验目的 93C46 串行 EEPROM 数据读写 1 学会 93C46 的操作指令, 掌握 93C46 读 / 写程序的编写 2 掌握 93C46 与单片机的接口方式二 实验说明 93C46/56/66 是 1K/2K/4K 位的串行电可擦写的 EPROM 93C46 的 1K 位 EPROM, 可以按 128 字节排列, 也可以 64 字节排列 93C46 芯片有 8 脚, 当 ORG

More information

DPJJX1.DOC

DPJJX1.DOC 8051 111 2K 1 2 3 ' ' 1 CPU RAM ROM / A/D D/A PC CPU 40 68 10 20 8 51 PIII 8051 2 MCS51 8051 8031 89C51 8051 8031 89C51? MCS51 INTEL INTEL 8031 8051 8751 8032 8052 8752 8051 8051 8051 MCS51 8031 8031

More information

概述 ST08B 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 ST08B 和 ST08 相比有更强的抗干扰性和更好的一致性 这个芯片可以工作在低功耗的环境下, 当电源为 5v 时, 工作电流为 220ua,

概述 ST08B 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 ST08B 和 ST08 相比有更强的抗干扰性和更好的一致性 这个芯片可以工作在低功耗的环境下, 当电源为 5v 时, 工作电流为 220ua, Dec 2009 ST08B 8 通道带自校正功能的容性触摸感应器 ( 改进版 ) WWW.ICMAN.CN 2009.12 ST08B Spec 1.7 1 概述 ST08B 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 ST08B 和 ST08 相比有更强的抗干扰性和更好的一致性 这个芯片可以工作在低功耗的环境下,

More information

供充放一体电源及充电电源手册_0_.doc

供充放一体电源及充电电源手册_0_.doc 2010. 05 供充放一体电源及充电电源 适用于铅酸电池 锂电池 镍氢电池 实现不间断供电 采用自动均 / 浮充 恒流 / 恒压 零电流 -ΔV 检测 / 涓充 / 滴充技术 提供各种保护 显示各种工作状态 目录 SCD 供充放一体电源 ( 锂电池系列 )-----------1 SCD 供充放一体电源 ( 铅酸电池系列 )----------3 C 充电电源 ( 镍氢电池系列 )-------------------------5

More information

R/W

R/W (HD44780 KS0066 ) 3 3 5 6 10 14 HD44780/KS0066 16 2 LCM 8 1 40 4 LCD HD44780/KS0066 HD44100 IC PCB 0.1 CMOS 1. 2. 3. 4. 5. RH60% 6. 1. 2. 3. PCB 3 4. 5. 6. 1. 280 C 2. 3 4s 3. 4. 5. 3 5 1. 2. IC 3. DC-DC

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

untitled

untitled LCD EDM12864HBSL-ALC-G : 25-1 : 116600 : (0411)7612956 7632020 : (0411)7612958 Model No.: Editor: LCD 1. ----------------------------------------------------3 2. ----------------------------------------------------3

More information

USB_SER.DOC

USB_SER.DOC USB 转串口模块 1 1 概述 USB 转串口的模块说明 版本 : 1C http://wch.cn 用于 USB 转串口的模块根据硬件电路以及接口的不同, 可以细分为 4 种 :S 版 - 模块 T 版 - 模块 R 版 - 模块 C 版 - 模块 具体差别参考下表, 外观照片附后 另外还有几种正式 OEM 产品请参考 CH340 芯片的 PCB 资料, 如 :T9T 版 (USB 转 9 线

More information

Microsoft PowerPoint - vlsi_chapter02

Microsoft PowerPoint - vlsi_chapter02 第 2 章 MOSFET 逻辑设计 本章目录 2.1 理想开关 2.2 MOSFET 开关 2.3 基本的 CMOS 逻辑门 2.4 CMOS 复合逻辑门 2.5 传输门电路 2.6 时钟控制和数据流控制 2017-9-7 第 2 章 MOSFET 逻辑设计 1 2.1 理想开关 1 高电平有效的控制开关 2 低电平有效的控制开关 y = x? 2017-9-7 第 2 章 MOSFET 逻辑设计

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

C++ 程序设计 告别 OJ1 - 参考答案 MASTER 2019 年 5 月 3 日 1

C++ 程序设计 告别 OJ1 - 参考答案 MASTER 2019 年 5 月 3 日 1 C++ 程序设计 告别 OJ1 - 参考答案 MASTER 2019 年 月 3 日 1 1 INPUTOUTPUT 1 InputOutput 题目描述 用 cin 输入你的姓名 ( 没有空格 ) 和年龄 ( 整数 ), 并用 cout 输出 输入输出符合以下范例 输入 master 999 输出 I am master, 999 years old. 注意 "," 后面有一个空格,"." 结束,

More information

Microsoft Word - LMB402CBC-AppNote-V0.1.doc

Microsoft Word - LMB402CBC-AppNote-V0.1.doc LMB402CBC 液晶显示模块应用参考 深圳市拓普微科技开发有限公司 版本描述日期编者 0.1 新版本 2006-02-09 杨军 http://www.topwaysz.com 1 / 1 目录 1 概述 3 2 硬件接口 3 3 软件特性 3 3.1 控制器接口信号说明 3 3.2 模块指令系统 4 4 显示数据存储器的地址 4 5 字符发生器 CGRAM 的地址 5 6 应用举例 5 6.1

More information

查询 AD0809 供应商 捷多邦, 专业 PCB 打样工厂,24 小时加急出货 51 测试网论坛 单片机征文大赛稿件, 非本站以及作者 同意禁止转载, 欢迎会员投稿! 欢迎投票评论! 中国. 深圳.51 测试网

查询 AD0809 供应商 捷多邦, 专业 PCB 打样工厂,24 小时加急出货 51 测试网论坛   单片机征文大赛稿件, 非本站以及作者 同意禁止转载, 欢迎会员投稿! 欢迎投票评论! 中国. 深圳.51 测试网 查询 AD0809 供应商 捷多邦, 专业 PCB 打样工厂,24 小时加急出货 51 测试网论坛 http://www.8951.com/bbs/index.asp 单片机征文大赛稿件, 非本站以及作者 同意禁止转载, 欢迎会员投稿! 欢迎投票评论! 中国. 深圳.51 测试网 www.8951.com AD0809 在 51 单片机中的应用 51 测试网论坛版主 / 黄海我们在做一个单片机系统时,

More information

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi MICROCHIP EVM Board : APP001 1-1. APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pin 16 I/O Extension Interface 1-2. APP001 Block_A Block_B

More information

エスポラージュ株式会社 住所 : 東京都江東区大島 東急ドエルアルス大島 HP: ******************* * 关于 Java 测试试题 ******

エスポラージュ株式会社 住所 : 東京都江東区大島 東急ドエルアルス大島 HP:  ******************* * 关于 Java 测试试题 ****** ******************* * 关于 Java 测试试题 ******************* 問 1 运行下面的程序, 选出一个正确的运行结果 public class Sample { public static void main(string[] args) { int[] test = { 1, 2, 3, 4, 5 ; for(int i = 1 ; i System.out.print(test[i]);

More information

ISO h.PDF

ISO h.PDF 1 2 ID-1 IC IC 2 GB/T 14916 1994 - GB/T 16649.1 1996 - - 1 : GB/T 16649.2 1996 - - 2 : GB/T 16649.3 1996 - - 3 : ISO/IEC 7816 4 1995 - - 4 : ISO/IEC 7816 5 199 5 - - 5 :. 3 i 3 4 5 data cold reset 6 4

More information

untitled

untitled XZL024 http://item.taobao.com/item.htm?id=6321822194 1 1 1.1 1.2 1.3 1.4 2 2.1 2.2 2.3 3 USBee Suite 3.1 3.2 3.3 3.4 4 RS232 RS485 RS422 CAN http://item.taobao.com/item.htm?id=6321822194 2 1 XZL024 PC

More information

一:概述

一:概述 EDM12864A 图形点阵式液晶显示器模块原理与应用手册 大连东福彩色液晶显示器有限公司 一 概述 EDM12864A 液晶显示器模块是全屏幕图形点阵式液晶显示器组件, 由控制器 驱动器和全点阵液晶显示器组成 可完成图形显示, 也可以显示汉字 (4 8 个 16 16 点阵汉字 ); 与 CPU 接口是 8 位数据线和几条地址线, 而不用另外加控制器 ( 如 HD61830 等 ), 另外 3 条电源线供芯片和

More information

i

i 可 编 程 控 制 器 FP0 模 拟 I/0 单 元 用 户 手 册 适 用 机 种 : FP0-A21(AFP0480) FP0 模 拟 I/0 单 元 用 户 手 册 ARCT1F390C '07 年 4 月 http://www.mew.co.jp/ac/c 安 全 注 意 事 项 为 防 止 受 伤 事 故, 请 务 必 遵 守 以 下 事 项 在 安 装 运 行 维 护 保 养 以 及

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

KPCI-840使用说明书

KPCI-840使用说明书 KPCI-840 开关量输入输出卡使用说明书 (Ver 2.2 2011.06.20) 北京科瑞兴业科技有限公司 北京科瑞兴业科技有限公司 地址 : 北京市海淀区知春里 28 号开源商务写字楼 212 213 室 邮政编码 :100086 电话 :010-51650651 010-62527214 传真 :010-62657424 http://www.krxgk.com Sales E-mail:

More information

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC LCD PIC 单片机解决方案 集成 LCD 驱动器模块的 PIC 单片机 www.microchip.com/lcd ,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC,

More information

2

2 1 2 Y J Q CLK CK K Q 3 4 5 6 7 峯峯 8 9 敍 10 11 12 13 VCC D1 R1 R2 To MCU RESET C1 14 15 EA 16 17 18 19 A18 A17 A16 A20 A19 ADDRS A2 A1 A0 Q7 Q6 Q5 Q4 Q3 Q2 Q1 Q0 E3 E2 E1 74LS138 MPWR MPRD Vcc WR RD CS

More information

Microsoft Word - 32

Microsoft Word - 32 * 基 于 Nios II 处 理 器 的 USB 接 口 设 计 * 项 目 基 金 : 获 中 韩 合 作 项 目 Development of Embedded Software and System for Automobile Electronics 的 资 助 ; 重 庆 市 科 技 攻 关 计 划 项 目 面 向 汽 车 ABS 嵌 入 式 系 统 的 专 用 开 发 平 台 及 其

More information

DK 通道带自校正功能的容性触摸感应器 概述 DK712 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 DK712 有更强的抗干扰性和更好的一致性 特点 带自校正功能的 12 通道感应芯片 可以通过

DK 通道带自校正功能的容性触摸感应器 概述 DK712 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 DK712 有更强的抗干扰性和更好的一致性 特点 带自校正功能的 12 通道感应芯片 可以通过 概述 DK712 触摸感应器可以用平均电容值作为基准检测感应点的电容变化 它可以通过任何非导电介质来感应电容变化 这样感应模块就可以很好的跟水和灰尘隔离 DK712 有更强的抗干扰性和更好的一致性 特点 带自校正功能的 12 通道感应芯片 可以通过任何非导电介质感应 按键触摸 通过一个外部电容调整灵敏度 感应按键的 PCB 走线长度不同不会导致灵敏度不同 四位 BCD 码输出 I2C 接口 工作电压范围

More information

KPCI-842使用说明书

KPCI-842使用说明书 KPCI-842 光隔开关量输入卡 用户手册 北京科瑞兴业科技有限公司 北京科瑞兴业科技有限公司 地址 : 北京市海淀区知春里 28 号开源商务写字楼 212 213 室 邮政编码 :100086 电话 :010-51650651 010-62527214 传真 :010-62657424 http://www.krxgk.com Sales E-mail: sgq@krxgk.com Tech Support

More information

Microsoft Word - BL5372_UMAN_V1.1.doc

Microsoft Word - BL5372_UMAN_V1.1.doc 低 功 耗 实 时 时 钟 芯 (RTC)BL5372 用 户 手 册 V1.2 ( 2012.11.12) 上 海 贝 岭 股 份 有 限 公 司 Shanghai Belling Co., Ltd. 1. 概 述 低 功 耗 实 时 时 钟 芯 片 (RTC)BL5372 BL5372 是 一 款 低 功 耗 实 时 时 钟 电 路, 通 过 I 2 C 两 线 接 口 电 路 可 以 与 CPU

More information

KPCI-848使用说明书

KPCI-848使用说明书 KPCI-848 开关量输入输出卡使用说明书 (Ver 2.1 2008.08.20) 北京科瑞兴业科技有限公司 北京科瑞兴业科技有限公司 地址 : 北京市海淀区知春里 28 号开源商务写字楼 212/213 室 邮政编码 :100086 电话 :010-51650651 010-62527214 传真 :010-62657424 http://www.krxgk.com Sales E-mail:

More information

D/A DAC ( 1us) (10~20 ) DAC0832 1

D/A DAC ( 1us) (10~20 ) DAC0832 1 D/A DAC0832 8 ( 1us) (10~20 ) DAC0832 1 1. 20 DI7~DI0 ILE 8 8 DAC 8 D/A LE LE & RFB VREF IOUT2 IOUT1 RFB CS WR1 XFER WR2 & & AGND VCC DGND 2 DI7~DI0 ILE & 8 LE 8 DAC LE 8 D/A RFB V REF IOUT2 IOUT1 R FB

More information

XNS104 产品说明书 AD 型 2.4G 高速无线收发单片机概述 2.4GHz 高速无线收发单片机 XNS104 是一个带 12 位 ADC, 以 OTP 为程序存储基础, 带 2.4G 高速无线收发芯片的单片机 无线收发单元工作在 GHz 世界通用 ISM 频段, 它集

XNS104 产品说明书 AD 型 2.4G 高速无线收发单片机概述 2.4GHz 高速无线收发单片机 XNS104 是一个带 12 位 ADC, 以 OTP 为程序存储基础, 带 2.4G 高速无线收发芯片的单片机 无线收发单元工作在 GHz 世界通用 ISM 频段, 它集 XNS104 产品说明书 AD 型 2.4G 高速无线收发单片机概述 2.4GHz 高速无线收发单片机 XNS104 是一个带 12 位 ADC, 以 OTP 为程序存储基础, 带 2.4G 高速无线收发芯片的单片机 无线收发单元工作在 2.400--2.483GHz 世界通用 ISM 频段, 它集成射频收发通路 频率发生器 晶体振荡器 调制解调器等功能模块, 并且支持一对多组网和带 ACK 的通信模式

More information

产品说明书

产品说明书 » RK VX3464 3U VPX IO 通讯板 «使用说明书 RK VX3464 3U VPX IO 通讯板 - 1 - 目录 1. 概述... - 3-2. 主要技术指标... - 3-2.1 功能指标... - 3-2.2 使用环境条件... - 5-2.2.1 储存温度... - 5-2.2.2 工作温度... - 5-3. 工作原理... - 5-4. 寄存器使用说明... - 5-4.1

More information

Microsoft Word - cat1163.doc

Microsoft Word - cat1163.doc 带 I 2 C 串行 CMOS EEPROM, 精密复位控制器和看门狗定时器的监 控电路 -CAT1163(16k) 特性 看门狗定时器输入 (WDI) 兼容 400KHz 的 I 2 C 总线 操作电压范围为 2.7V~6.0V 低功耗 CMOS 技术 16 字节的页写缓冲区 内置误写保护电路 Vcc 锁定 写保护管脚 WP 复位高电平或低电平有效 精确的电源电压监控 支持 5V,3.3V 和 3V

More information

ABB Drives RTAC-0 RTAC-0 3ABD 0000980 REV A CN Based on: 3AFE 64486853 REV A EN PDM: 30005749 00 5 00 ABB RTAC-0! RTAC-0 iii iv RTAC-0 ......................................................... iii..................................................iii..................................................

More information

F515_CS_Book.book

F515_CS_Book.book /USB , ( ) / L R 1 > > > 2, / 3 L 1 > > > 2 + - 3, 4 L 1 了解显示屏上显示的图标 Wap 信箱收到一条 Wap push 信息 ( ) GSM 手机已连接到 GSM 网络 指示条越多, 接收质量越好 2 ...........................4.............................. 4 Micro SD (

More information

引脚名称 QFN(4 4)-24L SSOP-24L ( 引脚间距 / 1.0) SOP-24L ( 引脚间距 1.27) 引脚描述 管脚号 管脚名称功能 QFN-24 SSOP-24/SOP-24L 1 4 LAT 数据锁存输入端 ( 低电平有效 ) 2 5 OUT0 3 6 OUT1

引脚名称 QFN(4 4)-24L SSOP-24L ( 引脚间距 / 1.0) SOP-24L ( 引脚间距 1.27) 引脚描述 管脚号 管脚名称功能 QFN-24 SSOP-24/SOP-24L 1 4 LAT 数据锁存输入端 ( 低电平有效 ) 2 5 OUT0 3 6 OUT1 D5056 带预充电管的 16 位 LED 控制器 D5056 是一款专用于大屏幕 LED 低压差 高精度带预充电管的 16 位恒流驱动芯片 内含高精度电流驱动电路 16 位移位寄存器 锁存器 温度补偿电路等 D5056 内置带预充电管, 可以解决列消隐问题 再配上我司解决行消隐的 D4973,LED 屏幕消隐问题可完美解决, 大大提高刷新率 D5056 可接受外部 CPU 编程控制信号, 分别控制

More information

103 USB C#.NET VB6 100 USB USB (Open Source) LibUsbDotNet USB Library.NET LibUsbDotNet Visual Basic.NET 2010 Express VB.NET 劉政鑫 王嘉鴻 i

103 USB C#.NET VB6 100 USB USB (Open Source) LibUsbDotNet USB Library.NET LibUsbDotNet Visual Basic.NET 2010 Express VB.NET 劉政鑫 王嘉鴻 i 103 USB C#.NET VB6 100 USB 102 7 15 USB (Open Source) LibUsbDotNet USB Library.NET LibUsbDotNet Visual Basic.NET 2010 Express VB.NET 劉政鑫 王嘉鴻 i LibUsbDotNet LibUsbDotNet USB.NET VB2010 VB6 Visual Basic

More information

展 望 与 述 评 2 广 电 设 备 与 技 术 2013.2

展 望 与 述 评 2 广 电 设 备 与 技 术 2013.2 展 望 与 述 评 2013.2 广 电 设 备 与 技 术 1 展 望 与 述 评 2 广 电 设 备 与 技 术 2013.2 展 望 与 述 评 2013.2 广 电 设 备 与 技 术 3 展 望 与 述 评 4 广 电 设 备 与 技 术 2013.2 展 望 与 述 评 2013.2 广 电 设 备 与 技 术 5 展 望 与 述 评 骆 萧 萧 卜 筱 皛 本 文 讨 论 了 在 非

More information

上海高通半导体有限公司 GT20L16J1Y 标准点阵汉字库芯片 GT20L16J1Y 标准点阵汉字库芯片 V

上海高通半导体有限公司 GT20L16J1Y 标准点阵汉字库芯片 GT20L16J1Y 标准点阵汉字库芯片 V GT20L16J1Y 标准点阵汉字库芯片 V1.1 2015-5 1 版本修订记录 版本号修改内容日期备注 V2.0I_A Datasheet 格式的修改 2010-07 V2.0I_B Datasheet 格式的修改 2015-05 2 目 录 1 概述... 4 1.1 1.2 1.3 芯片特点... 4 芯片内容... 5 字型样张... 6 2 操作指令... 8 2.1 Instruction

More information

技 术 文 件

技  术  文  件 技术文件 技术文件名称 :IAlert 接口使用说明 技术文件编号 : 版 本 :V1.0 共页 ( 包括封面 ) 拟制 审核 会签 标准化 批准 中兴通讯股份有限公司 XX 软件模块详细设计说明 版本号 修改记录 文件编号 版本号 拟制人 / 修改人 拟制 / 修改日期 1 V1.0 胡曦 2005-08-12 新建 更改理由 主要更改内容 ( 写要点即可 ) 注 1: 每次更改归档文件 ( 指归档到事业部或公司档案室的文件

More information

1 概述 DL5581 是一个单片 CMOS 计算器电路, 可实现 10 位 12 位或 14 位运算和存储, 翻查, 税率计算, 汇率计算, 百分比运算,MU 运算等功能 具有自动断电功能 由 1.5V 电源或由太阳能电池供电, 具有工作电压范围宽及功耗低等特点 其特点如下 : 计算过程翻查验算功能邦定 OPTION 可选择 80 步 99 步 105 步 112 步 定点 (0,1,2,3,4,

More information

8S E600Y 0773-CE

8S E600Y 0773-CE 控制面板及侧 背面端子 液晶电视快速操作引导 液晶电视快速操作引导 控制面 板 按键介 绍 控制面板按键及侧面端子介绍 感光头 红外接收器 指示灯 电源 开 关 按键 频 道- 频 道+ 音 量- 音 量+ 频道选择键 音量控制键 菜单 确定 返 回/主 页 确定键 返回/主页键 菜单键 背面端 子 介绍 USB1 光纤音频 输出 USB2 USB3 SD卡 SD卡槽 CA卡 V 注:当您使用非本机配送的重低音音箱连接本机

More information

目 录

目 录 1 Quick51...1 1.1 SmartSOPC Quick51...1 1.2 Quick51...1 1.3 Quick51...2 2 Keil C51 Quick51...4 2.1 Keil C51...4 2.2 Keil C51...4 2.3 1 Keil C51...4 2.4 Flash Magic...9 2.5 ISP...9 2.6...10 2.7 Keil C51...12

More information

2 PIC PIC 1 / CPU PIC MCU PIC RC

2 PIC PIC 1 / CPU PIC MCU PIC RC 2 PIC PIC 1 /... 2-2 2... 2-3 3... 2-4 4... 2-4 5... 2-4 6 CPU... 2-5 7 PIC MCU... 2-6 8 PIC16... 2-6 9... 2-7 10... 2-7 11 RC... 2-7 12... 2-8 13... 2-8 14 NOP... 2-9 15 PMD... 2-9 16... 2-10 17 WDTWDT...

More information

DS28CM00 data sheet

DS28CM00 data sheet DS28CM00 I 2 C/SMBus 硅序列号 www.maxim-ic.com.cn 说明 DS28CM00 以极低成本提供一个电子注册号, 具有唯一的识别码, 可通过工业标准的 I 2 C 和 SMBus 总线接口访问 注册号是由工厂光刻的 64 位 ROM 码, 其中包括 48 位序列号 8 位 CRC 和 8 位家族吗 (70h) 在 SMBus 模式下,DS28CM00 在检测到总线故障时复位总线

More information

JLX

JLX PRODUCT:LCD MODULE. Model No.: JLX177-006 Product Type: 1.77 inch QVGA TFT Modoule. 产品规格书 晶联讯研发研发部 : Written By Checked By Approved By 客户名称 : 结构电子核准 地址 : 深圳市宝安区西乡宝安大道东华工业区 A3 栋 6 楼电话 :0755-29784961 Http://www.jlxlcd.cn

More information

建 檔 品 名 供 應 商 名 稱 ( 供 應 商 ) 製 造 商 ( 生 產 工 廠 ) 製 造 商 電 話 製 造 商 地 址 阿 發 師 極 品 佛 跳 牆 二 十 一 世 紀 生 活 事 業 股 份 有 限 公 司 A-189627033-00000-6 樂 山 股 份 有 限 公 司 H-122233952-00001-4 03-479-3113 桃 園 市 龍 潭 區 八 德 里 八 張

More information

; Rev 0; 5/12 MAX44000PMB1 外设模块 概述 特性 MAX44000PMB1 外设模块可将 MAX44000 环境光传感器和红外接近检测传感器连至任何采用 Pmod 兼容扩展端口并可配置成 I 2 C 通信的系统 MAX44000 集成了宽动态范围环境光传感器和

; Rev 0; 5/12 MAX44000PMB1 外设模块 概述 特性 MAX44000PMB1 外设模块可将 MAX44000 环境光传感器和红外接近检测传感器连至任何采用 Pmod 兼容扩展端口并可配置成 I 2 C 通信的系统 MAX44000 集成了宽动态范围环境光传感器和 19-6335; Rev 0; 5/12 概述 特性 可将 MAX44000 环境光传感器和红外接近检测传感器连至任何采用 Pmod 兼容扩展端口并可配置成 I 2 C 通信的系统 MAX44000 集成了宽动态范围环境光传感器和红外 (IR) 接近检测传感器, 片上环境光传感器能够测量 0.03 lux 至 65,535 lux 较宽的动态范围 ; 内部 IR 接近检测传感器与集成 IR LED

More information

ICN2028

ICN2028 概述 DP5220B 是一款专为 LED 显示屏设计的驱动 IC, 采用 16 路恒流灌电流输出 DP5220B 集成了 Noise Free TM 技术, 具有极佳的抗干扰特性, 使恒流及低灰效果不受 PCB 板的影响 并可选用不同的外挂电阻对输出级电流大小进行调节, 精确控制 LED 的发光亮度 DP5220B 在显示过程中 (OE=0) 会缓存 16bit 显示数据, 所以系统在 DP5220B

More information

, 7, Windows,,,, : ,,,, ;,, ( CIP) /,,. : ;, ( 21 ) ISBN : -. TP CIP ( 2005) 1

, 7, Windows,,,, : ,,,, ;,, ( CIP) /,,. : ;, ( 21 ) ISBN : -. TP CIP ( 2005) 1 21 , 7, Windows,,,, : 010-62782989 13501256678 13801310933,,,, ;,, ( CIP) /,,. : ;, 2005. 11 ( 21 ) ISBN 7-81082 - 634-4... - : -. TP316-44 CIP ( 2005) 123583 : : : : 100084 : 010-62776969 : 100044 : 010-51686414

More information

TONE RINGER

TONE RINGER 1/3 占空比通用 156 段 LCD 显示驱动电路 描述 SC75823E/W 是一个通用 LCD 驱动器, 可以用于微处理器控制的收音机的频率显示及其他显示应用 此外还可以最多直接驱动 156 个 LCD 字符段 主要特点 * 支持 1/3 占空比 1/2 偏置和 1/3 占空比 1/3 偏置的 LCD, 通过串行数据控制可以驱动多达 156 个字符段 * 和系统控制电路之间的串行数据通信支持

More information

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 :

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 : SA 系列 / C-Link 产品特点 引用标准 : IEC 61071 结构 : 金属化聚丙烯膜结构 封装 : 聚酯胶带, 树脂填充 电气特性 工作温度 : - 40 至 + 85 C 范围 : 15 至 500μF 额定电压 : 500 至 1100 VC 偏差 : ± 5%, ± 10% 损耗因素 : 2 10-3 @100z 20±5 C 预期寿命 : 100,000 小时 @Un, 70

More information

Microsoft Word - GY7502_USB-SPI_datasheet.doc

Microsoft Word - GY7502_USB-SPI_datasheet.doc GY7502 USB-SPI Adapter 产品使用说明书 产品型号 :GY7502 USB-SPI Adapter 手册版本 :V1.02 目 录 目录... 2 一 产品简介... 3 1.1 性能与技术指标... 3 1.2 典型应用... 3 1.3 通信协议转换... 3 1.4 产品销售清单... 3 1.5 技术支持与服务... 3 二 外形与接口描述... 4 2.1 产品外形...

More information

水晶分析师

水晶分析师 大数据时代的挑战 产品定位 体系架构 功能特点 大数据处理平台 行业大数据应用 IT 基础设施 数据源 Hadoop Yarn 终端 统一管理和监控中心(Deploy,Configure,monitor,Manage) Master Servers TRS CRYSTAL MPP Flat Files Applications&DBs ETL&DI Products 技术指标 1 TRS

More information

IC芯片自主创新设计实验

IC芯片自主创新设计实验 IC 芯片自主创新设计实验 设计报告 设计题目 : 格雷码计数器芯片设计 设计学生 : 吴东生 ( 集成电路 ) 景国新 ( 固体电子 ) 林道明 ( 集成电路 ) 连维重 ( 集成电路 ) 施望 ( 集成电路 ) 刘锦秀 ( 集成电路 ) 刘中伟 ( 集成电路 ) 李梦宁 ( 集成电路 ) 指导教师 : 阮爱武 杜涛 指导单位 : 电子设计自动化技术 课程组 一 格雷码计数器芯片设计概述 功能描述

More information

( ) - 2 -

( ) - 2 - ( ) 1 = 300VA 1.5 2 = 2A 1.5 3 3mA - 1 - ( ) - 2 - 1 2 3 4 5-3 - 1) ( ) 2) 3) 4) 5) 1) F F (1) F (2) F - 4 - 192 2) 9 9 9 193 3) 6 7 8 6 A 000F 4) 4 5 E 4 5 E 1) (LED ) 2) (LED ) 3) 4) 8 1) 2) - 5 - 3)

More information

图 内部结构图 8251 的外部引脚如图 所示, 共 28 个引脚, 每个引脚信号的输入输出方式如图中的箭 头方向所示

图 内部结构图 8251 的外部引脚如图 所示, 共 28 个引脚, 每个引脚信号的输入输出方式如图中的箭 头方向所示 实验题目 : 串行接口芯片 8251 实验目的 : 1. 掌握 8251 的工作方式及应用 2. 了解有关串口通讯的知识 串行接口芯片 8251 3. 掌握使用 8251 实现双机通讯的软件编程和电路连接 实验设备 : IA-32 架构的微机系统及应用教学平台两套 实验原理 : 1. 8251 的基本性能 性能 : 8251 是可编程的串行通信接口, 可以管理信号变化范围很大的串行数据通信 有下列基本

More information

ebook12-1

ebook12-1 API N e t B I O S Wi n s o c k A P I Wi n s o c k 1 N e t B I O S Wi n s o c k A P I N e t B I O S O S / 2 D O S 2 3 4 Wi n d o w s Wi n d o w s 1 NetBIOS Network Basic Input/Output System, NetBIOS A P

More information

按 系 统 提 示 完 成 安 装 并 生 成 交 易 图 标, 双 击 图 标 即 可 运 行 金 阳 光 独 立 委 托 系 统 通 讯 设 置 按 钮 中 行 情 地 址 交 易 地 址 高 级 设 置, 其 中 行 情 地 址 交 易 地 址 可 以 分 别 用 来 设 置 服 务 器 地

按 系 统 提 示 完 成 安 装 并 生 成 交 易 图 标, 双 击 图 标 即 可 运 行 金 阳 光 独 立 委 托 系 统 通 讯 设 置 按 钮 中 行 情 地 址 交 易 地 址 高 级 设 置, 其 中 行 情 地 址 交 易 地 址 可 以 分 别 用 来 设 置 服 务 器 地 一 概 述 1 简 介 金 阳 光 独 立 委 托 系 统 是 一 个 快 捷 交 易 平 台, 全 面 服 务 于 光 大 证 券 的 所 有 交 易 客 户 2 功 能 导 航 图 如 果 您 已 经 是 光 大 证 券 的 交 易 客 户, 则 系 统 默 认 您 为 金 阳 光 交 易 客 户, 请 直 接 在 登 录 界 面 上, 选 择 资 金 账 号 登 陆 方 式, 输 入 您 的

More information

Honeywell HumidIcon™ Digital Humidity/Temperature Sensors HIH7000 Series • ±3.0 %RH Accuracy

Honeywell HumidIcon™ Digital Humidity/Temperature Sensors HIH7000 Series • ±3.0 %RH Accuracy 数字式温湿度传感器 HIH7000 系列 ±3.0 %RH( 相对湿度 ) 精度 潜在应用 工业 HVAC/R 可用于空调 / 空气流动系统 热焓传感器 恒温器 增湿器 / 除湿器和湿度调节器, 提供精确的相对湿度和温度测量值, 以维持人体舒适或适合储藏的最佳温湿度, 同时还可实现低能耗, 保证系统精度与质量, 优化系统正常运行时间, 以及提高系统的整体性能 空气压缩机 提供压缩空气管路中精确的相对湿度测量值,

More information

HMI COM1 RS SIEMENSE S7-200 RS485

HMI COM1 RS SIEMENSE S7-200 RS485 目录 第一部分维控人机界面串口引脚定义...2 1 LEVI777T COM1 引脚定义原理图...2 2 LEVI777T COM2 引脚定义原理图...2 3 LEVI908T COM1 引脚定义原理图...2 4 LEVI908T COM2/COM3 引脚定义原理图...3 第二部分通信针头...4 1 通信针头...4 第三部分各 PLC 与 LEVI 通信线接法...5 1 西门子 S7-200

More information

技术文档

技术文档 地址 : 深圳市高新技术产业园南区高新南一道国微大厦 5 楼 ADD: Shenzhen High-tech Industrial Park,South Area GaoxinS.Ave.1 st,guowei Building. 电话 Tel:0755-26991331 传真 Fax:0755-26991336 邮编 :518057 网址 :www.chinaasic.com LED 恒流驱动芯片

More information

untitled

untitled ...2...3...4...6...6...8...11...14...18...19...20...22...22...23...25...26...27...28...29...29...29 4-1 - ( ) 88 37 88 37 200121 2003 4 18 [2003]48 1.5 4-2 - ( ) 25 1 1 100032 2004 09 17 [1998]12 ( ) (

More information

Ps22Pdf

Ps22Pdf 书 名 : 作 者 : 出 版 社 : 出 版 时 间 : ,,,,,,,,,,,,,,,,,,,,,,,,,, 1 ,,,,,,,,,,,,,,,,, 2 4 11 20 24 28 35 41 1 51 60 66 72 79 88 90 93 96 100 105 110 2 117 121 124 130 133 135 138 141 144 148 152 157 166 3 175 178

More information