CH340DS1.DOC

Size: px
Start display at page:

Download "CH340DS1.DOC"

Transcription

1 CH340 中文手册 ( 一 ) 1 1 概述 USB 转串口芯片 CH340 中文手册版本 :1E CH340 是一个 USB 总线的转接芯片, 实现 USB 转串口 USB 转 IrDA 红外或者 USB 转打印口 在串口方式下,CH340 提供常用的 MODEM 联络信号, 用于为计算机扩展异步串口, 或者将普通的串口设备直接升级到 USB 总线 有关 USB 转打印口的说明请参考手册 ( 二 )CH340DS2 在红外方式下,CH340 外加红外收发器即可构成 USB 红外线适配器, 实现 SIR 红外线通讯 计算机或者其它 USB 主机 USB CH340 转接芯片 异步串口 UART/RS232/IrDA 红外 SIR 转换并口打印机为 USB 打印机 2 特点 全速 USB 设备接口, 兼容 USB V2.0, 外围元器件只需要晶体和电容 仿真标准串口, 用于升级原串口外围设备, 或者通过 USB 增加额外串口 计算机端 Windows 操作系统下的串口应用程序完全兼容, 无需修改 硬件全双工串口, 内置收发缓冲区, 支持通讯波特率 50bps~2Mbps 支持常用的 MODEM 联络信号 RTS DTR DCD RI DSR CTS 通过外加电平转换器件, 提供 RS232 RS485 RS422 等接口 支持 IrDA 规范 SIR 红外线通讯, 支持波特率 2400bps 到 bps 软件兼容 CH341, 可以直接使用 CH341 的驱动程序 支持 5V 电源电压和 3.3V 电源电压 提供 SSOP-20 和 SOP-16 无铅封装, 兼容 RoHS 3 封装 封装形式塑体宽度引脚间距封装说明订货型号 SSOP mm 209mil 0.65mm 25mil 超小型 20 脚贴片 CH340T SSOP mm 209mil 0.65mm 25mil 超小型 20 脚贴片 CH340R SOP mm 150mil 1.27mm 50mil 标准的 16 脚贴片 CH340G

2 CH340 中文手册 ( 一 ) 2 4 引脚 SSOP20 引脚号 SOP16 引脚号 引脚名称类型引脚说明 ( 括号中说明仅针对 CH340R 型号 ) VCC 电源 正电源输入端, 需要外接 0.1uF 电源退耦电容 8 1 GND 电源 公共接地端, 直接连到 USB 总线的地线 5 4 V3 电源 在 3.3V 电源电压时连接 VCC 输入外部电源, 在 5V 电源电压时外接容量为 0.01uF 退耦电容 9 7 XI 输入 晶体振荡的输入端, 需要外接晶体及振荡电容 10 8 XO 输出 晶体振荡的反相输出端, 需要外接晶体及振荡电容 6 5 UD+ USB 信号 直接连到 USB 总线的 D+ 数据线 7 6 UD- USB 信号 直接连到 USB 总线的 D- 数据线 20 无 NOS# 输入 禁止 USB 设备挂起, 低电平有效, 内置上拉电阻 3 2 TXD 输出 串行数据输出 (CH340R 型号为反相输出 ) 4 3 RXD 输入 串行数据输入, 内置可控的上拉和下拉电阻 11 9 CTS# 输入 MODEM 联络输入信号, 清除发送, 低 ( 高 ) 有效 DSR# 输入 MODEM 联络输入信号, 数据装置就绪, 低 ( 高 ) 有效 RI# 输入 MODEM 联络输入信号, 振铃指示, 低 ( 高 ) 有效 DCD# 输入 MODEM 联络输入信号, 载波检测, 低 ( 高 ) 有效 DTR# 输出 MODEM 联络输出信号, 数据终端就绪, 低 ( 高 ) 有效 RTS# 输出 MODEM 联络输出信号, 请求发送, 低 ( 高 ) 有效 2 无 ACT# 输出 USB 配置完成状态输出, 低电平有效 R232 输入 辅助 RS232 使能, 高电平有效, 内置下拉电阻 NC. 空脚 CH340T: 空脚, 必须悬空 17 无 CH340R: 串口模式设定输入, 内置上拉电阻, IR# 输入低电平为 SIR 红外线串口, 高电平为普通串口 1 无 CKO 输出 CH340T: 时钟输出 NC. 空脚 CH340R: 空脚, 必须悬空 5 功能说明 CH340 芯片内置了 USB 上拉电阻,UD+ 和 UD- 引脚应该直接连接到 USB 总线上 CH340 芯片内置了电源上电复位电路 CH340 芯片正常工作时需要外部向 XI 引脚提供 12MHz 的时钟信号 一般情况下, 时钟信号由 CH340 内置的反相器通过晶体稳频振荡产生 外围电路只需要在 XI 和 XO 引脚之间连接一个 12MHz 的晶体, 并且分别为 XI 和 XO 引脚对地连接振荡电容 CH340 芯片支持 5V 电源电压或者 3.3V 电源电压 当使用 5V 工作电压时,CH340 芯片的 VCC 引脚输入外部 5V 电源, 并且 V3 引脚应该外接容量为 4700pF 或者 0.01uF 的电源退耦电容 当使用 3.3V 工作电压时,CH340 芯片的 V3 引脚应该与 VCC 引脚相连接, 同时输入外部的 3.3V 电源, 并且与 CH340 芯片相连接的其它电路的工作电压不能超过 3.3V CH340 自动支持 USB 设备挂起以节约功耗,NOS# 引脚为低电平时将禁止 USB 设备挂起 异步串口方式下 CH340 芯片的引脚包括 : 数据传输引脚 MODEM 联络信号引脚 辅助引脚 数据传输引脚包括 :TXD 引脚和 RXD 引脚 串口输入空闲时,RXD 应该为高电平, 如果 R232 引脚为高电平启用辅助 RS232 功能, 那么 RXD 引脚内部自动插入一个反相器, 默认为低电平 串口输出空闲时,CH340T 芯片的 TXD 为高电平,CH340R 芯片的 TXD 为低电平 MODEM 联络信号引脚包括 :CTS# 引脚 DSR# 引脚 RI# 引脚 DCD# 引脚 DTR# 引脚 RTS# 引脚 所有这些 MODEM 联络信号都是由计算机应用程序控制并定义其用途 辅助引脚包括 :IR# 引脚 R232 引脚 CKO 引脚 ACT# 引脚 IR# 引脚为低电平将启用红外线串口

3 CH340 中文手册 ( 一 ) 3 模式 R232 引脚用于控制辅助 RS232 功能,R232 为高电平时 RXD 引脚输入自动反相 ACT# 引脚为 USB 设备配置完成状态输出 ( 例如 USB 红外适配器就绪 ) IR# 和 R232 引脚只在上电复位后检查一次 CH340 内置了独立的收发缓冲区, 支持单工 半双工或者全双工异步串行通讯 串行数据包括 1 个低电平起始位 或 8 个数据位 1 个或 2 个高电平停止位, 支持奇校验 / 偶校验 / 标志校验 / 空白校验 CH340 支持常用通讯波特率 : 等 串口发送信号的波特率误差小于 0.3%, 串口接收信号的允许波特率误差不小于 2% 在计算机端的 Windows 操作系统下,CH340 的驱动程序能够仿真标准串口, 所以绝大部分原串口应用程序完全兼容, 通常不需要作任何修改 CH340 可以用于升级原串口外围设备, 或者通过 USB 总线为计算机增加额外串口 通过外加电平转换器件, 可以进一步提供 RS232 RS485 RS422 等接口 CH340 只需外加红外线收发器, 就可以通过 USB 总线为计算机增加 SIR 红外适配器, 实现计算机与符合 IrDA 规范的外部设备之间的红外线通讯 6 参数 6.1. 绝对最大值 ( 临界或者超过绝对最大值将可能导致芯片工作不正常甚至损坏 ) 名称参数说明最小值最大值单位 TA 工作时的环境温度 TS 储存时的环境温度 VCC 电源电压 (VCC 接电源,GND 接地 ) V VIO 输入或者输出引脚上的电压 -0.5 VCC+0.5 V 6.2. 电气参数 ( 测试条件 :TA=25,VCC=5V, 不包括连接 USB 总线的引脚 ) ( 如果电源电压为 3.3V, 则表中所有电流参数需要乘以 40% 的系数 ) 名称参数说明最小值典型值最大值单位 VCC 电源电压 V3 引脚不连 VCC 引脚 V3 引脚连接 VCC 引脚 V ICC 工作时总电源电流 ma ISLP USB 挂起时的总电源电流 VCC=5V ma VCC=3.3V ma VIL 低电平输入电压 V VIH 高电平输入电压 2.0 VCC+0.5 V VOL 低电平输出电压 (4mA 吸入电流 ) 0.5 V VOH 高电平输出电压 (3mA 输出电流 ) ( 芯片复位期间仅 100uA 输出电流 ) VCC-0.5 V IUP 内置上拉电阻的输入端的输入电流 ua IDN 内置下拉电阻的输入端的输入电流 ua VR 电源上电复位的电压门限 V 6.3. 时序参数 ( 测试条件 :TA=25,VCC=5V) 名称参数说明最小值典型值最大值单位 FCLK XI 引脚的输入时钟信号的频率 MHz TPR 电源上电的复位时间 ms

4 CH340 中文手册 ( 一 ) 4 7 应用 7.1. USB 转 9 线串口 ( 下图 ) 下图是由 CH340T 实现的 USB 转 RS232 串口 CH340 提供了常用的串口信号及 MODEM 信号, 通过电平转换电路 U8 将 TTL 串口转换为 RS232 串口, 端口 P11 是 DB9 插针, 其引脚及功能与计算机的普通 9 针串口相同,U8 的类似型号有 MAX213/ADM213/SP213/MAX211 等 如果只需要实现 USB 转 TTL 串口, 那么可以去掉图中的 U8 及电容 C46/C47/C48/C49/C40 图中的信号线可以只连接 RXD TXD 以及公共地线, 其它信号线根据需要选用, 不需要时都可以悬空 P2 是 USB 端口,USB 总线包括一对 5V 电源线和一对数据信号线, 通常,+5V 电源线是红色, 接地线是黑色,D+ 信号线是绿色,D- 信号线是白色 USB 总线提供的电源电流最大可以达到 500mA, 一般情况下,CH340 芯片和低功耗的 USB 产品可以直接使用 USB 总线提供的 5V 电源 如果 USB 产品通过其它供电方式提供常备电源, 那么 CH340 也应该使用该常备电源, 如果需要同时使用 USB 总线的电源, 那么可以通过阻值约为 1Ω 的电阻连接 USB 总线的 5V 电源线与 USB 产品的 5V 常备电源, 并且两者的接地线直接相连接 C8 容量为 4700pF 到 0.02μF, 用于 CH340 内部电源节点退耦,C9 容量为 0.1μF, 用于外部电源退耦 晶体 X2 电容 C6 和 C7 用于时钟振荡电路 X2 是频率为 12MHz 的石英晶体,C6 和 C7 是容量为 22pF 的独石或高频瓷片电容 如果 X2 选用低成本的陶瓷晶体, 那么 C6 和 C7 的容量必须用该晶体厂家的推荐值, 一般情况下是 47pF 在设计印刷线路板 PCB 时, 需要注意 : 退耦电容 C8 和 C9 尽量靠近 CH340 的相连引脚 ; 使 D+ 和 D- 信号线贴近平行布线, 尽量在两侧提供地线或者覆铜, 减少来自外界的信号干扰 ; 尽量缩短 XI 和 XO 引脚相关信号线的长度, 为了减少高频干扰, 可以在相关元器件周边环绕地线或者覆铜 7.2. USB 转 RS232 串口 ( 下图 ) 图中是 USB 转最基本也最常用的 3 线制 RS232 串口,U5 为 MAX232/ICL232/SP232 等

5 CH340 中文手册 ( 一 ) USB 转 RS232 串口, 简版 ( 下图 ) 图中也是 USB 转 3 线制 RS232 串口, 该电路与 7.2. 节的功能相同, 只是输出 RS232 信号的电平幅度略低 CH340 的 R232 引脚为高电平, 启用了辅助 RS232 功能, 只需外加二极管 三极管 电阻和电容就可代替 7.2. 节中专用的电平转换电路 U5, 所以硬件成本更低 7.4. USB 红外适配器 ( 下图 ) 下图是由 USB 转 IrDA 红外芯片 CH340R 和红外线收发器 U14(ZHX1810/HSDL3000 等类似型号 ) 构成的 USB 红外线适配器 电阻 R13 用于减弱红外线发送过程中的大电流对其它电路的影响, 要求不高时可以去掉 限流电阻 R14 应该根据实际选用的红外线收发器 U14 的厂家的推荐值进行调整

CH340DS1.DOC

CH340DS1.DOC CH340 手册 ( 一 ) 1 1 概述 USB 转串口芯片 CH340 手册版本 :2B http://wch.cn CH340 是一个 USB 总线的转接芯片, 实现 USB 转串口或者 USB 转打印口 在串口方式下,CH340 提供常用的 MODEM 联络信号, 用于为计算机扩展异步串口, 或者将普通的串口设备直接升级到 USB 总线 有关 USB 转打印口的说明请参考手册 ( 二 )CH340DS2

More information

CH9325DS

CH9325DS CH9328 中文手册 1 1 概述 串口转 HID 键盘芯片 CH9328 中文手册版本 :V1.4 http://wch.cn CH9328 是一款串口转 HID 键盘芯片, 在电脑上识别为标准的 USB HID 类键盘设备 CH9328 用于单向数据传输, 可以接收串口发送过来的数据 ( 如 ASCII 码 ), 并按照 HID 类键盘设备规范, 将数据打包成标准的键盘码值通过 USB 口上传给计算机

More information

CH341DS1.DOC

CH341DS1.DOC CH341 中文手册 ( 一 ) 1 1 概述 USB 总线转接芯片 CH341 中文手册版本 :2D http://wch.cn CH341 是一个 USB 总线的转接芯片, 通过 USB 总线提供异步串口 打印口 并口以及常用的 2 线和 4 线等同步串行接口 在异步串口方式下,CH341 提供串口发送使能 串口接收就绪等交互式的速率控制信号以及常用的 MODEM 联络信号, 用于为计算机扩展异步串口,

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

CH432DS1.DOC

CH432DS1.DOC CH432 中文手册 ( 一 ) 1 1 概述 双串口芯片 CH432 中文手册版本 :1A http://wch.cn CH432 是双 UART 芯片, 包含两个兼容 16C550 的异步串口, 支持半双工收发自动切换和 IrDA 红外编解码, 支持最高 4Mbps 的通讯波特率, 可以用于单片机 / 嵌入式系统的 RS232 串口扩展 带自动硬件速率控制的高速串口 RS485 通讯 IrDA

More information

CH374DS1.DOC

CH374DS1.DOC CH374 中文手册 ( 一 ) 1 1 概述 USB 总线接口芯片 CH374 中文手册 ( 一 ) 版本 :2A http://wch.cn CH374 是一个 USB 总线的通用接口芯片, 支持 USB-HOST 主机方式和 USB-DEVICE/SLAVE 设备方式, 内置 3 端口 HUB 根集线器, 支持低速和全速的控制传输 批量传输 中断传输以及同步 / 等时传输 在本地端,CH374

More information

USB_SER.DOC

USB_SER.DOC USB 转串口模块 1 1 概述 USB 转串口的模块说明 版本 : 1C http://wch.cn 用于 USB 转串口的模块根据硬件电路以及接口的不同, 可以细分为 4 种 :S 版 - 模块 T 版 - 模块 R 版 - 模块 C 版 - 模块 具体差别参考下表, 外观照片附后 另外还有几种正式 OEM 产品请参考 CH340 芯片的 PCB 资料, 如 :T9T 版 (USB 转 9 线

More information

目 录 1. 简介 概览 CM 仿真器特性 CM 仿真器外观 入门指南 CM 仿真器虚拟端口功能 安装 CM 仿真器 USB CDC 驱动软件 测试 CM 仿真器虚拟端

目 录 1. 简介 概览 CM 仿真器特性 CM 仿真器外观 入门指南 CM 仿真器虚拟端口功能 安装 CM 仿真器 USB CDC 驱动软件 测试 CM 仿真器虚拟端 Cortex-M 仿真器用户手册 适用产品 本仿真器支持芯片型号 : 系列 华大半导体 (HDSC) Cortex-M 系列 MCU 芯片 型号 - 目 录 1. 简介... 3 1.1 概览... 3 1.1.1 CM 仿真器特性... 3 1.1.2 CM 仿真器外观... 4 1.2 入门指南... 5 2. CM 仿真器虚拟端口功能... 6 2.1 安装 CM 仿真器 USB CDC 驱动软件...

More information

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小由外接电阻来调整 封装图 SSOP24L-0.635-D1.40 (mm) ( SM16125ES ) 特点 16 通道恒流源输出 电流输出大小不因输出端负载电压变化而变化

More information

第四章 個案一 林SIR的故事與分析

第四章 個案一  林SIR的故事與分析 林 來 陸 理 歷 領 行 林 兩 林 年 領 理 陸 領 林 林 林 不 說 林 說 來 了 車 林 什 不 (A01-05-1239) 狀 不 什 不 不 年 不 說 )) 林 了 金 來 見 不 林 不 什 烙 來 都 不 不 說 不 51 (A01-05-1230) 林 老 不 不 老 來 年 不 六 年 年 林 來 裡 了 車 來 說 年 了 零 都 來 老 理 了 都 不 六 年 累 金

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

工程师培训

工程师培训 .1 Quidway 1 .2.2.1 ATM 2 .2.2 ( LAN ) ( WAN ) ( CONSOLE ) 3 .3.3.1 LAN Ethernet Token Bus Token Ring...... Local Area Network LAN 1 2 3 LAN LAN IBM LAN 4 .3.2 10M 100M 1000M 10Mbps 100Mbps 1000Mbps IEEE

More information

84

84 83 84 EKI-1526 EKI-1528 EKI-1524 EKI-1522 EKI-1521 2 2 2 2 2 16 8 4 2 1 10/100 Mbps 10/100 Mbps 10/100 Mbps 10/100 Mbps 10/100 Mbps RS-232/422/485 RS-232/422/485 RS-232/422/485 RS-232/422/485 RS-232/422/485

More information

图 内部结构图 8251 的外部引脚如图 所示, 共 28 个引脚, 每个引脚信号的输入输出方式如图中的箭 头方向所示

图 内部结构图 8251 的外部引脚如图 所示, 共 28 个引脚, 每个引脚信号的输入输出方式如图中的箭 头方向所示 实验题目 : 串行接口芯片 8251 实验目的 : 1. 掌握 8251 的工作方式及应用 2. 了解有关串口通讯的知识 串行接口芯片 8251 3. 掌握使用 8251 实现双机通讯的软件编程和电路连接 实验设备 : IA-32 架构的微机系统及应用教学平台两套 实验原理 : 1. 8251 的基本性能 性能 : 8251 是可编程的串行通信接口, 可以管理信号变化范围很大的串行数据通信 有下列基本

More information

中文手册

中文手册 PCC-3428 PC/104 1. PCC-3428 1.1 PCC-3428 90mm 96mm ST CPU STPC Atlas Atlas CPU 486 DX/DX2 CPU DX2 133MHz Atlas 2D LCD/CRT 100MHz SDRAM 64MBytes PCC-3428 10/100Mbps DOC EIDE USB PC/104 ST STPC Atlas STPC

More information

内部结构框图 SDI LSB 16Bit 移位寄存器 (1 16Bit 通道 ) MSB 0 15 LSB MSB 输出开 / 关数据锁存 (1 16Bit 通道 ) 0 15 R-EXT 16 通道恒流输出驱动 OUT0 OUT1 OUT14 OUT15 图 2 管脚信息 SDI OUT0 OUT

内部结构框图 SDI LSB 16Bit 移位寄存器 (1 16Bit 通道 ) MSB 0 15 LSB MSB 输出开 / 关数据锁存 (1 16Bit 通道 ) 0 15 R-EXT 16 通道恒流输出驱动 OUT0 OUT1 OUT14 OUT15 图 2 管脚信息 SDI OUT0 OUT 特性描述 是 D 显示面板设计的驱动 IC, 它内建的 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成平行输出数据格式 具有 16 个电流源, 可以在每个输出端口提供 2~60mA 恒定电流量以驱动 D, 每个 OUT 驱动通道输出可短接后得到更大的电流输出, 且当环境发生变化时, 对其输出电流影响很小 同时可以选用不同阻值 (REXT) 的外接电阻来调整 各输出端口的电流大小, 因此,

More information

SuperE RTU RTU/SCADA RTU SuperE RTU SuperE RTU SuperE RTU contr

SuperE RTU RTU/SCADA RTU SuperE RTU SuperE RTU SuperE RTU   contr SuperE RTU SuperE RTU RTU/SCADA RTU SuperE RTU SuperE RTU 01062973388 SuperE RTU http://www.supere.com.cn http://www.echocontrol.com, E-mail(marketing@echo control.com ...1...3 1. SuperE RTU...3 1.1...3

More information

2 12

2 12 SHENZHEN BRILLIANT CRYSTAL TECHNOLOGIC CO.,LTD. The specification for the following models Graphic LCM serial communication control board CB001 PROPOSED BY APPROVED Design Approved TEL:+86-755-29995238

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

BA 2002, 3 IPCS WWW.EASTCATO.COM - - - - - -1-3 -15 - - - - - - WWW.EASTCATO.COM BA 1 2 3 4 20% DC12V A-1 WWW.EASTCATO.COM ok A-2 WWW.EASTCATO.COM RS232 RS485 EC-NET : B-1 WWW.EASTCATO.COM EC-NET 14 I/O

More information

概述

概述 产品描述 DE1102 是一款 LED 线性恒流驱动芯片, 提供单通道恒定输出电流, 电流输出范围从 5~500mA, 电流数 值可以通过外接电阻 (RFB) 进行设定, 输出端可承受最大电压达 32V 芯片的工作电压范围为 3.3~5.5V 低至 0.3V 的电流设定电压以及 200mV 低饱和压降驱动级, 使得 DE1102 能够在宽驱动电压范围内提供稳定 的电流输出, 极大增强恒流灯条模组的级联能力

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

Microsoft PowerPoint - IC测试培训二.ppt

Microsoft PowerPoint - IC测试培训二.ppt IC 测试培训 第二章 IC 测试实例 By 孙鹏程 29-9-8 1 2.1 LDO 基础知识 本章要点 2.2 LDO 主要参数及意义 2.3 LDO 测试方案 2.4 LDO 测试程序 2 2.1 LDO 基础知识 什么是 LDO? LDO:(low dropout regulator) 低压差线性稳压器, 其基本电路可由串联调整管 VT 取样电阻 R1 和 R2 比较放大器 A 组成 取样电压加在比较器

More information

ICN2028

ICN2028 ICN2028 (16 路双缓存恒流输出 LED 驱动芯片 ) 概述 ICN2028 是一款专为 LED 显示屏设计的驱动 IC, 采用 16 路恒流灌电流输出 ICN2028 集成了 Noise Free TM 技术, 具有极佳的抗干扰特性, 使恒流及低灰效果不受 PCB 板的影响 并可选用不同的外挂电阻对输出级电流大小进行调节, 精确控制 LED 的发光亮度 ICN2028 在显示过程中 (OE=0)

More information

USER’S MANUAL SP500 SERIES

USER’S MANUAL SP500 SERIES 1.... 1 2.... 2 2-1... 2 2-2... 3 2-3... 3 2-4... 3 3.... 4 4.... 6 4-1... 6 4-2... 7 4-3... 8 4-4... 9 4-5... 9 4-6... 10 4-7... 11 5.... 12 5-1... 12 5-2... 15 5-3... 18 5-4... 19 6.... 20 6-1... 20

More information

CH372DS1.DOC

CH372DS1.DOC CH372 中文手册 ( 一 ) 1 1 概述 USB 总线接口芯片 CH372 中文手册版本 :3D http://wch.cn CH372 是一个 USB 总线的通用设备接口芯片, 是 CH371 的升级产品, 是 CH375 芯片的功能简化版 在本地端,CH372 具有 8 位数据总线和读 写 片选控制线以及中断输出, 可以方便地挂接到单片机 /DSP/MCU/MPU 等控制器的系统总线上 ;

More information

untitled

untitled Delphi 2 3 Delphi 4 5 Delphi 6 Delphi 2 1 3 Delphi 4 1 5 Delphi 6 1 7 Delphi 8 1 9 Delphi 10 1 11 Delphi 12 1 13 Delphi 14 1 15 Delphi 16 1 17 Delphi 18 1 19 Delphi 20 1 21 Delphi 22 1 23 Delphi DISTR

More information

深圳明和科技

深圳明和科技 500mA 同步降压 DC/DC 转化器 ME3101 系列 描述 : ME3101 是一款同步整流降压型 DC/DC 内置 0.6ΩPMOS 驱动管和 0.7ΩNMOS 开关管 兼容陶瓷电容, 外部只需一只电感和两只电容, 可高效率的输出 500mA 内置振荡器电路, 振荡频率可达 1.2MHZ ME3101 为 PFM/PWM 型自动开关控制模式, 在满载时也能快速响应, 达到纹波小, 效率高的效果

More information

技术文档

技术文档 地址 : 深圳市高新技术产业园南区高新南一道国微大厦 5 楼 ADD: Shenzhen High-tech Industrial Park,South Area GaoxinS.Ave.1 st,guowei Building. 电话 Tel:0755-26991331 传真 Fax:0755-26991336 邮编 :518057 网址 :www.chinaasic.com LED 恒流驱动芯片

More information

Tel: , Fax: STR-15 STR bps 2400bps 4800bps 9600bps STR : 500mW 2.ISM 433

Tel: , Fax: STR-15 STR bps 2400bps 4800bps 9600bps STR : 500mW 2.ISM 433 Tel:086-21-50807785, 50273226 Fax:086-21-50807785-807 http://www.sendbow.com TEL +86-021-50273226 50807785 13816690692 FAX:+86-021-50807785-807 167 E-mail:mailto:technology@sendbow.com web:http://www.sendbow.com

More information

1.KF1030a 无线模块 KF1030a 是一款传输距离远 可靠性高 低成本的无线数传模块, 采用 ISM 频段的 434MHz, 发射功率达 1W 4 字节的地址 ID 300 字节的数据包长度 RSSI 功能 标准的 UART 接口, 配置灵活, 易于使用, 使该模块适合应用于组建各种无线数

1.KF1030a 无线模块 KF1030a 是一款传输距离远 可靠性高 低成本的无线数传模块, 采用 ISM 频段的 434MHz, 发射功率达 1W 4 字节的地址 ID 300 字节的数据包长度 RSSI 功能 标准的 UART 接口, 配置灵活, 易于使用, 使该模块适合应用于组建各种无线数 目 录 1. KF1030a 无线模块 1 1.1 特性 1 1.2 技术规格 2 1.3 机械尺寸 3 1.4 模块引脚 3 1.5 电气特性 4 1.5.1 收发时序 4 2. 模块应用 5 3. 开发指南 11 3.1 KF1030a 开发工具 11 3.2 KF-Modem 工具 11 3.2.1 KF-Modem 主板 11 3.3 通信接口 12 3.3.1 RS-232( 两线 ) 12

More information

TouchWin Human Machine Interface

TouchWin    Human Machine Interface Human Machine Interface TP 1 2 3 1 2 3 4 5 TP 1 PLC 113 TouchWin / 2 TouchWin PLC Programmable Logical Controller PLC CAD/CAM PLC I/O 3 TouchWin...3...4...6 1... 6 1-1... 7 1-2... 8 1-3... 10 1-4... 13

More information

XT1861

XT1861 同步升压 DC-DC 变换器 产品概述 XT1861 系列产品是一款低功耗高效率 低纹波 工作频率高的 PFM 控制升压 DC-DC 变换器 XT1861 系列产品仅需要 3 个外部元器, 即可完成低输入的电池电压输入 用途 1~3 个干电池的电子设备 数码相机 电子词典 ED 手电筒 ED 灯 血压计 MP3 遥控玩具 无线耳机 无线鼠标键盘 医疗器械 防丢器 汽车防盗器 充电器 VCR PD 等手持电子设备

More information

ETA104 数据采集模块数据手册

ETA104 数据采集模块数据手册 Emtronix ETA104 数据采集模块数据手册 1. 概述 1.1 ETA104 介绍 ETA104 模拟数据采集模块 ( 下文简称 :ETA104 模块 ) 是基于英创公司 SBC840 工控应用底板 符合 DM5028 标准应用扩展模块 ETA104 模块上的模数转换功能, 采用 ADS7871 模拟信号转换芯片, 占用 ESMARC 工控主板的 SPI 总线进行通讯, 最高可实现 48K

More information

Microsoft Word - DW01

Microsoft Word - DW01 用途 / Purpose: 用于锂离子 / 锂聚合物可充电电池组 For lithium ion / lithium polymer rechargeable battery pack 特点 / Features: (1) 高电压检测电路 / Precision voltage detection circuit 过充检测电压 Overcharge detection voltage 4.3 过充恢复电压

More information

ICN2028

ICN2028 概述 DP5220B 是一款专为 LED 显示屏设计的驱动 IC, 采用 16 路恒流灌电流输出 DP5220B 集成了 Noise Free TM 技术, 具有极佳的抗干扰特性, 使恒流及低灰效果不受 PCB 板的影响 并可选用不同的外挂电阻对输出级电流大小进行调节, 精确控制 LED 的发光亮度 DP5220B 在显示过程中 (OE=0) 会缓存 16bit 显示数据, 所以系统在 DP5220B

More information

SM74HC164

SM74HC164 SM0ED 单通道 恒流驱动控制芯片 QZOOIAV1.0 SM0ED 特点 本司专利的恒流控制技术 a) OUT 端口输出电流外置可调, 范围 ma~0ma b) 芯片间输出电流偏差 < ± % 具有过热保护功能 单颗芯片可做 1W 系统方案 芯片可与 共用 PCB 板 芯片应用系统无 EMI 问题 线路简单 成本低廉 封装形式 :ESOP 概述 SM0ED 是单通道 恒流驱动控制芯片, 芯片使用本司专利的恒流设定和控制技术,

More information

大16开产品画册排版.cdr

大16开产品画册排版.cdr 北京圣莱特商贸有限公司 中国 北京 新型产品 XYZ 20A 颜色 黑色 尺寸 210*180*130mm 功能参数 1 使用高转换效率单晶硅片 太阳能转换效率高达16%以上 2 太阳能电池板规格 10W 3 充电器内置高容量可充电电池 20AH 4 输出电压 220V 5 用交流适配器给充电器内置电池充电时间 5小时 (6) 太阳能给充电器内置电池充电时间 20小时

More information

1 概述 DL5581 是一个单片 CMOS 计算器电路, 可实现 10 位 12 位或 14 位运算和存储, 翻查, 税率计算, 汇率计算, 百分比运算,MU 运算等功能 具有自动断电功能 由 1.5V 电源或由太阳能电池供电, 具有工作电压范围宽及功耗低等特点 其特点如下 : 计算过程翻查验算功能邦定 OPTION 可选择 80 步 99 步 105 步 112 步 定点 (0,1,2,3,4,

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

引脚名称 QFN(4 4)-24L SSOP-24L ( 引脚间距 / 1.0) SOP-24L ( 引脚间距 1.27) 引脚描述 管脚号 管脚名称功能 QFN-24 SSOP-24/SOP-24L 1 4 LAT 数据锁存输入端 ( 低电平有效 ) 2 5 OUT0 3 6 OUT1

引脚名称 QFN(4 4)-24L SSOP-24L ( 引脚间距 / 1.0) SOP-24L ( 引脚间距 1.27) 引脚描述 管脚号 管脚名称功能 QFN-24 SSOP-24/SOP-24L 1 4 LAT 数据锁存输入端 ( 低电平有效 ) 2 5 OUT0 3 6 OUT1 D5056 带预充电管的 16 位 LED 控制器 D5056 是一款专用于大屏幕 LED 低压差 高精度带预充电管的 16 位恒流驱动芯片 内含高精度电流驱动电路 16 位移位寄存器 锁存器 温度补偿电路等 D5056 内置带预充电管, 可以解决列消隐问题 再配上我司解决行消隐的 D4973,LED 屏幕消隐问题可完美解决, 大大提高刷新率 D5056 可接受外部 CPU 编程控制信号, 分别控制

More information

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 :

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 : SA 系列 / C-Link 产品特点 引用标准 : IEC 61071 结构 : 金属化聚丙烯膜结构 封装 : 聚酯胶带, 树脂填充 电气特性 工作温度 : - 40 至 + 85 C 范围 : 15 至 500μF 额定电压 : 500 至 1100 VC 偏差 : ± 5%, ± 10% 损耗因素 : 2 10-3 @100z 20±5 C 预期寿命 : 100,000 小时 @Un, 70

More information

Microsoft Word - SMB-63-2(簡体字).doc

Microsoft Word - SMB-63-2(簡体字).doc 补 充 说 明 书 ABSODEX AX9000TS/TH-U3 (PROFIBUS-DP 规 格 ) SMB-63C-2 前 言 承 蒙 购 置 本 公 司 的 ABSODEX, 至 为 感 谢 ABSODEX 是 为 了 精 准 灵 活 地 驱 动 常 规 产 业 用 的 组 装 设 备 检 测 设 备 的 间 歇 作 动 回 转 工 作 台 等 而 研 发 的 直 接 驱 动 的 分 度 装

More information

MM

MM 4.5 9 4.8 12 14 35 MM36 2 36 1.1 FM-206 FM-206 32 FM-206 POS 3 36 1.2 1:1 1:N 2 2 1:1 1:N 1.3 206 x x x x x Vxx mm A1000 B>1000 U USB2.0 / USB1.1 S SeriesUART) 206 1 2 4 36 DC 3.6~6.0V / 3.3V < < 5 36

More information

NAIS-500 AIS B 简体中文 www.bandg.com www.simrad-yachting.com www.lowrance.com Navico NAIS-500 AIS B NAVICO HOLDING Navico Holding AS 2017 Navico Holding AS ¼ / / NAIS-500 1 1 4 4 4 7 AIS B 7 AIS 8 8 9 12

More information

HMI COM1 RS SIEMENSE S7-200 RS485

HMI COM1 RS SIEMENSE S7-200 RS485 目录 第一部分维控人机界面串口引脚定义...2 1 LEVI777T COM1 引脚定义原理图...2 2 LEVI777T COM2 引脚定义原理图...2 3 LEVI908T COM1 引脚定义原理图...2 4 LEVI908T COM2/COM3 引脚定义原理图...3 第二部分通信针头...4 1 通信针头...4 第三部分各 PLC 与 LEVI 通信线接法...5 1 西门子 S7-200

More information

浙江朗威微系统有限公司

浙江朗威微系统有限公司 浙江朗威微系统有限公司 LW54123 漏电保护专用集成电路说明书 1 订购须知 联系信息 浙江朗威微系统有限公司 芯片名称 描述 封装类型 LW54123 高速对地漏电保护集成电路 P8/OP8 Add:No. 99 Huaxing Road, Hangzhou East oftware Park Building, 4th Floor enture Building 浙江省杭州市华星路 99 号东部软件园创业大厦

More information

A20_banana_v12_141107

A20_banana_v12_141107 hrsday, gst, of heet ate: ev ocment mber ize itle anana ro.,, lace & close to I I I I I I I I M M M M Q Q Q Q Q Q Q Q Q Q Y Q Q Q Q Q Y Q Q Q Q Q Q Q Q M Q J Q Q Q Q Q Q M Q Q Y Q Q# Q Q# Q Q# Q J Q# QM

More information

PCM-3386用户手册.doc

PCM-3386用户手册.doc PCM-3386 BBPC-4x86 10/100M PC/104 (Lanry technology Co. Ltd. Zhuhai) 38 1012836 (Address: Room 1012,Linhai Building,No. 38,west of Shihua Road,Zhuhai City,Guangdong Province,China) (post code)519015 (phone)0756-3366659

More information

Microsoft Word - TM1812.doc

Microsoft Word - TM1812.doc 一 概述 TM1812 是 12 通道 LED( 发光二极管显示器 ) 驱动控制专用电路, 内部集成有 MCU 数 字接口 数据锁存器 LED 高压驱动等电路 通过外围 MCU 控制实现该芯片的单独辉 度 级联控制实现户外大屏 护栏管 幻彩灯条的彩色点阵发光控制 本产品性能优良, 质量可靠 采用 SOP16 的封装形式 二 特性说明 采用高压功率 CMOS 工艺 输出端口耐压 24V 芯片 VDD

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

HXJ9005技术资料

HXJ9005技术资料 概 述 一 款 双 通 道 桥 接 的 音 频 功 率 放 大 器, 在 5V 电 源 电 压 4Ω 负 载 时, 可 提 供 3.5W 的 功 率 具 有 低 功 耗 关 断 模 式 和 过 温 保 护 功 能 在 电 路 启 动 时, 具 有 缓 冲 及 防 抖 动 功 能 此 外, 当 接 立 体 耳 机 时, 芯 片 可 以 单 终 端 工 作 模 式 驱 动 立 体 耳 机 具 有 外 部

More information

Ps22Pdf

Ps22Pdf 书 名 : 作 者 : 出 版 社 : 出 版 时 间 : ,,,,,,,,,,,,,,,,,,,,,,,,,, 1 ,,,,,,,,,,,,,,,,, 2 4 11 20 24 28 35 41 1 51 60 66 72 79 88 90 93 96 100 105 110 2 117 121 124 130 133 135 138 141 144 148 152 157 166 3 175 178

More information

图 2 产品应用示意图 产品功能表 表 1 发送输入输出 RE DE DI Z Y X X X High Z High Z 1 0 X High Z High Z X = 无关 High Z = 高阻 表 2 接收 输入 输出 RE DE A B RO 0 0

图 2 产品应用示意图 产品功能表 表 1 发送输入输出 RE DE DI Z Y X X X High Z High Z 1 0 X High Z High Z X = 无关 High Z = 高阻 表 2 接收 输入 输出 RE DE A B RO 0 0 RFDot 产品,RoHS 认证, 绿色无铅封装 封装类型 : DIP/SO 产品概述 MAX485 是一款应用于 RS-485 和 RS-422 通信系统的收发芯片 MAX485 传输和接收的数据传输率可高达 2.5Mbps 485 为半双工型 另外,485 有驱动使能 (DE) 和接收使能 (RE) 管脚, 当时, 驱动和接收输出为高阻 MAX485 具有失效保护功能, 在处于接收状态时, 输入端开路或短路接收器输出为高电平

More information

adsd

adsd HS8108 电脑开关电源控制器 概述 : HS8108 是 PWM 开关电源控制器, 主要用于台式 PC( 个人电脑 ) 的开关电源部分 HS8108 能够提供开关电源所有的控制和保护功能 : PWM 脉宽调制及推挽输出, 具有过压 欠压 过流 过功耗 远程控制 AC 掉电保护等功能, 两个内嵌的精密 431 调节器用作稳定 5V 辅助电源和 33V 输出电压, 内嵌的精密振荡器可以保证各种延时的精确性

More information

<4D6963726F736F667420576F7264202D20D4D3D6BECEC4B8E5C4A3B0E52E646F63>

<4D6963726F736F667420576F7264202D20D4D3D6BECEC4B8E5C4A3B0E52E646F63> 1/8 文 / 杜 洋 自 从 我 的 爱 上 单 片 机 一 书 问 世, 爱 上 一 词 就 在 电 子 制 作 的 圈 子 里 小 火 了 一 把 随 后 又 出 现 了 爱 上 制 作 爱 上 Arduino, 还 有 一 大 堆 类 似 名 字 的 出 版 物 虽 然 每 一 本 的 内 容 都 很 精 彩, 但 总 这 么 爱 来 爱 去 的, 是 不 是 有 点 审 美 疲 劳 呢?

More information

Microsoft Word - HTL7G06S009P_V2.3_CH.doc

Microsoft Word - HTL7G06S009P_V2.3_CH.doc Document Number: HTL7G6S9P Product Data Sheet Rev. 2.3, 1/17 LDMOS 射频功率晶体管 HTL7G6S9P 1. 产品描述 HTL7G6S9P 是一款为 VHF/UHF 频段射频功率放大器而设计的 LDMOS 射频功率晶体管 器件内部集成静电保护电路 1-6MHz, 8W, 7.2V WIDE BAND RF POWER LDMOS TRANSISTOR

More information

灵星LED视频屏控制板

灵星LED视频屏控制板 目 录 第 一 章 概 述...1 1.1 功 能 特 点... 1 1.2 发 行 包 清 单... 2 第 二 章 系 统 安 装 与 设 置...3 2.1 主 要 技 术 参 数... 3 2.2 端 口 定 义... 4 2.2.1 数 据 采 集 卡 数 据 输 入 口 (DVI 接 口 ) 4 2.2.2 附 加 功 能 口... 5 2.2.3 外 接 电 源 口... 5 2.2.4

More information

SM74HC164

SM74HC164 SM08D 单通道 恒流驱动控制芯片 QZOOISV. SM08D 特点 本司专利的恒流控制技术 a) 端口输出电流外置可调, 范围 5mA~60mA b) 芯片间输出电流偏差 < ± 4% 具有过热保护功能 芯片可与 共用 PCB 板 芯片应用系统无 EMI 问题 线路简单 成本低廉 封装形式 : TO5- SOT SOT89- 概述 SM08D 是单通道 恒流驱动控制芯片, 芯片使用本司专利的恒流设定和控制技术,

More information

臺 北 市 議 會 公 報, 維 護 相 對 不 易, 現 在 破 損 補 丁 的 地 磚 路 面 也 讓 民 眾 行 車 安 全 與 市 容 景 觀 同 受 威 脅 二 據 了 解, 北 市 府 為 強 化 整 體 地 區 或 商 圈 文 化 特 質 與 形 象, 提 升 商 圈 行 銷 實 力,

臺 北 市 議 會 公 報, 維 護 相 對 不 易, 現 在 破 損 補 丁 的 地 磚 路 面 也 讓 民 眾 行 車 安 全 與 市 容 景 觀 同 受 威 脅 二 據 了 解, 北 市 府 為 強 化 整 體 地 區 或 商 圈 文 化 特 質 與 形 象, 提 升 商 圈 行 銷 實 力, 臺 北 市 議 會 公 報 書 面 質 詢 及 答 覆 第 10 屆 第 8 次 定 期 大 會 (99.7.12 至 99.9.29) 議 員 書 面 質 詢 全 文 (7) 141 質 詢 日 期 : 中 華 民 國 99 年 8 月 23 日 質 詢 議 員 : 黃 向 羣 質 詢 對 象 : 臺 北 市 交 通 管 制 工 程 處 臺 北 市 新 建 工 程 處 質 詢 題 目 : 新 生

More information

政府服務品質獎 服務規劃機關 參獎申請書

政府服務品質獎 服務規劃機關 參獎申請書 建 構 托 育 管 理 制 度 實 施 計 畫 (104 年 -107 年 ) ( 核 定 本 ) 104 年 5 月 7 日 目 錄 壹 計 畫 緣 起 1 一 依 據. 1 二 未 來 環 境 預 測. 2 三 問 題 評 析. 6 貳 計 畫 目 標.. 9 一 目 標 說 明. 9 二 達 成 目 標 之 限 制.. 11 三 預 期 績 效 指 標 及 評 估 基 準. 12 參 現 行

More information

1971 7 6 1993 3 8 1993 2 17 1992 2 5 1991 2 3 1 2 1972 2 217 1972 1 270 1987 113 1988 296 1989 132 1990 12 30 1990 8 2 1992 2 7 1986 3 1 1960 28 1960 31 1945 1950 1987 17 1972 1 1 1972 1 39 1972 2 301

More information

DS_MM32P021_Ver1.9.0

DS_MM32P021_Ver1.9.0 产品手册 Datasheet MM32P021 32 位基于 ARM Cortex M0 核心的微控制器 版本 :1.9.0 保留不通知的情况下, 更改相关资料的权利 目录 1. 总介... 5 1.1 概述... 5 1.2 产品特性... 5 2. 规格说明... 6 2.1 器件对比... 6 2.2 功能简述... 6 3. 引脚定义... 7 3.1 引脚配置... 7 3.2 引脚描述...

More information

GSM模块TC35及其外围电路设计.doc

GSM模块TC35及其外围电路设计.doc GSM 模块 TC35 及其外围电路设计 GSM Module TC35 and its Peripheral Circuit Design 大连海事大学信息工程学院大连 116026 闫俊夏志忠 摘要 介绍了 Siemens 公司的 GSM 模块 TC35 TI 公司的电平转换芯片 MAX3238, 以及由其组成的移动终端, 指 出了实际设计中出现的问题和解决办法 关键词 GSM 模块 ; 电平转换

More information

8S E600Y 0773-CE

8S E600Y 0773-CE 控制面板及侧 背面端子 液晶电视快速操作引导 液晶电视快速操作引导 控制面 板 按键介 绍 控制面板按键及侧面端子介绍 感光头 红外接收器 指示灯 电源 开 关 按键 频 道- 频 道+ 音 量- 音 量+ 频道选择键 音量控制键 菜单 确定 返 回/主 页 确定键 返回/主页键 菜单键 背面端 子 介绍 USB1 光纤音频 输出 USB2 USB3 SD卡 SD卡槽 CA卡 V 注:当您使用非本机配送的重低音音箱连接本机

More information

SED-P3SEV

SED-P3SEV EM-4510 简明手册 版本 A.3 深圳市恒晟电子技术有限公司 SHENZHEN HIGHSCI TECHNOLOGY CO., LTD. 目录 第一章硬件配置... 2 1.1 主要特性... 2 1.2 系统结构及接口定义... 3 1.2.1 PC/104 连接器 P1A, P1B, P2C,P2D... 4 1.2.2 电源连接器 J1... 7 1.2.3 多用连接器 J2... 7

More information

CH341DS2.DOC

CH341DS2.DOC CH341 中文手册 ( 二 ) 1 1 并口功能说明 USB 总线转接芯片 CH341 中文手册 ( 二 ): 并口及同步串口说明版本 :2C http://wch.cn 1.1. 一般说明 CH341 的并口是主动式并口, 在计算机端的程序控制下, 可以直接从外部电路输入输出数据, 一般不需要外接单片机 /DSP/MCU CH341 的并口主要有 2 种接口方式 :EPP 方式和 MEM 方式

More information

NORCO-740 CPU M/00M NORCO-740 NORCO-740E NORCO-740G NORCO-740GE Intel 845GL Intel 845G

NORCO-740 CPU M/00M NORCO-740 NORCO-740E NORCO-740G NORCO-740GE Intel 845GL Intel 845G 3. 4.2 4 2. 2.. 8 2..2 VGA 8 2..3 (J2,J3,J5) 9 2..4 9 2..5 USB 20 2..6 MS KB 20 2..7 (J) 20 2..8 2 2..9 2 2..0 22 2.. (IDE,2) 22 2..2 22 2..3 AC 97 23 2.2 2.2. FSB :JFS 24 2.2.2 Watchdog Timer :JWD 24

More information

规格说明

规格说明 24 GSX-540K GSX-540K GSX-540K FP-530K KY-540K FP-5400K. 2. 3. ........2... 3... 7 2.... 7 2..... 7 2..2... 9 2..3... 0 2..4... 2..5... 6 2.2... 7 2.2.... 7 2.2.2... 9... 5 3.... 5 3.2... 52 3.3... 52 3.4...

More information

V. PID PID...0

V. PID PID...0 F&B 00% V. PID................0............5....9 PID...0 .... IO900 99.98%.. Pt00Pt00.0Cu50Cu00Pt0 KEBTRN 0-0mA-0mA0-5V-5V mv 0-5V 0-50Ω.. LP TP - - P P.0 P.0 P.0 P.0 P.05 P.0 P.00 t.0 t.0 t.0 t.0 t.05

More information

CWT-3000 SMS DTU

CWT-3000 SMS DTU TT62 GPRS DTU 3.0 GPRS -------------------------------------------------------------------------------------------- TT62 GPRS DTU -----------------------------------------------------------------------

More information

修订历史 版本日期原因 V /03/17 创建文档 i

修订历史 版本日期原因 V /03/17 创建文档 i Data Sheet DS01010101 V1.00 Date: 2016/03/17 概述 是一款低成本 低功耗和小尺寸的蓝牙 4.0 BLE 模块, 该模块采用外置天线的封装 通过半孔工艺将 I/O 引出, 帮助客户绕过繁琐的射频硬件设计 开发与生产, 加快产品上市 完善的软件开发平台可满足快速开发需求, 减少软件投入, 缩短研发周期 该模块方便迅速桥接电子产品和智能移动设备, 可广泛应用于有此需求的各种电子设备,

More information

HTN7G27S010P

HTN7G27S010P LDMOS 射频功率晶体管 1. 产品特性 无内匹配设计, 方便应用于从 1.8 至 0MHz 频率范围内的各类窄 宽带功放 为适应高 VSWR 应用的增强型鲁棒性设计 最高工作 VDD 可达 50V 适用于 28V 至 50V 范围内供电电压, 方便不同功率等级功放设计 优异的功率线性度 优异的热稳定性 内部集成的增强 ESD 设计 符合 RoHS 规范 HTH7G06P500H 封装 :H2110S-4L

More information

引脚说明 引脚号 引脚名称 功能描述 1 ACT 接收有效输出, 在有有效遥控信号时, 变为低电平, 同时输出解码数据 2 VCC 电源输入, 电压 V 3 SS SPI 接口的 Slave Select 端, 接 SPI 从芯片的片选, 低电平有效 4 GND 接地端 5 CLK S

引脚说明 引脚号 引脚名称 功能描述 1 ACT 接收有效输出, 在有有效遥控信号时, 变为低电平, 同时输出解码数据 2 VCC 电源输入, 电压 V 3 SS SPI 接口的 Slave Select 端, 接 SPI 从芯片的片选, 低电平有效 4 GND 接地端 5 CLK S BitCode xxxx BC7210A 3V 供电低成本通用红外遥控解码芯片 ( 第四版 ) BC7210A 是一款低成本通用红外遥控解码芯片, 可以完成目引脚图前应用最广泛的多种红外遥控编码的解码, 包括飞利浦 (RC5) 编码 ( 典型编码芯片如 SAA3010 及兼容芯片如 PT2210 等 ) 和 NEC 编码 ( 典型编码芯片如 upd6121, upd6122, TC9012 以及众多的兼容芯片型号,

More information

2005.book

2005.book ...4... 4... 7...10... 10... 10... 10... 10... 11... 11 PCC... 11 TB170... 12 /... 12...13... 13 BP150 / BP151 / BP152 / BP155... 14...15... 15... 15... 15... 15... 15... 15... 16 PS465 / PS477... 17 PS692

More information

修订历史版本 日期 原因 V /0617 创建文档 V /07/08 修改更新概述 产品特性及产品资料 V /07/10 更新模块参数, 增加 pcb 布局和回流焊 V /07/11 修改典型应用电路, 连接两个地, 完善电路 V1.0

修订历史版本 日期 原因 V /0617 创建文档 V /07/08 修改更新概述 产品特性及产品资料 V /07/10 更新模块参数, 增加 pcb 布局和回流焊 V /07/11 修改典型应用电路, 连接两个地, 完善电路 V1.0 Data Sheet DS01010101 V1.00 Date: 2015/12/23 概述 是一款低成本 低功耗和小尺寸的蓝牙 4.0 BLE 模块, 该模块采用半孔工艺将 I/O 引出, 帮助客户绕过繁琐的射频硬件设计 开发与生产, 加快产品上市 完善的软件开发平台可满足快速开发需求, 减少软件投入, 缩短研发周期 该模块方便迅速桥接电子产品和智能移动设备, 可广泛应用于有此需求的各种电子设备,

More information

untitled

untitled EDM16080-01 Model No.: Editor: 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 直通华为 HCNA/HCNP 系列 R 篇 3 路由器接口配置与管理 王达著名 IT 图书作者 博客地址 :http://blog.csdn.net/lycb_gz/ 机构名称 : 王达大讲堂 http://edu.csdn.net 同步方式下 Serial 接口配置与管理 参考教材 : 华为路由器学习指南 一 同步方式物理属性配置 配置同步方式下 Serial 接口, 包括配置同步方式下 Serial

More information

EG1182 芯片数据手册

EG1182 芯片数据手册 ELECTRONIC GIANT EG1182 芯片数据手册 2013 屹晶微电子有限公司版权所有 REV 1.0 版本号日期描述 版本变更记录 V1.0 2013 年 04 月 12 日 EG1182 数据手册初稿 2 / 13 目录 1. 特点... 4 2. 描述... 4 3. 应用领域... 4 4. 引脚... 5 4.1. 引脚定义... 5 4.2. 引脚描述... 5 5. 结构框图...

More information

第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1 ) + ; & ).& &+ 1 & / ) 5 93 & 9 *67893: + 99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!"#$%&'!"#$%&' '& ( ')*&+,& '(-./01 &

第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1 ) + ; & ).& &+ 1 & / ) 5 93 & 9 *67893: + 99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!#$%&'!#$%&' '& ( ')*&+,& '(-./01 & 第 5 卷第 9 期 3 9 年 月 电力电容器与无功补偿 &+ 1)+ ; &).& &+ 1&/) 593 & 9 *67893:+99: 单相 谐波补偿电流对直流侧电压和电流纹波的影响分析!#$%&'!#$%&''&(')*&+,& '(-./01&+ -2 3456-78&9:;'& &'

More information

柳州化工股份有限公司

柳州化工股份有限公司 柳 州 化 工 股 份 有 限 公 司 2013 年 度 内 部 控 制 自 我 评 价 报 告 柳 州 化 工 股 份 有 限 公 司 全 体 股 东 : 根 据 企 业 内 部 控 制 基 本 规 范 及 其 配 套 指 引 的 规 定 和 其 他 内 部 控 制 监 管 要 求 ( 以 下 简 称 企 业 内 部 控 制 规 范 体 系 ), 结 合 本 公 司 ( 以 下 简 称 公 司 )

More information

CH9350DS.DOC

CH9350DS.DOC CH9350 中文手册 键盘鼠标转串口通讯控制芯片 中文手册版本 :V2.3 http://wch.cn 概述 是 键盘鼠标转串口通讯控制芯片 结合异步串口简单易用的特点, 实现将 键盘 鼠标和 主机之间 通讯方式扩展为异步串口 (UART) 的方式, 便于与音频 视频等信号进行数据数据整合, 或直接通过 485 信号 2 线延长, 多用于 KVM 延长 KVM 切换 KM 同步等应用 下图为一般应用框图

More information

SOT-223: 1 GND 2 Vout 3 Vin 1 Adj. 2 Vout 3 Vin BL CX 1.8 V SOT-223 BL CX 2.5 V SOT-223 BL CX 2.85 V SOT-223 BL CX 3.3 V SOT-2

SOT-223: 1 GND 2 Vout 3 Vin 1 Adj. 2 Vout 3 Vin BL CX 1.8 V SOT-223 BL CX 2.5 V SOT-223 BL CX 2.85 V SOT-223 BL CX 3.3 V SOT-2 1A BL1117 1.8V 2.5V 2.85V 1A 3.3V 5V 1.2V BL1117 1A Vout 1.8V,2.5V,2.85V,3.3V,5V ±1 15V 1.25V~13.8V 0.2 BL1117 0.4 BL1117-50 140 TA -50 ~140 1% BL1117 SOT-223,TO- 252,TO-220 LCD LCD TV DVD ADSL BL1117-XX

More information

78600000000600

78600000000600 B202H 指 导 手 册 票 务 热 敏 打 印 机 CUSTOM S.p.A. Via Berettine 2/B 43010 Fontevivo (PARMA) - Italy Tel. : +39 0521-680111 Fax : +39 0521-610701 http: www.custom.biz 客 户 技 术 支 持 : Email : support@custom.it 2016

More information

AVS7516 声音事件检测芯片硬件数据手册修正记录 版本 发布日期 内容描述 首次发布版本 修订电路参考图等细节 修订部分错误, 更新部分电路参考图 第 2 页共 16 页

AVS7516 声音事件检测芯片硬件数据手册修正记录 版本 发布日期 内容描述 首次发布版本 修订电路参考图等细节 修订部分错误, 更新部分电路参考图 第 2 页共 16 页 AVS7516 声音事件检测芯片 硬件数据手册 深圳声联网科技有限公司 0755-33349168 0755-33349798 www.avsnest.com 声联网官方订阅号 第 1 页共 16 页 AVS7516 声音事件检测芯片硬件数据手册修正记录 版本 发布日期 内容描述 1.0 2017-04-27 首次发布版本 1.1 2017-08-02 修订电路参考图等细节 1.2 2018-07-09

More information

Microsoft Word - FM320X简介

Microsoft Word - FM320X简介 FM320X 低压电力线载波通信芯片 2013. 12 FM3203 低压电力线载波通信芯片 版本 1.0 1 本资料是为了让用户根据用途选择合适的上海复旦微电子集团股份有限公司 ( 以下简称复旦微电子 ) 的产品而提供的参考资料, 不转让属于复旦微电子或者第三者所有的知识产权以及其他权利的许可 在使用本资料所记载的信息最终做出有关信息和产品是否适用的判断前, 请您务必将所有信息作为一个整体系统来进行评价

More information

HT647PL

HT647PL LDMOS 射频功率晶体管 1. 产品特性 无内匹配设计, 方便应用于从 HF 至 600MHz 频率范围内的各类窄 宽带功放 增强鲁棒性设计 适用于 20-28V 供电电压 内部集成的增强 ESD 设计 优异的热稳定性 符合 RoHS 规范 HT647PL HT647PLB HT647PL 封装 : H2110S-4L 2. 产品用途 模拟及数字广播 气象及航空雷达 专网通信基站 工业用激光源和等离子设备

More information

untitled

untitled 0755-82134672 Macroblock MBI6655 1 LED Small Outline Transistor 1A 3 LED 350mA 12V97% 6~36 Hysteretic PFM 0.3Ω GSB: SOT-89-5L (Start-Up) (OCP) (TP) LED Small Outline Package 5 MBI6655 LED / 5 LED MBI6655

More information

A (QFP-48-12x ) RANGE IF70K AL-OUT AL-SIG VSS CLKFREQ-SEL HR-SET MIN-SET AM/FM-SEL AL-DISP A AL-

A (QFP-48-12x ) RANGE IF70K AL-OUT AL-SIG VSS CLKFREQ-SEL HR-SET MIN-SET AM/FM-SEL AL-DISP A AL- AM/FM SC361 0 AM/FM 12 CMOS QFP-48-12x12-0.8 FM 150M Hz AM 30 MHz LCD 3 13 1/3 4 32.768kHz FM 10.7 MHz 70 khz AM 455kHz 12 1.8V ~ 3.3V QFP44-10 x10-0.8 A B C D QFP-48-12x12-0.8 QFP-44-10x10-0.8 COB (QFP

More information

Worksheet in D: Users z002pw0a-e01 Desktop List price Adjustment FY1011_LV.rtf

Worksheet in D: Users z002pw0a-e01 Desktop List price Adjustment FY1011_LV.rtf FY09/10 人民币表价 5SJ6 小型断路器 标 准 : IEC 60898-1 / GB10963.1 额定电压 : 1P: 230/400V AC / 1P+N: 230V AV / 2,3,4P,3P+N: 400V AC 额定电流 : 0.3~63A 分断能力 : 6KA 脱扣曲线 : C/D start 2010/09 5SJ61147CC20 1 0.3 5SJ6 1P C0.3 55.10

More information

版权声明 版权所有 深圳市广和通实业发展有限公司 2013 保留一切权利 非经本公司书面许可, 任何单位和个人不得擅自摘抄 复制本文档内容的部分或全部, 并不得以任何形式传播 注意 由于产品版本升级或其他原因, 本文档内容会不定期进行更新 除非另有约定, 本文档仅作为使用指导, 本文档中的所有陈述

版权声明 版权所有 深圳市广和通实业发展有限公司 2013 保留一切权利 非经本公司书面许可, 任何单位和个人不得擅自摘抄 复制本文档内容的部分或全部, 并不得以任何形式传播 注意 由于产品版本升级或其他原因, 本文档内容会不定期进行更新 除非另有约定, 本文档仅作为使用指导, 本文档中的所有陈述 H330 Mini PCIe Adapter 硬件用户手册 文档版本 : V1.0.4 更新日期 : 2013-05-27 版权声明 版权所有 深圳市广和通实业发展有限公司 2013 保留一切权利 非经本公司书面许可, 任何单位和个人不得擅自摘抄 复制本文档内容的部分或全部, 并不得以任何形式传播 注意 由于产品版本升级或其他原因, 本文档内容会不定期进行更新 除非另有约定, 本文档仅作为使用指导,

More information

Users Manual NX-500

Users Manual NX-500 STAR NX-500 STAR NX-500 STAR STAR (010) 62501499 62501772 (010) 62501116 http//www.starhkg.com.hk/starchi Star NX-500... 1... 3 1-1... 3 1-2... 4 1-3... 5 1-4... 6 1-5... 9... 12 2-1... 12 1... 12 2...

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

填 东 海 精 卫 填 海 比 喻 不 畏 艰 难, 努 力 奋 斗 用 这 则 成 语 教 育 学 生 要 有 志 向, 做 事 情 要 学 习 精 卫 填 海 的 精 神, 不 怕 困 难, 锲 而 不 舍 三 教 学 建 议 1. 字 音 教 学 盾 的 韵 母 是 uen, 写 作 un,

填 东 海 精 卫 填 海 比 喻 不 畏 艰 难, 努 力 奋 斗 用 这 则 成 语 教 育 学 生 要 有 志 向, 做 事 情 要 学 习 精 卫 填 海 的 精 神, 不 怕 困 难, 锲 而 不 舍 三 教 学 建 议 1. 字 音 教 学 盾 的 韵 母 是 uen, 写 作 un, 6. 成 语 故 事 一 教 学 要 求 1 援 学 会 本 课 的 16 个 生 字 2 援 会 认 读 下 列 字, 但 不 要 求 会 写 : 锋 炎 掀 枝 3 援 本 课 学 习 部 首 : 矛 斗, 要 求 会 认 会 用 4 援 掌 握 本 课 的 词 语, 能 理 解, 会 运 用 5 援 重 点 学 习 的 句 子 : (1) 卖 兵 器 的 人 听 了 十 分 得 意, 于 是

More information

Ps22Pdf

Ps22Pdf 77 (266071 ) http:/ / www.qdpub.com 13335059110 ( 0532) 85814611 8664 (0532 )85814750 2006 6 1 2006 6 1 16 (640mm 960mm) ISBN 318.00 ( 8 ) ( 0532)85814926, : ( 0532 ) 85814611 8628 , 7000,,,,,,,,,,,,,,,,,,,,

More information

128K Flash EPROM 的程序?\(Bank=64K\) 切?

128K Flash EPROM 的程序?\(Bank=64K\) 切? 应用说明 华邦 8 位单片机 (8051 内核 ) 应用说明 华邦 8 位单片机 (8051 内核 ) ANSC-UC08-0007 目录 - 1. 应用说明 :...4 1.1...4 1.2 相关寄存器介绍...4 1.2.1 串行口控制寄存器 (SCON)... 4 1.2.2 串行数据缓冲寄存器 (SBUF)... 5 1.2.3 串行口控制 1 (SCON1)... 5 1.2.4 串行数据接收缓冲

More information

水资源管理(十七)

水资源管理(十七) 1 1 2 3 4 ( ) 1 2 3 2 4 5 6 7 8 1 2 3 ( ) 3 4 1 2 3 4 7 8 200 200 4 5 5 6 1987 4 20% 6 7 8 1985 9 () () () 4 7 3 6 10 14 9 13 4 8 3 7 () 4 7 3 5 3 6 3 5 () () () 3 5 2 4 () () () 15% 10 () (1) (2) (3)

More information

Microsoft Word - DSC-CN5711.doc

Microsoft Word - DSC-CN5711.doc 高亮度发光二极管 (LED) 驱动集成电路 概述 : 是一款电流调制集成电路, 恒定输出电流可达 1.5A, 可以用来驱动包括白色发光二极管在内的各类发光二极管 的 LED 端电流通过一个外部的电阻设置, 电流范围为 30mA 到 1.5A 芯片内部集成有功率晶体管, 大大减少了外部元器件的数目 其它功能包括芯片温度调制, 芯片使能输入端等 具有外围元器件少, 使用方便, 可实现多种模式调光, 效率高等优点,

More information

中华人民共和国

中华人民共和国 中 华 人 民 共 和 国 国 家 质 量 监 督 检 验 检 疫 总 局 令 第 118 号 进 出 口 饲 料 和 饲 料 添 加 剂 检 验 检 疫 监 督 管 理 办 法 已 经 2009 年 2 月 23 日 国 家 质 量 监 督 检 验 检 疫 总 局 局 务 会 议 审 议 通 过, 现 予 公 布, 自 2009 年 9 月 1 日 起 施 行 局 长 二 〇 〇 九 年 七 月

More information