(Microsoft PowerPoint - \262\304\244\273\263\271)

Size: px
Start display at page:

Download "(Microsoft PowerPoint - \262\304\244\273\263\271)"

Transcription

1 第六章 VHDL 電路設計語言中表示式

2 表示式 VHDL 電路設計語言中的表示式, 係用來計算出一個式子中的算術或邏輯數值 在一個表示式中, 通常包含有 2 種的組成元素, 一為運算元 (Operand), 另一為運算子 (Operator) 在 VHDL 電路設計語言中, 表示式的語法構成了一個程式執行或計算的基本單元, 使程式具有實質計算上的意義 2

3 運算子 (Operator) VHDL 電路設計語言中, 所定義的標準運算子 種 類 運 算 子 優先順序 邏輯運算子 and or not nand nor xor xnor 低 關係運算子 = /= < <= > >= 加法運算子 + - & 單一運算子 + - 乘法運算子 * / mod rem 其他 ** abs not 高 3

4 關係運算子 (Relational Operators) 關係運算式所運算出來的結果, 係為一個布林型態的資料型別而存在, 也就是只有二種狀態 TRUE 或 FALSE 關係運算子, 通常使用在 VHDL 電路設計語言中的條件判斷式上 六種關係運算子 = : 表示 等於 之比較 /= : 表示 不等於 之比較 > : 表示 大於 之比較 >= : 表示 大於或等於, 即不小於 之比較 < : 表示 小於 之比較 <= : 表示 小於或等於, 即不大於 之比較 4

5 邏輯運算子 (Logical Operators) 在 VHDL 電路設計語言中, 使用邏輯運算子來表示資料型別為 BIT BOOLEAN 及 STD_LOGIC 的訊號的邏輯運算關係 邏輯運算子如下所示 and : 用來表示 及 (And) 的邏輯運算 or : 用來表示 或 (Or) 的邏輯運算 not : 用來表示 非 (Not) 的邏輯運算 nand : 用來表示 反及 (Nand) 的邏輯運算 nor : 用來表示 反或 (Nor) 的邏輯運算 xor : 用來表示 互斥或 (Exclusive OR) 的邏輯運算 xnor : 用來表示 反互斥或 (Exclusive NOR) 的邏輯運算 5

6 算術運算子 (Arithmetic Operators) 在 VHDL 電路設計語言中, 使用算術運算子來表示各種資料型別之間的相互算術運算 VHDL 電路設計語言所定義的算術運算子共有 : 加 ( + ) 減 ( - ) 乘 ( * ) 除 ( / ) 次方 ( ** ) 求餘數 ( rem ) 等六種, 但大部份的 VHDL 電路合成器 (Synthesizer) 只支援加 ( + ) 及減 ( - ) 兩種算術運算子的電路合成 + : 用來表示 加法 算術運算 - : 用來表示 減法 算術運算 * : 用來表示 乘法 算術運算 / : 用來表示 除法 算術運算 ** : 用來表示 次方 算術運算 rem : 用來表示 求餘數 算術運算 6

7 數值運算子 (Value Operators) 在 VHDL 語法中, 使用一些位元運算子來執行資料的數值運算 rol 或 ROL : 用來表示 位元向左旋轉 之數值運算 R 代表 Rotate, 即位元旋轉之意 其用法如下 : ror 或 ROR : 用來表示 位元向又旋轉 之數值運算 其用法與 ROL 相似 sll 或 SLL : 用來表示 位元向左移位 之數值運算 S 代表 Shift,L 代表 Logic, 即位元邏輯移位之意 其用法與 ROL 相似 srl 或 SRL : 用來表示 位元向右移位 之數值運算 其用法與 ROL 相似 sla 或 SLA : 用來表示 有號數的位元向左移位 之數值運算 A 代表 Arithmetic 之意 其用法與 ROL 相似 sra 或 SRA : 用來表示 有號數的位元向右移位 之數值運算 其用法與 ROL 相似 7

8 其他常用的 VHDL 語法符號 SIG_A <= SIG_B; 中的 <= : 用來表示訊號的數值指定 VAR_A := 0 ; 中的 := : 用來表示變數的數值指定 (SIG_M <= (others => 0 ); 中的 => : 用來表示數值或識別字的對應 port map(port_sig => SIG_C); 中的 => : 用來代表模組間輸出入埠訊號的指定 ( 01 & SIG_D & 0 ); 中的 & : 用來表示資料型別 BIT 或 STD_LOGIC 的數值連結 LABEL_A:... 中的 : : 用來表示 VHDL 程式中元件 過程 (Process) 等的標籤 Label SIG_A : in std_logic; 中的 : : 用來表示 VHDL 程式中用於模式 資料型別或標題的宣告時使用 -- This is a Remark line 中的 -- : 用來表示 VHDL 程式中的註解文字, 在此符號之後的同一列中任意文字對 VHDL 編譯器來說, 都看成是忽略的 8

9 運算元 (Operand) 在一個 VHDL 電路設計語言的表示式中的運算元提供數值或資料, 以便運算子能藉此數值加以運算 9

10 表示式 (Expressions) 用左右括弧 ( 及 ) 所括起來的表示式, 可以看成是另外一個表示式中的運算元, 如 : (A and B)(A /= )... 10

11 文字 (Literals) 在 VHDL 電路設計語言中, 文字 (Literal) 的代表數值, 直接代表了其在 VHDL 程式語言中表示式的運算意義 如 : 0 ; 1010 ;456;16#3F7#;... 等 11

12 識別字 (Identifier) 用識別字來代表的運算元, 主要是代表著所宣告的訊號 (Signal) 變數 (Variable) 及常數 (Constant) 如 : MY_VAR;MY_CONST;MY_SIG;MY_ARRA Y_SIG;... 等 12

13 指標式名稱 (Indexed Names) 此主要用來指出, 在一個陣列物件中, 其內部的單一元素的資料內容 如 : MY_ARRAY_SIG(3);MY_ARRAY(5);MY_ RAM(19,168);... 等 13

14 片段式名稱 (Slice Names) 此主要用來指示出, 在一個陣列物件中, 其內部片段的資料內容 如 : MY_ARRAY_SIG(7 downto 4);MY_ARRAY(25 to 29);MY_RAM(1 to 6, 5 to 18);... 等 14

15 聚集式名稱 (Aggregates) 此主要亦用來說明, 在一個陣列資料型別中, 其內部資料的內容 如 : MY_ARRAY_TYPE (others => 0 );MY_ARRAY_SIG <= (others => 0 );... 等 15

16 紀錄資料型別的 Field 此主要用來說明, 在一個紀錄資料型別的 Field 中, 其內部資料的內容 如 : MY_RECORD.FIELD_A;... 等 16

17 屬性 (Attributes) 此主要用來說明, 在一個 VHDL 程式中屬性資料的內容 如 : MY_SIG EVENT;MY_VEC LENGTH;... 等 17

18 函式呼叫 (Function Call) 此主要用來說明, 在一個 VHDL 程式中所呼叫函式計算後所 return 的內容 如 : MY_FUNC(VAR1, VAR2, VAR3);... 等 18

19 可計算的 (Computable)v.s. 不可計 算的 (Non-Computable) 運算元 若該運算元的數值或資料可以直接求得的, 即稱為可計算的運算元 若該運算元的數值或資料, 另需額外的邏輯電路之處理才能得到 則稱為不可計算的運算元 19

20 可計算運算元 文字數值 (Literal Values) 由一個可計算的表示式所指定數值的變數 當 LOOP 的範圍是可計算時的 FOR... LOOP 參數 FOR... LOOP 的使用 只含有可計算表示式的聚集式 (Aggregates) 運算元 RETURN 值為可計算的函式呼叫 表示式中的所有運算元均為可計算的, 而所構成之表示式運算元 NAND 或 AND 運算子所構成的表示式中, 其中的運算元有一個為 '0' NOR 或 OR 運算子所構成的表示式中, 其中的運算元有一個為 1 20

21 不可計算運算元 訊號 (Signals) 輸出入埠訊號 (Ports) 由不可計算的條件式, 來決定變數的指定數值, 雖然該數值是為可計算的 由不可計算的數值, 來指定給變數 21

22 VHDL 電路設計語言中的文字 (Literals) 在 VHDL 中所定義的文字 (Literals), 其所代表之意義是直接由字母來決定其數值 該文字所表示的數值, 主要是用來直接當作表示式中的運算元來使用 可以分成 : 1. 數值類文字 (Numeric Literals) 2. 字元類文字 (Character Literals) 3. 列舉類文字 (Enumeration Literals) 4. 字串類文字 (String Literals) 22

23 數值類文字 (Numeric Literals) 數值類文字係由阿拉伯數字及 #, _, 符號所構成的整數數值 其用法說明如下 : 166 代表十進位的數值 166 各個阿拉伯數字間, 可用底線符號 _ 來區隔, 而不影響其數值, 以增加數字的可讀性 如 : 1_6_6 與 166 是相等的 數字所構成的數值, 其運算基底可用 # 符號來予以設定, 如 : 10#166# 與 10#16_6# 均代表 10 進位數值 166 2#1010_0110# 代表 2 進位數值 其值恰為 10 進位中的數值 #A6# 代表數值 166 的 16 進位表示法 23

24 字元類文字 (Character Literals) 字元類的文字, 係由一個字元, 加 上 2 個單引號 ' 而構成,: A, 0, Z, 1, X, 等等 24

25 列舉類文字 (Enumerated Literals) 列舉類文字, 構成列舉式的資料型別 (Enumeration Type), 其可由字元類文字或識別字來構成, 例如 : type ABC is ( X, Y, COW, O, H ) 25

26 字串類文字 (String Literals) 字串類文字由一組字元, 再加上 2 個雙引號而構成 "... ", 如 : ABCDEFG 字串類文字可以分成 2 類, 一為字元字串, 如上式所示 另一為位元字串 (BIT String), 位元字串尚可在字串的一開頭加入一英文字母 B( 二進位,Binary) O( 八進位 ) 或 X( 十六進位 ) 來代表其所代表的基底, 如 : B 1010 ;O 123 ;X A5DF ; 26

27 識別字 (Identifiers) 運算元 識別字用來使常數 (Constants) 變數 (Variables) 訊號 (Signals) 單體 (Entity) 輸出入埠訊號 (Ports),... 等資料物件, 拿來當作表示式中的運算元使用 signal A: bit; signal B: bit; variable X,Y: bit;..... A <= X nand B; Y := (A or B) and X; 27

28 陣列 (Array) 運算元 在 VHDL 程式中, 經常使用陣列資料型別, 來表示巨集式的訊號或變數 如資料匯流排 位址匯流排 RAM ROM 資料... 等 宣告為陣列資料型別的訊號可以使用陣列指標的方式 (Indexed Names), 來指出該陣列資料中的某一個元素 (Element), 其表示法如下所述 : 識別字 ( 表示式 ); 亦可以使用片段抽取的方式 (Slice Names), 來指示出某一個區段內的陣列資料, 其表示法如下 : 識別字 ( 表示式 1 to 表示式 2); 或識別字 ( 表示式 2 downto 表示式 1); 28

29 陣列運算元表示式 在 IEEE 的標準 VHDL 電路設計語言, 對於陣列資料的片段式抽取, 有其所規定的語法 下列的規則是用來說明 VHDL 電路設計語言中不合法的陣列片段式表示式 片段資料指標, 所指示的區域, 必須是有意義的 如 : signal A: bit_vector( 0 to 7); 則 A(5 to 3), 因為指示的範圍錯誤 (5 大於 3), 所以不合法 又如 A(5 to 9), 則因為超出了訊號 A 所定義的範圍, 所以亦是不合法的 片段資料指標所指示的方向, 必須與宣告時之資料型別同一方向 如 : signal A: bit_vector( 0 to 7);signal B: bit_vector( 5 downto 0); 則 A(2 downto 0) 及 B(l to 4) 都是因為指示指標表示式的方向與宣告的不符合 所以都是不合法的陣列片段式表示法 片段資料指標表示式, 必須是可計算的 (Computable) 的表示式 如 : variable I: integer range 0 to 3; 則 A(I to I+1), 是不合法的表示法 29

30 聚集式 (Aggregate) 表示法 1 位置對應式之使用語法 : 資料型別名稱 ( 表示式 1, 表示式 2,..., 表示式 n) 名稱對應式之使用語法 : 資料型別名稱 ( 選擇式 => 表示式, 選擇式 => 表示式,..... 選擇式 => 表示式 ); 其中的選擇式可以是陣列中元素的指標 指標範圍或是保留字 others 30

31 聚集式 (Aggregate) 表示法 2 在同一個資料型別的聚集式表示法上, 位置對應式與名稱對應式, 可以同時混合存在同一行指令中 但名稱對應式的表示法, 一定要排放在位置表示法之後 使用聚集式表示式之陣列資料型別, 其範圍及維數必須是限制的 (Constrained) 31

32 定質化 (Qualified) 表示式 當一個 VHDL 電路設計語言程式中的表示式, 其所定義的資料型別發生定義不清楚時, 就需要使用定質化的表示式, 來確切地指定該表示式所表示的資料型別 為了明確表示運算式或表示式的資料型別, 於是引進了定質化的 (Qualified) 表示式, 其語法如下 : 資料型別名稱 ( 表示式 ) 32

VHDL(Statements) (Sequential Statement) (Concurrent Statement) VHDL (Architecture)VHDL (PROCESS)(Sub-program) 2

VHDL(Statements) (Sequential Statement) (Concurrent Statement) VHDL (Architecture)VHDL (PROCESS)(Sub-program) 2 VHDL (Statements) VHDL(Statements) (Sequential Statement) (Concurrent Statement) VHDL (Architecture)VHDL (PROCESS)(Sub-program) 2 (Assignment Statement) (Signal Assignment Statement) (Variable Assignment

More information

CHAPTER VC#

CHAPTER VC# 1. 2. 3. 4. CHAPTER 2-1 2-2 2-3 2-4 VC# 2-5 2-6 2-7 2-8 Visual C# 2008 2-1 Visual C# 0~100 (-32768~+32767) 2 4 VC# (Overflow) 2-1 2-2 2-1 2-1.1 2-1 1 10 10!(1 10) 2-3 Visual C# 2008 10! 32767 short( )

More information

Microsoft PowerPoint - C_Structure.ppt

Microsoft PowerPoint - C_Structure.ppt 結構與其他資料型態 Janet Huang 5-1 結構的宣告 struct 結構名稱 struct 結構名稱變數 1, 變數 2,, 變數 m; struct 結構名稱 變數 1, 變數 2,, 變數 m; student; student; 5-2 1 結構變數初值的設定 struct 結構名稱 struct 結構名稱變數 = 初值 1, 初值 2,, 初值 n student="janet","1350901",100,95

More information

0 0 = 1 0 = 0 1 = = 1 1 = 0 0 = 1

0 0 = 1 0 = 0 1 = = 1 1 = 0 0 = 1 0 0 = 1 0 = 0 1 = 0 1 1 = 1 1 = 0 0 = 1 : = {0, 1} : 3 (,, ) = + (,, ) = + + (, ) = + (,,, ) = ( + )( + ) + ( + )( + ) + = + = = + + = + = ( + ) + = + ( + ) () = () ( + ) = + + = ( + )( + ) + = = + 0

More information

Excel VBA Excel Visual Basic for Application

Excel VBA  Excel Visual Basic for Application Excel VBA Jun5,00 Sub 分頁 () Dim i As Integer Dim Cname As String Dim Code As Variant Set score=thisworkbook.sheets("sheet") Code=Array(" 專北一 "," 專北二 "," 專北三 "," 專桃園 "," 專桃竹 "," 專中苗 ", " 專台中 "," 專台南 ","

More information

WWW PHP Comments Literals Identifiers Keywords Variables Constants Data Types Operators & Expressions 2

WWW PHP Comments Literals Identifiers Keywords Variables Constants Data Types Operators & Expressions 2 WWW PHP 2003 1 Comments Literals Identifiers Keywords Variables Constants Data Types Operators & Expressions 2 Comments PHP Shell Style: # C++ Style: // C Style: /* */ $value = $p * exp($r * $t); # $value

More information

Microsoft PowerPoint - 02_運算.pptx

Microsoft PowerPoint - 02_運算.pptx 回顧 第一個程式 基本架構 五行必寫的公式 註解的寫法 cout

More information

Java 程式設計入門

Java 程式設計入門 Java 程式設計入門 講師 : 陳昭源 CISE, NTU August 28, 2005 Outline 變數 Variables 運算子 Operators 運算式 (Expressions) 敘述(Statements) & 程式區塊 (Blocks) 流程控制 Control Flow Statements if-else statements switch statements August

More information

VB.Net

VB.Net VB.NET 視窗程式設計基本語法 : 變數宣告 NTU CSIE 講師 : 張傑帆 VB.NET 基本語法 在學習視窗程式之前我們需要先熟悉一下 Visual Basic 的基本語法, 包括 : 變數 運算子 選擇性結構 重複結構 陣列 程序 及結構化例外處理等語法 這些語法是程式邏輯的基礎, 也是程式和電腦硬體溝通, 並指揮電腦內部運作的橋梁 電腦五大單元 識別字 (Identifier) 程式

More information

投影片 1

投影片 1 資料庫管理程式 ( 補充教材 -Part2) 使用 ADO.NET 連結資料庫 ( 自行撰寫程式碼 以實現新增 刪除 修改等功能 ) Private Sub InsertButton_Click(ByVal sender As System.Object, ByVal e As System.EventArgs) Handles InsertButton.Click ' 宣告相關的 Connection

More information

(Microsoft PowerPoint - \262\304\244\255\263\271)

(Microsoft PowerPoint - \262\304\244\255\263\271) 第五章 VHDL 電路設計語言中的屬性 屬性 1 VHDL 電路設計語言中的屬性可應用在相當多的場合中, 例如 : 用來偵測出訊號的邊緣變化 ( 如時脈訊號的上升緣 ) 用來表示不限定邊界的陣列的維數與範圍 完成時序正確與否的驗証... 等 屬性種類 : 數值類 (Value Kind): 回應出一個數值 函式類 (Function Kind): 使用一個函式來回應出一個數值 訊號類 (Signal

More information

ebook105-1

ebook105-1 C D 1.1 0 1 0 1 2 ( 0 1 ) ( b i t s ) 0 1 1. 2. 0 1 3. ( ) 1-1 1-1 2 A B C A B C X Y 1.2 1.2.1 ( C D ) ( H D L ) H D L H D L J a v a C + + 1.2.2 C P U ( ) 1 3 1-2 C RT ( ) 1-2 ( C P U ) C P U C P U C P

More information

Microsoft PowerPoint - sql2005_ch09.ppt

Microsoft PowerPoint - sql2005_ch09.ppt 第九章 T-SQL 設計 9-1 關於 T-SQL 9-1-1 關於程式語言 9-1-2 T-SQL 的組成 9-1-3 測試及執行 9-2 基本語法說明 9-2-1 變數及常數 9-2-2 資料型別優先順序 9-2-3 運算子 9-2-4 取得工作狀態 9-2-5 設定工作狀態 9-2-6 流程控制 9-2-7 錯誤處理 9-3 實務說明 9-3-1 日期處理 9-3-2 小數資料處理 9-3-3

More information

街街街街街街街街

街街街街街街街街 1 基本輸出入 與四則運算 本章大綱 5.1 本章的教學目標 5.2 Java 語言的運算子 5.3 算術與字串連接運算子 5.4 位元運算子 5.5 資料型態的轉換 5.1 本章的教學目標 程式語言有許多的算術運算所組成, 本章將介紹 Java 程式語言中基本輸出入運算子的功能與使用方式, 運算子包括 : 指定運算子 算數與字串連接運算子 位元運算子 資料型態的轉換運算子的功能與相關應用, 讓各位同學了解不同運算子的使用時機與應用方法

More information

<4D F736F F D B0D3B77EC3FEA7DEC3C0C476C1C9A5BFA6A1B8D5C3442DB57BA6A1B35DAD702DBEC7ACEC2E646F6378>

<4D F736F F D B0D3B77EC3FEA7DEC3C0C476C1C9A5BFA6A1B8D5C3442DB57BA6A1B35DAD702DBEC7ACEC2E646F6378> 全國國高級中中等學校 105 學年度商商業類學學生技藝藝競賽 程式式設計 職職種 學學科 試試卷 崗位位編號 : 姓名 : 注意事項 : 請將答案案劃記於答案案卡, 未依依規定劃記者者不予計分分 試題說明 :( 選擇題每每題 4 分, 共 100 分 ) ( )1. 執行以下 Visual Basic 程式片段, 其結果為何?(A) 15 Dim i As Byte i = &HFC Console.WriteLine(Not

More information

B 6 A A N A S A +V B B B +V 2

B 6 A A N A S A +V B B B +V 2 B 6 A A N A S A +V B B B +V 2 V A A B B 3 C Vcc FT7 B B 1 C 1 V cc C 2 B 2 G G B 3 C 3V cc C B ND ND GND V A A B B C 1 C 3 C 2 C V cc V cc V 220Ωx B 1 B 2 B 3 B GND GND A B A B 1 1 0 0 0 2 0 1 0 0 3 0

More information

<4D F736F F D DA5BFA6A1C476C1C92DBEC7ACECB8D5A8F728B57BB35D292E646F63>

<4D F736F F D DA5BFA6A1C476C1C92DBEC7ACECB8D5A8F728B57BB35D292E646F63> 全國高級中等學校 106 學年度商業類科學生技藝競賽 程式設計 職種 學科 試卷 選手證號碼 ( 崗位編號 ): 姓名 : 注意事項 : 請將答案劃記於答案卡, 未依規定劃記者不予計分 試題說明 :( 選擇題共 25 題每題 4 分, 答錯不倒扣, 共 100 分 ) ( )1. 執行以下 Visual Basic 程式片段, 其結果為何?(A) 15 (B) 12 (C) 7 (D) 3 Dim

More information

Microsoft Word - ACG chapter00c-3ed.docx

Microsoft Word - ACG chapter00c-3ed.docx Python 好好玩, 趣學電玩遊戲程式設計 Python Python BASIC Java JavaScript PHP C++ BASIC Python Python Python Xbox PlayStation Nintendo - 2 - 簡介 : 互動式 Python Shell : 編寫程式 Python File editor : 猜數字 : 腦筋急轉彎 : 龍域 ( ) : 使用

More information

Microsoft PowerPoint - chap5.ppt

Microsoft PowerPoint - chap5.ppt 邏輯運算指令的動作 0 1 0 1 0 0 1 1 OR 1 0 1 1 1 0 0 1 1 1 1 1 1 0 1 1 (a) OR 運算 0 1 0 1 0 0 1 1 XOR 1 0 1 1 1 0 0 1 1 1 1 0 1 0 1 0 (c) XOR 運算 希望設定為 1 的位元 罩網標的位元組 新標的位元組 不改變的位元 希望取補數的位元 罩網標的位元組 新標的位元組 不改變的位元 1

More information

D-Type entity D_FF is D :in std_logic; CLK :in std_logic; Q :out std_logic); end D_FF; architecture a of D_FF is process(clk,d) if CLK'EVENT and CLK =

D-Type entity D_FF is D :in std_logic; CLK :in std_logic; Q :out std_logic); end D_FF; architecture a of D_FF is process(clk,d) if CLK'EVENT and CLK = VHDL (Sequential Logic) D-Type entity D_FF is D :in std_logic; CLK :in std_logic; Q :out std_logic); end D_FF; architecture a of D_FF is process(clk,d) if CLK'EVENT and CLK = '1' then Q

More information

a b c d e f g C2 C1 2

a b c d e f g C2 C1 2 a b c d e f g C2 C1 2 IN1 IN2 0 2 to 1 Mux 1 IN1 IN2 0 2 to 1 Mux 1 Sel= 0 M0 High C2 C1 Sel= 1 M0 Low C2 C1 1 to 2 decoder M1 Low 1 to 2 decoder M1 High 3 BCD 1Hz clk 64Hz BCD 4 4 0 1 2 to 1 Mux sel 4

More information

2

2 RE-101M2 2 3 1. 3. 2. 1 l 1 2 4 3 4 5 1 2 5 1. 3. 2. 4. 12 5. 7. 6. 8. 13 10. 9. 14 1 1. 2. 5. 3. 4. 6 1. 2. 1. 2. 7 1. 5. 2. 6. 3. 7. 4. 8. 8 9 2. 3. 1. 6. 8. 7. 5. 4. 10 1. 2. 1. 11 ...4...1... 4...2...1

More information

Python a p p l e b e a r c Fruit Animal a p p l e b e a r c 2-2

Python a p p l e b e a r c Fruit Animal a p p l e b e a r c 2-2 Chapter 02 變數與運算式 2.1 2.1.1 2.1.2 2.1.3 2.1.4 2.2 2.2.1 2.2.2 2.2.3 type 2.2.4 2.3 2.3.1 print 2.3.2 input 2.4 2.4.1 2.4.2 2.4.3 2.4.4 2.4.5 + 2.4.6 Python Python 2.1 2.1.1 a p p l e b e a r c 65438790

More information

(Microsoft Word - \245\274\244\300\246\250\301Z\260\252\247C13.doc)

(Microsoft Word - \245\274\244\300\246\250\301Z\260\252\247C13.doc) VHDL 實 習 報 告 四 資 工 二 指 導 教 授 : 徐 演 政 學 生 : 廖 雅 竹 B9515010 陳 緯 琪 B9515044 敗 LED 史 上 無 敵 超 級 賭 骰 子 模 擬 機 以 廖 雅 竹 陳 緯 琪 Project Title: 骰 硬 件 啟 動 後, 可 以 明 顯 的 觀 察 到 實 驗 板 上 方 的 兩 個 骰 子 器 高 速 地 跳 動 Participants:

More information

USB - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - DES Module FSM CONTROLLER 8 6 8 Key ROM 8 8 Data_in RAM Data_out RAM 8 USB Board - 8 - - 9 - - 10 - - 11 - - 12 - USB device INF Windows INF Device Function

More information

840 提示 Excel - Excel -- Excel (=) Excel ch0.xlsx H5 =D5+E5+F5+G5 (=) = - Excel 00

840 提示 Excel - Excel -- Excel (=) Excel ch0.xlsx H5 =D5+E5+F5+G5 (=) = - Excel 00 Excel - - Excel - -4-5 840 提示 Excel - Excel -- Excel (=) Excel ch0.xlsx H5 =D5+E5+F5+G5 (=) = - Excel 00 ( 0 ) 智慧標籤 相關說明提示 -5 -- Excel 4 5 6 7 8 + - * / % ^ = < >= & 9 0 (:) (,) ( ) Chapter - :,

More information

Microsoft Word - FPGA設計實務_0A.doc

Microsoft Word - FPGA設計實務_0A.doc VHDL 關鍵字與中英文名詞對照表 本章內容豐富 主要包括兩部分 VHDL 關 鍵 字 中 英 文 名詞對照表 A-2 FPGA 設計實務 A-1 VHDL 關鍵字 FF PPG AA 設計實務 abs access after alias all and architecture array assert attribute begin block body buffer bus case component

More information

主程式 : public class Main3Activity extends AppCompatActivity { ListView listview; // 先整理資料來源,listitem.xml 需要傳入三種資料 : 圖片 狗狗名字 狗狗生日 // 狗狗圖片 int[] pic =new

主程式 : public class Main3Activity extends AppCompatActivity { ListView listview; // 先整理資料來源,listitem.xml 需要傳入三種資料 : 圖片 狗狗名字 狗狗生日 // 狗狗圖片 int[] pic =new ListView 自訂排版 主程式 : public class Main3Activity extends AppCompatActivity { ListView listview; // 先整理資料來源,listitem.xml 需要傳入三種資料 : 圖片 狗狗名字 狗狗生日 // 狗狗圖片 int[] pic =new int[]{r.drawable.dog1, R.drawable.dog2,

More information

untitled

untitled 說 參 例 邏 邏 1. 說 2. 數 數 3. 8 4. 理念 李 龍老 立 1. 理 料 2. 理 料 3. 數 料 4. 流 邏 念 5. 良 6. 讀 行 行 7. 行 例 來 邏 1. 說 說 識 量 2. 說 理 類 3. 數 數 念 4. 令 5. 良 6. 流 邏 念 7. 說 邏 理 力 1. 2. 3. 4. 5. 列 念 1 參 1. ( Visual Basic 例 ) (1)

More information

PowerPoint Presentation

PowerPoint Presentation 第六章簡介運算子超載 (Operator Overloading) 6-1 運算子超載的基礎 6-2 超載二元運算子 6-3 超載邏輯與關係運算子 6-4 超載一元運算子 6-5 使用夥伴函數 6-6 細部檢視指定運算子 6-7 超載註標運算子 6-1 運算子超載的基礎 甚麼是運算子超載? 讓運算子 ( 符號 ) 有不同的意義 EX: 運算子的預設意義 ( 以 + 與 = 為例 ) class frac

More information

Microsoft PowerPoint - Chapter3

Microsoft PowerPoint - Chapter3 第三章資料型別與運算 課程目標 1. 暸解 VBA 程式設計可用之資料型態 2. 定義變數 3. 運算子與優先順序 4. 變數與資料運算實務案例 - 業績獎金自訂函數範例與延伸 2 資料型別 在 VBA 巨集語言當中, 資料被分成十種基本型別, 再加上使用者自訂型別物件型別及附於 Variant 型別中的 Decimal 型別, 總共是十三種資料型態的表示法 3 數字資料型別 數字類資料型態的種類共可以分為

More information

Microsoft PowerPoint - 3C¬ì§Þ»P¥Í¬¡-chapter2

Microsoft PowerPoint - 3C¬ì§Þ»P¥Í¬¡-chapter2 Chapter II 軟硬之間 電腦的程式與硬體 1 資料的二進位表示法 資訊在電腦外部及內部表示法上的不同 2 資料的二進位表示法 二進位與十進位轉換表 3 資料的二進位表示法 1035 0000010000001011-1035 直接符號 1000010000001011 1 s 補數 1111101111110100 2 s 補數 1111101111110101 負整數表示法 4 資料的二進位表示法

More information

Microsoft Word - ACI chapter00-1ed.docx

Microsoft Word - ACI chapter00-1ed.docx 前言 Excel Excel - v - 財務管理與投資分析 -Excel 建模活用範例集 5 相關 平衡 敏感 - vi - 前言 模擬 If-Then 規劃 ERP BI - vii - 財務管理與投資分析 -Excel 建模活用範例集 ERP + BI + ERP BI Excel 88 Excel 1. Excel Excel 2. Excel 3. Excel - viii - 前言 1.

More information

NethersoleJO89(8).indd

NethersoleJO89(8).indd 2 3 4 5 6 7 8 9 10 雅風四十六期 二零零八年九月 婆婆的愛心感動了我 陳姑娘在災區認識了白婆婆 她的家人全都在外地工 作 婆婆表示地震當日 她急忙地救了兩戶鄰舍的兩名小 孩 拖著六歲的男孩和揹著四個月大的嬰孩從災區步行兩 日後到達救援區 獲救的男孩每天都前往帳篷探望婆婆 因此她面上常帶笑容 每當白婆婆看見義工隊到災區時 都會送上暖暖的問候 更將獲配給的涼水贈予義工們 她 那真誠和熱切的關懷深深感動了義工隊

More information

組合邏輯的設計

組合邏輯的設計 R R R LED RL 第一部份 : 組合邏輯的設計 步驟 :. 決定輸出入變數, 繪製方塊圖. 列出真值表. 化簡輸出函數 4. 繪製電路圖 範例 : 設計一個三人用表決電路, 當多數人贊成的時候, 輸出 LED 亮起, 否則熄滅 解答 :. 決定輸出入變數, 繪製方塊圖. 列出真值表. 化簡輸出函數 F ( )( )( ) F 4. 繪製電路圖 Vcc U U U4 範例 : 設計一個三輸入的加總電路,

More information

Microsoft Word - PHP 基本語法.doc

Microsoft Word - PHP 基本語法.doc 引用自 : 王勝雄, 台中市網 PHP 程式語言編寫 研習課程網址 :http://km.tceb.edu.tw/~wsx/php/index.htm 程式碼概論 一 PHP 程式碼執行方式 : 透過 Web Server 方式 : 例如利用 Apache HTTP Server 來執行.php( 或.php3) 副檔名的網頁 ( 可參考 PHP 簡介的一個簡單介紹的範例 ) 文字模式下執行程式 :

More information

碩命題橫式

碩命題橫式 一 解釋名詞 :(50%) 1. Two s complement of an integer in binary 2. Arithmetic right shift of a signed integer 3. Pipelining in instruction execution 4. Highest and lowest layers in the TCP/IP protocol suite

More information

目次 CONTENTS 2 1 乘法公式與多項式 二次方根與畢氏定理 因式分解 一元二次方程式

目次 CONTENTS 2 1 乘法公式與多項式 二次方根與畢氏定理 因式分解 一元二次方程式 給同學的話 1 2 3 4 目次 CONTENTS 2 1 乘法公式與多項式 1-1 3 1-2 7 1-3 11 1 16 2 二次方根與畢氏定理 2-1 20 2-2 24 2-3 29 2 33 3 因式分解 3-1 37 3-2 41 3-3 45 3 49 4 一元二次方程式 4-1 53 4-2 57 4-3 61 4 65 3 1-1 乘法公式 本節性質與公式摘要 1 分配律 : ddd

More information

Microsoft PowerPoint - ICP_02_Basic_Data_Type.ppt [相容模式]

Microsoft PowerPoint - ICP_02_Basic_Data_Type.ppt [相容模式] Introduction to Computer and Program Design Lesson 2 Basic Data Types James C.C. Cheng Department of Computer Science National Chiao Tung University The basic data types 變數 (variable) 是甚麼? 變數代表了一塊可以記錄資料的記憶體空間,

More information

Microsoft PowerPoint - Class2.pptx

Microsoft PowerPoint - Class2.pptx C++ 程式初探 II 2015 暑期 C++ 程式 II 大綱 1. 變數 2. 運算式 3. 輸出 4. 條件判斷 5. 迴圈 6. 陣列 2 基本變數型態 整數 位元組 浮點數 位元組 字元 位元組 short 2 float 4 char ( 整數 ) 1 int 2 (4) double 8 long 4 (8) long double 8(10) 位元組 整數値域 浮點數値域 準確度 1-128

More information

<4D F736F F F696E74202D20B5DAC8FDB2BFB7D633A3BA CD3EFD1D42DCAFDBEDDC0E0D0CDD3EBB2D9D7F7B7FB2E707074>

<4D F736F F F696E74202D20B5DAC8FDB2BFB7D633A3BA CD3EFD1D42DCAFDBEDDC0E0D0CDD3EBB2D9D7F7B7FB2E707074> 第三部分 :VHDL 语言 (3) 北京理工大学雷达技术研究所 陈禾 复习 LIBRARY ieee; ENTITY ent1 IS }... BEGIN }... END ENTITY ent1; CONFIGURATION cfg1 OF ent1 IS }... END CONFIGURATION cfg1; PACKAGE pkg1 IS }... NED PACKAGE pkg1 ARCHITCTURE

More information

逢 甲 大 學

逢  甲  大  學 益 老 年 不 易更 例 不 異 列 - I - 錄 錄 流 錄 六 來 錄 - II - 錄 錄 錄 錄 錄 錄 參 料 錄 - III - 料 讀 讀 錄 讀 數 錄 錄 錄 錄 錄 - IV - 錄 錄 行 錄 錄 錄 錄 讀 錄 錄 錄 讀 錄 錄 - V - 了 說 力 兩 了 - 1 - 列 邏 路 列 不 不 FLEX 10K Devices at a Glance Feature

More information

序言.PDF

序言.PDF EDA VHDL VHDL VHDL EDA VHDL 1 7 9 10 FPGA 11 VHDL EDA 12 VHDL 13 VHDL 14 VHDL 12 VHDL 13 EDA / VHDL EDA 028 6636481 6241146 3201496 VHDL : ( 610054) : : : : 787 1092 1/16 14.875 343 : 1999 12 : 1999 12

More information

, 7, Windows,,,, : ,,,, ;,, ( CIP) /,,. : ;, ( 21 ) ISBN : -. TP CIP ( 2005) 1

, 7, Windows,,,, : ,,,, ;,, ( CIP) /,,. : ;, ( 21 ) ISBN : -. TP CIP ( 2005) 1 21 , 7, Windows,,,, : 010-62782989 13501256678 13801310933,,,, ;,, ( CIP) /,,. : ;, 2005. 11 ( 21 ) ISBN 7-81082 - 634-4... - : -. TP316-44 CIP ( 2005) 123583 : : : : 100084 : 010-62776969 : 100044 : 010-51686414

More information

<4D F736F F F696E74202D20BCC6A6ECA874B2CEBEC9BDD7C1BFB871B2C4A440B3B9>

<4D F736F F F696E74202D20BCC6A6ECA874B2CEBEC9BDD7C1BFB871B2C4A440B3B9> 數位系統導論 蔡宗漢 (Tsung-Han Tsai) Dept. of E.E., N.C.U. 1 教學目標 : 1 了解數位電子電路的基本原理, 例如資訊的二進位系統 布林代數 2 了解數位電子電路的基本原件, 如 : 組合電路 循序電路 加法器 比較器 等等 授課大綱 : 1 數位邏輯的原理 2 元件的認識( 如 AND/OR 閘, 加法器 ) 3 數位邏輯功能單元 4 數位邏輯的設計 2

More information

Microsoft PowerPoint - chap2.ppt

Microsoft PowerPoint - chap2.ppt 基本程式設計觀念 人 自然語言 ( 中文 英文...) 高階語言 (C C++ Java) 組合語言 (8051 或是 80x86) 機器語言 (8051 或是 80x86) 機器 林銘波編著 --- 全華科技圖書公司 2.1 計算機的階層式結構 應用程式 ( 或語言 ) 高階語言組合語言硬體 林銘波編著 --- 全華科技圖書公司 2.2 儲存程式計算機 資料輸入 中央處理器讀取 / 寫入控制 CPU

More information

資料結構之C語言重點複習

資料結構之C語言重點複習 鏈結串列自編教材 ( 一 ) 本教材 ( 一 ) 目標問題 : 每次以亂數產生一 [0,1000] 之整數值, 若該值 >100, 則以同方式繼續產生下一亂數值, 若該值

More information

第一篇文概說第七章公文的用語及標點符號公本篇內容 第一章 緒論 第二章 公文的意義 第三章 公文與高 普 特各類考試 第四章 公文程式之意義及演變 第五章 公文之分類及其行文系統 第六章 公文之結構與行款 第一篇 第一章緒論 003 第一章緒論 等 等 004 最新應用公文 第一篇 第二章公文的意義 005 第二章公文的意義 第一節 一 須為公務員製作之文書 二 須為公務員 職務上 製作之文書 006

More information

實驗八 數位邏輯 實驗大綱 : 一 邏輯訓練器的介紹簡介 二 LED 的電路操作 三 了解基本邏輯閘的應用邏輯閘的應用 (AND,OR,NOT,NAND,NOR,) 四 邏輯閘的組合應用 ( 數個連接起來做應用, 卡諾圖訓練 ) 實驗原理 : 一 邏輯訓練器的介紹 OFF ON

實驗八 數位邏輯 實驗大綱 : 一 邏輯訓練器的介紹簡介 二 LED 的電路操作 三 了解基本邏輯閘的應用邏輯閘的應用 (AND,OR,NOT,NAND,NOR,) 四 邏輯閘的組合應用 ( 數個連接起來做應用, 卡諾圖訓練 ) 實驗原理 : 一 邏輯訓練器的介紹 OFF ON 實驗八 數位邏輯 實驗大綱 : 一 邏輯訓練器的介紹簡介 二 LED 的電路操作 三 了解基本邏輯閘的應用邏輯閘的應用 (ND,OR,NOT,NND,NOR,) 四 邏輯閘的組合應用 ( 數個連接起來做應用, 卡諾圖訓練 ) 實驗原理 : 一 邏輯訓練器的介紹 OFF ON 7 6 5 4 3 2 POWER U-3 STRIGHT HEDER HI :RED LO:NO DISPLY +V -V

More information

Microsoft Word - part doc

Microsoft Word - part doc 3 指標與陣列 3-1 指標與一維陣列 3-2 指標與二維陣列 3-3 陣列指標 3-4 為什麼 parr 等同於 *parr? 3-5 指向陣列的指標 3-6 多重指標 3-7 命令列引數 3-8 除錯題 3-9 問題演練 3-10 程式實作 32 Part 1 C 程式語言篇 指標其實就是一位址 陣列的名稱, 表示此陣列第一個元素的位址, 所以它也是指標 由此可知, 指標與陣列的關係是很密切的

More information

Microsoft PowerPoint - 04-array_pointer.ppt

Microsoft PowerPoint - 04-array_pointer.ppt Array 與 Pointer Array Dynamical Memory Allocation Array( 陣列 ) 陣列是用來存放同樣型態的資料陣列的大小必須在程式中預先設定在程式執行中, 陣列的大小無法改變陣列中的資料是透過索引 (index) 來存取 一維陣列的宣告 type array_name[array_size]; int iarray[100]; /* an integer array

More information

Microsoft Word - ch 校.doc

Microsoft Word - ch 校.doc 變數與基本資料型別 CHAPTER 3 基本資料型別 延伸資料型別 字元 字串常值 整數 浮點數常值 符號常數 識別字與保留字 變數宣告 指定 算術 複合指定 遞增和遞減運算子 關係 邏輯運算子 運算子的優先順序 強制型別轉換 自動型別轉換 檢測模擬考題解析 3.1 資料型別 電腦軟體就是用來處理各類的資料, 以解決人類生活上的問題 生活中有各式各樣的資料, 例如姓名 身高 年齡 數量 車牌號碼 編號

More information

運算子多載 Operator Overloading

運算子多載 Operator Overloading 多載 Overloading 講師 : 洪安 1 多型 編譯時期多型 ( 靜態多型 ) function overloading 函數多載 如何正確呼叫同名的函數? 利用參數個數與型態 operator overloading 運算子多載 其實同 function overloading 執行時期多型 ( 或動態多型 ) 如何正確呼叫不同物件的相同名稱的成員函數 利用繼承與多型 2 函數多載 Function

More information

Microsoft PowerPoint - VB14.ppt

Microsoft PowerPoint - VB14.ppt VB 列表盒 LISTBOX 應用 資科系 林偉川 執行畫面 1 2 1 重要屬性 LISTBOX 物件 (VB6) 新增至 LISTBOX 物件中 ADDITEM 自 LISTBOX 物件中刪除選取物件 REMOVEITEM 自 LISTBOX 物件中取出選取物件 ListIndex 顯示 LISTBOX 物件中紀錄個數 Listcount 3 LISTBOX 物件 (VB.NET) 重要屬性 新增至

More information

山东建筑大学学分制管理规定(试行)

山东建筑大学学分制管理规定(试行) 山 建 大 校 字 2015 67 号 山 东 建 筑 大 学 关 于 印 发 学 分 制 管 理 规 定 ( 试 行 ) 的 通 知 各 院 部 校 直 各 部 门 : 山 东 建 筑 大 学 学 分 制 管 理 规 定 ( 试 行 ) 已 经 学 校 研 究 同 意, 现 印 发 给 你 们, 请 认 真 遵 照 执 行 山 东 建 筑 大 学 2015 年 8 月 7 日 1 山 东 建 筑

More information

Microsoft PowerPoint - chap04.ppt

Microsoft PowerPoint - chap04.ppt 第四章 組合邏輯 4- 組合電路 4-3 設計步驟 組合電路的設計. 由電路的敘述, 決定所需的輸入與輸出的個數並且對每一個輸入與輸出安排一個變數符號 2. 導出真值表並定義輸入與輸出間的關係 3. 對每一個輸出求出以輸入變數為函數之簡化的布林函數 4. 畫出邏輯圖並且證明設計的正確性 BCD 碼到超 3 碼轉換器 2 BCD 到超 3 碼卡諾圖 BCD 到超 3 碼電路圖 3 4-4 二進位加法器

More information

Spyder Anaconda Spyder Python Spyder Python Spyder Spyder Spyder 開始 \ 所有程式 \ Anaconda3 (64-bit) \ Spyder Spyder IPython Python IPython Sp

Spyder Anaconda Spyder Python Spyder Python Spyder Spyder Spyder 開始 \ 所有程式 \ Anaconda3 (64-bit) \ Spyder Spyder IPython Python IPython Sp 01 1.6 Spyder Anaconda Spyder Python Spyder Python Spyder Spyder 1.6.1 Spyder 開始 \ 所有程式 \ Anaconda3 (64-bit) \ Spyder Spyder IPython Python IPython Spyder Python File

More information

Microsoft Word - 投影片ch03

Microsoft Word - 投影片ch03 Java2 JDK5.0 教學手冊第三版洪維恩編著博碩文化出版書號 pg20210 第三章變數與資料型態 本章學習目標認識變數與常數認識 Java 的基本資料型態學習如何進行資料型態轉換學習如何由鍵盤輸入資料 變數與資料型態 3-2 Java 的資料型態分為 : 與 原始資料型態 (primitive type) 非原始資料型態 (non-primitive type) 原始資料型態包括了整數與浮點數等型態

More information

Microsoft PowerPoint - Bronson-v3-ch02.ppt [相容模式]

Microsoft PowerPoint - Bronson-v3-ch02.ppt [相容模式] C++ FOR ENGINEERS AND SCIENTISTS THIRD EDITION Chapter 2 Problem Solving Using C++ 1 Objectives 目標 2 In this chapter, you will learn about: Modular programs 模組化程式 Programming style 寫程式的風格 Data types 資料種類

More information

Microsoft Word - 06027017 內封_0412.doc

Microsoft Word - 06027017 內封_0412.doc JAVA 程 式 設 計 藝 術 ( 第 九 版 )( 國 際 版 ) JAVA HOW TO PROGRAM 9/E Paul Deitel Harvey Deitel 原 著 陸 茵 楊 安 渡 傅 日 明 編 譯 目 錄 本 書 第 18-25 27-31 章 以 及 附 錄 A-Q 之 內 容, 均 收 錄 於 隨 書 光 碟 中 序 言...xvii 準 備 工 作...xxvii 第 1

More information

Microsoft Word - ACL chapter02-5ed.docx

Microsoft Word - ACL chapter02-5ed.docx 第 2 章神奇的質數 2.1.1 什麼是質數 1 1 1 打下好基礎 - 程式設計必修的數學思維與邏輯訓練 1 1 0 10 2 3 5 7 4 6 8 9 10 4 10000 1229 1000 168 2 3 5 7 11 13 17 19 23 29 31 37 41 43 47 53 59 61 67 71 73 79 83 89 97 101 103 107 109 113 127 131

More information

LCD模組之應用

LCD模組之應用 液晶顯示幕 LCD 模組之應用 第十三章 2018/11/30 例說 89S51-C 語言 13-1 本章內容 2018/11/30 例說 89S51-C 語言 13-2 1 液晶顯示幕 LCD 之日常應用 3 https://s.yimg.com/hg/pimg2/ae/60/p099374833664-item-2330xf2x0600x0600-m.jpg 液晶顯示幕 LCD 之日常應用 4

More information

1 式子的運算 19 例 1 解 符號的簡記 ( 乘法 ) 1x 4x x 5 1xx 4x4x x 5 5 x 5 x 5x 除以一個不為 0 的數就是乘以該數的倒數 P5 1 1 x x 5 5 x 4 x 4 x 可視為 x 1x4 x 1 4 x4x x x 4 x x x

1 式子的運算 19 例 1 解 符號的簡記 ( 乘法 ) 1x 4x x 5 1xx 4x4x x 5 5 x 5 x 5x 除以一個不為 0 的數就是乘以該數的倒數 P5 1 1 x x 5 5 x 4 x 4 x 可視為 x 1x4 x 1 4 x4x x x 4 x x x 7 1 x y 基會試題 9 I 8 18 1 符號的簡記 x 第三章一元一次方程式 -1 xyz 1 4 x 4x x x 1500 x 1500x 4 y y x15 15x 15x x1.5 1.5x 1.5x x x x x 4 7 以符號代表數 式子的運算 1.. 4 7 x 4 7. x 4x 7 7-a-017-a-0 15x 15x 15x 1x 1x 1 1 x 簡記成 x (-1)

More information

標題版面配置

標題版面配置 Computer programming and Data Science William Hsu Department of Computer Science and Engineering National Taiwan Ocean University Python 一種相對容易上手但難精的語言 2019/2/20 2 Python 簡介 Google 網站的搜尋系統 Youtube 視訊共享服務

More information

untitled

untitled 000514 2005 1 000514 2005 2 000514 2005 3 000514 2005 4 000514 2005 5 000514 2005 6 000514 2005 7 000514 2005 8 000514 2005 9 000514 2005 10 000514 2005 11 000514 2005 1 (2003)56 [2003]56 12004122 20040334000

More information

重庆渝开发股份有限公司

重庆渝开发股份有限公司 000514 2004 1 000514 2004 2 000514 2004 3 000514 2004 4 000514 2004 5 000514 2004 6 000514 2004 7 000514 2004 8 000514 2004 9 000514 2004 10 000514 2004 11 000514 2004 12 000514 2004 13 000514 2004 14

More information

Microsoft PowerPoint - php6.ppt

Microsoft PowerPoint - php6.ppt 進階 WWW 程式設計 PHP Array 靜宜大學資訊管理學系蔡奇偉副教授 1 內容大綱 陣列的種類 陣列的產生方式 讀取陣列元素值 字串中展開陣列變數值 改變陣列元素值 foreach 迴圈指令 list() 指令 插入 移除 與置換元素 2 陣列的種類 PHP 的陣列可用來儲存一組相同或不同型態的資料 PHP 提供下列兩種 不同存取方式的陣列 : 索引陣列 (indexed array) 用一個整數索引來存取陣列中的值

More information

Introduction to C Programming

Introduction to C Programming C 語言使得程式設計者能以結構化且有條理的方法來設計程式 本書將簡單介紹 C 程式的設計, 並舉出數個例子來說明 C 語言的一些重要特性 第三和第四章將會介紹 C 的結構化程式設計 (structured programming) 我們從一個簡單的 C 程式開始 第一個例子是列印一行文字 第 1 行和第 2 行 /* Fig. 2.1: fig02_01.c A first program in

More information

记 忆 155 期 北 京 大 学 文 革 专 辑 (9) 目 录 专 稿 章 铎 从 高 云 鹏 的 遭 遇, 看 迟 群 之 流 的 专 制 附 : 高 云 鹏 给 胡 宗 式 章 铎 的 信 (2015 年 11 月 19 日 ) 评 论 马 云 龙 王 复 兴 抢 救 记 忆 : 一 个 北

记 忆 155 期 北 京 大 学 文 革 专 辑 (9) 目 录 专 稿 章 铎 从 高 云 鹏 的 遭 遇, 看 迟 群 之 流 的 专 制 附 : 高 云 鹏 给 胡 宗 式 章 铎 的 信 (2015 年 11 月 19 日 ) 评 论 马 云 龙 王 复 兴 抢 救 记 忆 : 一 个 北 记 忆 155 期 北 京 大 学 文 革 专 辑 (9) 目 录 专 稿 章 铎 从 高 云 鹏 的 遭 遇, 看 迟 群 之 流 的 专 制 附 : 高 云 鹏 给 胡 宗 式 章 铎 的 信 (2015 年 11 月 19 日 ) 评 论 马 云 龙 王 复 兴 抢 救 记 忆 : 一 个 北 大 学 生 的 文 革 回 忆 录 序 扬 子 浪 洗 涤 我 们 被 污 损 的 灵 魂 读 抢

More information

硕士论文正文

硕士论文正文 1905 1945...3...4...11...23...41...54...66...73 1945 1949...81...117...127...132...176...184 1905 1945 1905 1910 1920 1922 1941 1945 1920 1944 1915 1939 25 1922 1933 40 1939 30 30 20 1932 30 1944 1945

More information

1 2 3 4 5 6 7 8 9 10 1 2 3 4 5 6 7 8 9 10 2 1 3 4 1 9 17 25 3 11 19 27 5 13 21 29 7 15 23 31 2 10 18 26 3 11 19 27 6 14 22 30 7 15 23 31 4 12 20 28 5 13 21 29 6 14 22 30 7 15 23 31 8 12 24 28

More information

不 会 忘 记, 历 史 不 会 忘 记, 当 一 个 古 老 神 州 正 以 崭 新 的 姿 态 昂 首 屹 立 于 世 界 东 方 的 时 候, 当 世 界 把 延 伸 的 广 角 镜 瞄 准 这 片 神 奇 土 地 的 时 候, 中 国 人 民 已 深 深 感 到, 现 在 所 拥 有 的,

不 会 忘 记, 历 史 不 会 忘 记, 当 一 个 古 老 神 州 正 以 崭 新 的 姿 态 昂 首 屹 立 于 世 界 东 方 的 时 候, 当 世 界 把 延 伸 的 广 角 镜 瞄 准 这 片 神 奇 土 地 的 时 候, 中 国 人 民 已 深 深 感 到, 现 在 所 拥 有 的, 共 和 国 十 大 将 军 传 粟 裕 中 国 十 大 将 军 01 粟 裕 前 言 任 何 一 场 伟 大 的 革 命, 无 一 不 是 波 澜 壮 阔, 大 潮 叠 起 伴 随 和 影 响 着 这 些 革 命 的, 是 一 代 又 一 代 伟 大 的 革 命 家 对 于 二 十 世 纪 整 个 中 华 民 族 来 说, 革 命 一 词 总 是 蕴 涵 着 正 义 和 进 步, 圣 洁 和 光 荣

More information

第一章

第一章 台南市立大內國民中學母語教學檔案 兒童文學 台灣兒童歌謠 壹 前言 我國的童謠 始於列子之康衢之謠 堯乃微服遊於康衢 兒童曰 立我烝民 莫匪爾極 不識不知 順序之則 後漢書 獻帝初 京師童謠曰 千里草 何青青 十日卜 不得生 前者歌頌帝堯德政 之廣被 後者諷刺董卓之虐政 人民將身受其殃 皆係含有政治作用的 歌謠 今日所說童謠 爾雅曾說 徒歌謂之謠 所謂徒歌即是隨口吟 唱 不加伴奏 也無固定旋律 隨興之所至啍唱

More information

标题

标题 三 风 云 人 物 27 三 风 云 人 物 武 进 人 杰 地 灵, 历 代 名 人 辈 出 千 年 悠 悠, 无 数 志 士 仁 人 名 贤 巨 贾 荟 萃 于 此, 给 这 片 土 地 带 来 了 富 庶 和 文 明, 留 下 了 数 不 尽 的 动 人 故 事, 在 武 进 历 史 的 演 进 中 刻 上 深 深 的 烙 印 1 延 陵 君 子 季 札 春 秋 末 期 的 季 札 被 武 进

More information

Microsoft Word - media-tips-zh.doc

Microsoft Word - media-tips-zh.doc 媒 摘 体 自 采 粮 访 该 做 和 不 农 该 组 做 织 的 媒 事 体 情 关 系 处 编 制 的 一 份 该 做 和 不 该 做 的 事 情 清 单 积 简 单 极 地 主 回 动 当 媒 体 打 来 应 电 质 话 询 并 不 确 保 每 次 都 能 传 递 你 的 关 键 信 息 通 过 下 列 问 是 您 题 哪 贵 个 确 保 单 姓? 你 位 的 能? 完 全 明 白 你 在 同

More information

A 单 位 负 责 人 B 会 计 机 构 负 责 人 C 会 计 主 管 人 员 D 会 计 人 员 多 选 题 : 1. 单 位 伪 造 变 造 会 计 凭 证 会 计 账 簿, 编 制 虚 假 财 务 会 计 报 告 的, 县 级 以 上 人 民 政 府 财 政 部 可 以 依 法 行 使 的

A 单 位 负 责 人 B 会 计 机 构 负 责 人 C 会 计 主 管 人 员 D 会 计 人 员 多 选 题 : 1. 单 位 伪 造 变 造 会 计 凭 证 会 计 账 簿, 编 制 虚 假 财 务 会 计 报 告 的, 县 级 以 上 人 民 政 府 财 政 部 可 以 依 法 行 使 的 (6.13 考 生 原 题 ) 原 始 资 料 请 勿 外 泄, 违 者 必 究! 单 选 题 : 1. 下 列 税 种 中, 不 属 于 行 为 税 的 是 ( ) A 车 船 使 用 牌 照 税 B 耕 地 占 用 税 C 城 市 维 护 建 设 税 D 车 辆 购 置 税 2. 根 据 人 民 币 银 行 结 算 账 户 管 理 办 法 的 规 定, 非 经 营 性 的 存 款 人 违 反 规

More information

第六篇守势

第六篇守势 克 劳 塞 维 慈 战 争 论 纲 要 1/31 第 六 篇 守 势 第 六 篇 守 势 守 势 的 本 质 1 守 势 的 概 念 2 守 势 的 价 值 3 守 势 价 值 的 变 迁 4 守 势 所 附 带 的 一 般 性 质 战 略 守 势 (A) 守 势 会 战 1 战 略 守 势 的 性 质 2 战 略 守 势 的 特 别 手 段 3 守 势 的 抵 抗 种 类 a 要 塞 b 防 御

More information

1152 1221 1195 1217 12 1 5 9 5000 90 2 10 6 1226 1255 1260 5 1301 1273 1275 1281 1956 1303 1358 1333 1352 13 43 3 20 2 6 3 7 10 1349 11 1522 1508 1522 30 1601 1645 1628 9 20 1655 1644 800 1652 3 5 5 7

More information

!"# $ %& (!") *+$, %-%-.! $, ,-,- /0 12$.!3,"+$&4,-$ 5&3$ 5) 67$, $") 89" .!2 " " " " $") $4 # $ # # % :&;$

More information

第 二 章 鉴 证 业 务 的 定 义 和 目 标 第 五 条 鉴 证 业 务 是 指 注 册 会 计 师 对 鉴 证 对 象 信 息 提 出 结 论, 以 增 强 除 责 任 方 之 外 的 预 期 使 用 者 对 鉴 证 对 象 信 息 信 任 程 度 的 业 务 鉴 证 对 象 信 息 是 按

第 二 章 鉴 证 业 务 的 定 义 和 目 标 第 五 条 鉴 证 业 务 是 指 注 册 会 计 师 对 鉴 证 对 象 信 息 提 出 结 论, 以 增 强 除 责 任 方 之 外 的 预 期 使 用 者 对 鉴 证 对 象 信 息 信 任 程 度 的 业 务 鉴 证 对 象 信 息 是 按 中 国 注 册 会 计 师 鉴 证 业 务 基 本 准 则 第 一 章 总 则 第 一 条 为 了 规 范 注 册 会 计 师 执 行 鉴 证 业 务, 明 确 鉴 证 业 务 的 目 标 和 要 素, 确 定 中 国 注 册 会 计 师 审 计 准 则 中 国 注 册 会 计 师 审 阅 准 则 中 国 注 册 会 计 师 其 他 鉴 证 业 务 准 则 ( 分 别 简 称 审 计 准 则 审 阅

More information

,,,,,,,,,,,,, 1 ,,,,,,,,,,,, ;,,,,,,,,,,,,,,!,,, 2 ,,,,,,,,,,,!, 1 ,,,,,,,,,,,?, :,,,,,,,,,,,,,,,, :,,, 2 ,,,,,,,,, :,, :,,,,,,,,,,!,,,,,,,,,,,,,,, 3 ,,,?,,,,,,,,,, :,!,,,,,,,,,,,,,,,,,,, 4 ,,,,,,, Q,,,,,,,,,

More information

書本介紹

書本介紹 班 級 經 營 期 末 報 告 組 員 : 幼 三 甲 4A0I0030 蔡 依 璇 4A0I0048 蘇 家 儀 4A0I0096 張 容 嫣 4A0I0098 袁 少 潔 書 本 介 紹 閱 讀 對 象 : 小 學 低 年 級 的 老 師 新 生 家 長 有 意 從 事 小 學 者 及 關 心 教 育 品 質 的 社 會 人 士 內 容 : 1. 教 師 如 何 成 功 有 效 地 經 營 低

More information

國立北斗家商 107 學年度第 2 學期第二次期中考科目 : 計算機應用 計算機概論 IV 班級 : 商二 1 2 貿二 資二 綜二 1 作答方式 : 答案卡 選擇題共 33 題, 除第 1 題 4 分, 其餘每題 3 分, 注意作答時間 1. ( ) 使用 Visual Basic 程式語言 (

國立北斗家商 107 學年度第 2 學期第二次期中考科目 : 計算機應用 計算機概論 IV 班級 : 商二 1 2 貿二 資二 綜二 1 作答方式 : 答案卡 選擇題共 33 題, 除第 1 題 4 分, 其餘每題 3 分, 注意作答時間 1. ( ) 使用 Visual Basic 程式語言 ( 國立北斗家商 107 學年度第 2 學期第二次期中考科目 : 計算機應用 計算機概論 IV 班級 : 商二 1 2 貿二 資二 綜二 1 作答方式 : 答案卡 選擇題共 33 題, 除第 1 題 4 分, 其餘每題 3 分, 注意作答時間 1. ( ) 使用 Visual Basic 程式語言 ( 以下皆是 ) 執行下列程式碼後,T 值為何? (A)495 (B)550 (C)594 (D)5050

More information

教科書:系統程式 - 第 2 章、電腦的硬體結構

教科書:系統程式 - 第 2 章、電腦的硬體結構 第 2 章 電腦的硬體結構 作者 : 陳鍾誠 第 2 章 電腦的硬體結構 2.1 CPU0 處理器 2.2 CPU0 的指令集 2.3 CPU0 的運作原理 2.4 CPU0 的程式執行 2.5 實務案例 :IA32 處理器 2.1 CPU0 處理器 CPU0 是筆者所設計的一個簡易的 32 位元處理器, 主要用來說明系統程式的運作原理 CPU0 的設計主要是為了教學考量, 設計重點在於簡單 容易理解,

More information

untitled

untitled Verilog 1 錄 料 7. 邏 8. 料流 9. 行 10. 令 11. 邏 路 例 2 1. Verilog 路 (Flexibility) 易 更 更 易 連 林 數 (Portability) 不 不 易 C 3 2. Verilog Verilog (model) (switch level) (transistor) 邏 (gate level) 料流 (data flow) (register

More information

Microsoft PowerPoint - ch03_AEL0080.ppt

Microsoft PowerPoint - ch03_AEL0080.ppt 3 基本資料型態 能盡物之性, 則可以贊天地之化育 可以贊天地之化育, 則可以與天地矣 中庸中庸.第二十一章第二十一章 1/88 基本資料型態 3.1 3.2 3.3 3.4 3.5 3.6 3.7 整數和浮點數變數和常數算術運算標準數學函數的運算邏輯值及其運算字元與字串位元處理運算 2/88 C++ 的資料型態 C++ 資料型態 基本資料型態 整數 int, short, long 浮點數 float,

More information

Microsoft PowerPoint - 13_指標、資料傳遞2.pptx

Microsoft PowerPoint - 13_指標、資料傳遞2.pptx 1 2 指標 Lecture 13 指標函式呼叫的資料傳遞 (III) 傳址指標與陣列 Pointer 3 4 指標 / 指位器 (Pointer) 變數 int a; 整數型別, 名稱為 a 變數是為了使用記憶體資源來儲存資料與進行運算 所有的變數都佔有記憶體空間 記憶體 可視為一個很大的一維陣列, 單位是 byte 問題 一個 4KB 的電腦, 其記憶體位置 ( 編號 ) 從 0 至? 4 x

More information

6-1 Table Column Data Type Row Record 1. DBMS 2. DBMS MySQL Microsoft Access SQL Server Oracle 3. ODBC SQL 1. Structured Query Language 2. IBM

6-1 Table Column Data Type Row Record 1. DBMS 2. DBMS MySQL Microsoft Access SQL Server Oracle 3. ODBC SQL 1. Structured Query Language 2. IBM CHAPTER 6 SQL SQL SQL 6-1 Table Column Data Type Row Record 1. DBMS 2. DBMS MySQL Microsoft Access SQL Server Oracle 3. ODBC SQL 1. Structured Query Language 2. IBM 3. 1986 10 ANSI SQL ANSI X3. 135-1986

More information

C/C++语言 - 运算符、表达式和语句

C/C++语言 - 运算符、表达式和语句 C/C++ Table of contents 1. 2. 3. 4. C C++ 5. 6. 7. 1 i // shoe1.c: # include # define ADJUST 7. 64 # define SCALE 0. 325 int main ( void ) { double shoe, foot ; shoe = 9. 0; foot = SCALE * shoe

More information

Microsoft Word - C-pgm-ws2010.doc

Microsoft Word - C-pgm-ws2010.doc Information and Communication Technology 資訊與通訊科技 Loops (while/for) C 廻路 姓名 : 班別 : ( ) CS C Programming #1 Functions 函數 : 1 若 n=14, 求以下表示式的值 Expressions 表示式 Value 值 Expressions 表示式 Value 值 A 20 2 * (n /

More information

Microsoft Word - DA 資料處理-講義-01

Microsoft Word - DA 資料處理-講義-01 資資資資 講義 資料處理 ( 一 ) 數字系統 人類慣用十進位系統, 而電腦預設是採用二進位系統, 因此會有十進位系統與二進位系統間的轉換 此外, 為了節省儲存空間, 有時候電腦也會使用十六進位制 下面就跟大家介紹這幾種表示法以及各種表示法之間的換算 十進位 : 0,,, 3, 4, 5, 6, 7, 8, 9 鼎文公職二進位 : 從 0 開始, 逢 進位, 所以二進位的數字非 0 即, 亦即一般統稱的二元數字

More information

untitled

untitled Verilog HDL Verilog HDL 邏 令 列邏 路 例 練 數 度 (top-down design) 行 (concurrency) 2.1 Verilog HDL (module) 邏 HDL 理 HDL 邏 料 數 邏 邏 路 module module_name (port_list) // 列 //

More information

Microsoft Word - Delta Controller ASCII_RTU_TC

Microsoft Word - Delta Controller ASCII_RTU_TC Delta Controller ASCII/RTU ( 適用台達變頻器 伺服驅動器 PLC 溫度控制器 ) 人機預設值通訊速率 :9600, 7, None, 2 (ASCII); 9600, 8, None, 2 (RTU) 控制器站號 :1 控制區 / 狀態區 :None/None 控制器接線的說明 Delta Servo a. RS-232(DOP-A/AE/AS, DOP-B 系列適用 )

More information

目 录 第 一 部 分 档 案 局 概 况 一 主 要 职 责 二 部 门 决 算 单 位 构 成 第 二 部 分 档 案 局 2016 年 度 部 门 预 算 表 一 2016 年 度 市 级 部 门 收 支 预 算 总 表 二 2016 年 度 市 级 部 门 支 出 预 算 表 三 2016

目 录 第 一 部 分 档 案 局 概 况 一 主 要 职 责 二 部 门 决 算 单 位 构 成 第 二 部 分 档 案 局 2016 年 度 部 门 预 算 表 一 2016 年 度 市 级 部 门 收 支 预 算 总 表 二 2016 年 度 市 级 部 门 支 出 预 算 表 三 2016 档 案 局 2016 年 度 部 门 预 算 1 目 录 第 一 部 分 档 案 局 概 况 一 主 要 职 责 二 部 门 决 算 单 位 构 成 第 二 部 分 档 案 局 2016 年 度 部 门 预 算 表 一 2016 年 度 市 级 部 门 收 支 预 算 总 表 二 2016 年 度 市 级 部 门 支 出 预 算 表 三 2016 年 度 市 级 部 门 财 政 拨 款 支 出 预

More information

2015 年 度 收 入 支 出 决 算 总 表 单 位 名 称 : 北 京 市 朝 阳 区 卫 生 局 单 位 : 万 元 收 入 支 出 项 目 决 算 数 项 目 ( 按 功 能 分 类 ) 决 算 数 一 财 政 拨 款 168738.36 一 一 般 公 共 服 务 支 出 53.83 二

2015 年 度 收 入 支 出 决 算 总 表 单 位 名 称 : 北 京 市 朝 阳 区 卫 生 局 单 位 : 万 元 收 入 支 出 项 目 决 算 数 项 目 ( 按 功 能 分 类 ) 决 算 数 一 财 政 拨 款 168738.36 一 一 般 公 共 服 务 支 出 53.83 二 2015 年 度 部 门 决 算 报 表 ( 含 三 公 经 费 决 算 ) 2015 年 度 收 入 支 出 决 算 总 表 单 位 名 称 : 北 京 市 朝 阳 区 卫 生 局 单 位 : 万 元 收 入 支 出 项 目 决 算 数 项 目 ( 按 功 能 分 类 ) 决 算 数 一 财 政 拨 款 168738.36 一 一 般 公 共 服 务 支 出 53.83 二 上 级 补 助 收 入

More information

Fuzzy GP

Fuzzy GP : 林 理論 數 論 1 率 2 類,, 金流量 金 利 數 益,, 3 不 異 (Multi- Valued) (Single-Valued) 數 數 數 (Local Optimum) (Global Optimum) 4 (Multi-valued) (Non-linear) (Self-learning) 5 (Genetic Programming, GP) GP 1. 亂數 2. (individuals)

More information