FPGA黑金开发平台 用户手册

Size: px
Start display at page:

Download "FPGA黑金开发平台 用户手册"

Transcription

1 FPGA 黑金开发平台 用户手册 AC616

2 文档版本控制 文档版本 修改内容记录 REV1.0 创建文档 黑金动力社区 2 / 26

3 版权申明 : 本手册版权归属芯驿电子公司 ( 上海 ) 有限公司所有, 并保留一切权力 非经本公司 ( 书面形式 ), 同意, 任何单位及个人不得擅自摘录或修改本手册部分或全部内容, 违者我们将追究其法律责任 感谢您购买黑金开发板, 在使用产品之前, 请仔细地阅读该手册并且确保知道如何正确使用该产品, 不合理的操作可能会损坏开发板, 使用过程中随时参考该手册以确保正确使用 此手册不断更新中, 建议您使用时下载最新版本 软件版本 : 本手册使用 Xilinx 官方提供的 ISE 14.7 版本, 请从 Xilinx 网站下载正确的版本和使用我们提供的软件版本安装 黑金官网 : 黑金动力社区 : 黑金官方淘宝店 : 联系方式 : / 26

4 目录 文档版本控制... 2 版权申明 :... 3 软件版本 :... 3 一 简介... 5 二 电源... 8 三 FPGA ) JTAG 接口 ) FPGA 供电引脚 四 50M 有源晶振 五 QSPI Flash 六 DDR3 DRAM 七 USB 转串口 八 扩展口 九 LED 十 按键 黑金动力社区 4 / 26

5 FPGA 黑金 XILINX 核心板 2016 款正式发布了, 此款开发平台是高品质 Xilinx 的 FPGA 核心开发板, 型号为 :AC616 它采用的是 Xilinx 的 Spartan6 系列 XC6SLX16-2CSG324 FPGA 作为核心处理器, 具有丰富的硬件资源和外围接口 在设计上坚持 精致 实用 简洁 的设计理念, 因为它的体积很小, 扩展性很强的特点, 非常适合于用户的 FPGA 的前期验证, 产品的嵌入和项目开发 同时它还可适用与高校教学 FPGA 培训 个人研究学习和 DIY 等 一 简介 在这里, 对这款 FPGA 核心开发板进行简单的功能介绍 此款开发板使用的是 Xilinx 公司的 Spartan6 系列 FPGA, 型号为 XC6SLX16,324 个引脚的 FBGA 封装 此款 FPGA 的资源如下图所示 : 5 / 26

6 其中, 主要的参数, 逻辑单元 Logic Cells:14579; 乘法器 DSP48:32; 可配置逻辑块 CLBs:136Kb; Block RAM:576Kb; 时钟单元 CMTs:2 可用 IO 数量 :218 个 ; 内核电压 :1.15V-1.25V( 推荐 1.2V); 工作温度 :0-85 图为整个核心板系统的结构示意图 : 黑金动力社区 6 / 26

7 40 针扩展口 (34 个 IO 口,1 路 5V,2 路 3.3V,3 路 GND USB/ 电源接口 40 针扩展口 (34 个 IO 口,1 路 5V,2 路 3.3V,3 路 GND 3.3V 电源 1.2V 电源 1.5V 电源 128Mbit FLASH LDO 1 个配置指示灯 2 个串口指示灯 50M 晶振 FPGA XC6SLX16 4 个用户 LED 灯 USB 转串口 DDR 电源 128MByte DDR3 JTAG 口 电源指示灯 40 针扩展口 (34 个 IO 口,1 路 5V,2 路 3.3V,3 路 GND 40 针扩展口 (34 个 IO 口,1 路 5V,2 路 3.3V,3 路 GND 复位键 通过这个示意图, 我们可以看到, 我们这个开发平台所能实现的功能 +5V USB 电源输入, 可以直接连接电脑的 USB 口供电, 或者通过底板 +5V 供电, 两者二选一 核心板最大电流小于 300mA; 一片大容量的 128Mbyte 高速 DD3 SDRAM, 可作为数据的缓存, 也可以作为 Microblaze 运行的内存 ; 一片 128Mbit 的 QSPI FLASH, 可用作 FPGA 配置文件和用户数据的存储 ; 一路 USB Uart 接口, 用于和 PC 或外部设备的串口通信, 和 USB 电源输入共用 ; 一个摄像头接口, 可以接 30 万的 OV7670 摄像头或 500 万的 OV5640 摄像头 ; 4 个用户发光二极管 LED; 1 个复位按键 ; 板载 50M 的有源晶振, 给开发板提供稳定的时钟源 ; 4 路 40 针的扩展口 (2.54mm 间距 ), 其中 34 个 IO 口,1 路 5V 电源,2 路 3.3V 电源,3 路 GND 可以接底板或者黑金的其它功能模块 IO 的电平默认为 3.3V, 可以通过更换核心板上的 LDO 来改变电平 1 个 JTAG 口, 可对 FPGA 进行调试和程序固化 7 / 26

8 二 电源 电源输入 : 开发板供电电压为 DC5V, 可以使用电脑的 USB 供电或者底板供电 开发板 上的电源设计示意图如下 : USB 口输入或者扩展口输入 5V 电源输入 U15 MP1482 U16 MP V/2A 1.2V/2A U17 MP1482 U33 LT V/2A U32 TPS V/0.8A VTT VREF 图 2.1 原理图中电源接口部分 开发板使用 +5V 供电, 通过三路 DC/DC 电源芯片 MP1482 转化成 +3.3V,+1.2V,+1.5V 三路电源, 通过一路 LDO LT1117 产生 +3.3V 电源, 1.5V 通过 TI 的 TPS51200 生成 DDR3 需要的 VTT 和 VREF 电压 各个电源分配的功能如下表所示 : 电源 功能 +3.3V 串口,FLASH, FPGA +1.2V FPGA Core +1.5V DDR3, FPGA Bank3 VREF, VTT DDR3 +3.3V FPGA Bank0, Bank1 VCCIO FPGA 的 BANK0,BANK1 的 IO 电压可以通过板上的 LDO 芯片 (U33 ) 供电, 默认的 IO 电平为 3.3V, 如果用户需要外接其它电平设备, 只需要相应的更换 LDO 芯片 AMS1117 比 黑金动力社区 8 / 26

9 如更换为 AMS V, BANK0,BANK1 的 IO 电平标准就为 2.5V, 如更换为 AMS V, BANK0,BANK1 的 IO 电平标准就为 1.8V FPGA 每个 BANK 电压分配 : Bank0 Bank3 XC6SLX16-2CSG324 Bank1 Bank2 BANK 功能 电压 备注 BANK0 连接到扩展口 J3,J4 3.3V 电平可以通过 LDO 调整 BANK1 连接到扩展口 J4,J6 3.3V 电平可以通过 LDO 调整 BANK2 扩展口 J7,QSPI FLASH, LED, KEY 3.3V 电平固定 BANK3 DDR3 1.5V 电平固定 我们在设计 PCB 的时候, 采用 8 层 PCB, 每层都有单独的电源或者地层参考, 保证阻抗 的连续, 另外 FPGA 到扩展口的长度严格控制等长, 保证 FPGA 到扩展口之间时序延迟的一 致性,IO 的差分阻抗走线控制在 100OHM, 满足高速 LVDS 的数据通信 9 / 26

10 在 PCB 板上我们预留了各个电源的测试点, 以便用户确认板上的电压 三 FPGA 图 2.2 实物图中的电源测试点 前面已经介绍过了, 我们所使用的 FPGA 型号为 XC6SLX16-2CSG324, 属于 Xilinx 公司 Spartan-6 的产品 此型号为 BGA 封装,324 个引脚 再次说明一下 FPGA 引脚的定义 很多人使用 FPGA 都是非 BGA 封装的, 比如 144 引脚,208 引脚的 FPGA 芯片, 他们的引脚定义是由数字组成, 比如 1 到 144,1 到 208 等等, 而当我们使用 BGA 封装的芯片以后, 引脚名称变为由字母 + 数字的形式, 比如 E3,G3 等等, 因此我们在看原理图的时候, 看到的字母 + 数字这种形式的, 就是代表了 FPGA 的引脚 说完这个, 我们来看与 FPGA 有关系的各个部分的功能 图 3.1 为开发板所用的 FPGA 芯片实物图 黑金动力社区 10 / 26

11 1) JTAG 接口 图 3.1 FPGA 芯片实物 首先我们来说 FPGA 的配置和调试接口 :JTAG 接口 JTAG 接口的作用是将编译好的程序 (.bit) 下载到 FPGA 中或把 FLASH 配置程序 (.mcs) 下载到 SPI FLASH,Bit 文件下载到 FPGA 后, 掉电以后就会丢失, 需要上电重新下载才可以 但下载到 FLASH 的 MCS 文件以后, 掉电以后就不会丢失, 重新上电后 FPGA 会读取 FLASH 中的配置文件并运行 图 3.2 就是 JTAG 口的原理图部分, 其中涉及到 TCK,TDO,TMS,TDI 这四个信号 这四个信号由 FPGA 引脚引出通过 33 欧姆电阻连接到 JTAG 连接器, 起到对 FPGA 芯片的保护作用 图 3.2 原理图中 JTAG 接口部分 JTAG 接口采用 14 针的 2.0mm 标准的连接器, 图 3.3 为 JTAG 接口在开发板上的实物图 图 3.3 JTAG 接口实物图 11 / 26

12 2) FPGA 供电引脚 接下来, 我们说一下 FPGA 的电源引脚部分, 其中包括每一个 bank 的电源引脚, 内核电 压引脚和辅助电压引脚,VCCINT 为 FPGA 内核供电引脚, 接 1.2V;VCCAUX 为 FPGA 辅助 供电引脚, 可以接 3.3V 或 2.5V, 我们这里接 3.3V; VCCINT 和 VCCAUX 的连接如图 3.4 所示, 图 3.4 FPGA 电源引脚 VCCIO 是 FPGA 的每个 BANK 的供电电压, 其中 VCCIO0 是 FPGA 的 BANK0 的供电引脚, 同理,VCCIO1~ VCCIO3 分别是 FPGA 的 BANK~BANK3 的供电引脚, 在开发板中, VCCIO0 和 VCCIO1 都接了 3.3V 电压 (LDO U33 供电 ), 也就是说, 对应的 FPGA 引脚均为 3.3V 输入和输出, 但用户可以通过改变 LDO 芯片来改变 IO 的电压 VCCIO2 也接了 3.3V 电压, 此电压是固定的 因为 BANK3 的引脚接了一个 DDR3, 所以 VCCIO3 接了 1.5V 电压, 图 3.5 为 BANK0,BANK1 的 VCCIO 的连接图 图 3.5 FPGA 电源 VCCIO 引脚 四 50M 有源晶振 图 4.1 即为我们上述提到的给开发板提供时钟源的 50M 有源晶振电路 晶振输出连接到 黑金动力社区 12 / 26

13 FPGA 的全局时钟 (GCLK Pin V10), 这个 GCLK 可以用来驱动 FPGA 内的用户逻辑电路, 用 户可以通过配置 FPGA 内部的 PLLs 和 DCMs 来实现更高的时钟 图 4.2 为有源晶振实物图 图 M 有源晶振 时钟引脚分配 : 引脚名称 图 M 有源晶振实物图 FPGA 引脚 50MHz 时钟输入 V10 五 QSPI Flash 开发板上使用了一片 128Mbit 大小的 Quad-SPI FLASH 芯片, 型号为 W25Q128, 它使 用 3.3V CMOS 电压标准 由于它的非易失特性, 在使用中, QSPI FLASH 可以作为 FPGA 系统的启动镜像 这些镜像主要包括 FPGA 的 bit 文件 软核的应用程序代码以及其它的用 13 / 26

14 户数据文件 QSPI FLASH 的具体型号和相关参数见表 5.1 芯片类型 容量 厂家 位号 U6 W25Q256BV 32M Byte Winbond 表 5.1 QSPI Flash 的型号和参数 图 3.4 为 QSPI Flash 在原理图中的部分 图 3.4 QSPI Flash 连接示意图 配置芯片引脚分配 : 引脚名称 QSPI_CLK QSPI_CS QSPI_MISO0 QSPI_MISO1 QSPI_MISO2 QSPI_MISO3 FPGA 引脚 R15 V3 T13 R13 T14 V14 六 DDR3 DRAM 开发板板载了一片高速 DDR3 DRAM, 型号 :MT41J64M16LA-187E, 容量 :128MByte (64M*16bit), 16bit 总线 开发板上 FPGA 和 DDR3 DRAM 相连的是 BANK3 的 IO, 黑金动力社区 14 / 26

15 Spartan6 FPGA 内部带有硬 DDR3 控制器 MCB, 通过配置可以使 MCB 与 DDR3 读写速度达到 666Mb/s DDR3 的硬件设计需要严格考虑信号完整性, 我们在电路设计和 PCB 设计的时候已经充分考虑了匹配电阻 / 终端电阻, 走线阻抗控制, 走线等长控制, 保证 DDR3 的高速稳定的工作 DDR3 DRAM 的硬件连接方式如图 6.1 所示 图 6.2 为 DDR3 DRAM 实物图 图 6.1 DDR3 DRAM 原理图部分 DDR3 DRAM 引脚分配 : 引脚名称 图 6.2 DDR3 DRAM 实物图 FPGA 引脚 DDR3_LDQS_P L4 15 / 26

16 DDR3_LDQS_N DDR3_UDQS_P DDR3_UDQS_N DDR3_DQ[0] DDR3_DQ [1] DDR3_DQ [2] DDR3_DQ [3] DDR3_DQ [4] DDR3_DQ [5] DDR3_DQ [6] DDR3_DQ [7] DDR3_DQ [8] DDR3_DQ [9] DDR3_DQ [10] DDR3_DQ [11] DDR3_DQ [12] DDR3_DQ [13] DDR3_DQ [14] DDR3_DQ [15] DDR3_LDM DDR3_UDM DDR3_A[0] DDR3_A [1] DDR3_A [2] DDR3_A [3] DDR3_A [4] DDR3_A [5] DDR3_A [6] DDR3_A [7] DDR3_A [8] DDR3_A [9] DDR3_A [10] L3 P2 P1 L2 L1 K2 K1 H2 H1 J3 J1 M3 M1 N2 N1 T2 T1 U2 U1 K3 K4 J7 J6 H5 L7 F3 H4 H3 H6 D2 D1 F4 黑金动力社区 16 / 26

17 DDR3_A [11] DDR3_A [12] DDR3_A [13] DDR3_BA [0] DDR3_BA [1] DDR3_BA [2] DDR3_RAS_N DDR3_CAS_N DDR3_WE_N DDR3_ODT DDR3_RESET_N DDR3_CLK_P DDR3_CLK_N DDR3_CKE D3 G6 F6 F2 F1 E1 L5 K5 E3 K6 E4 G3 G1 H7 七 USB 转串口 开发板包含了 Silicon Labs CP2102GM 的 USB-UAR 芯片, USB 接口采用 MINI USB 接口 ( 和 USB 供电共用 ), 可以用一根 USB 线将它连接到上 PC 的 USB 口进行串口数据通信 串口的原理图如图 10.1 所示 图 7.2 为 USB 转串口的实物图 图 7.1 USB 转串口原理图 17 / 26

18 图 7.2 USB 转串口实物图同时对串口信号设置了 2 个 led 指示灯 (LED7, LED8),LED7 和 LED8 会指示串口是否有数据发出或者是否有数据接受,LED7 为串口数据发送指示灯,LED8 为数据接收指示灯 如图 11.3 所示, 串口引脚分配 : 引脚名称 UART_RXD UART_TXD UART_CTS UART_RTS 图 7.3 USB 转串口信号灯实物图 FPGA 引脚 V13 U13 N11 M11 黑金动力社区 18 / 26

19 八 扩展口 开发板预留 4 个扩展口, 每个扩展口有 40 个信号, 其中,5V 电源 1 路,3.3V 电源 2 路, 地 3 路,IO 口 34 路 这些 IO 口都是独立的 IO 口, 没有跟其他设备复用 IO 口分别连接到 FPGA 的 Bank0,Bank1, Bank2 上, 电平默认为 3.3V,Bank0, Bank1 的电平可以通过更换 LDO 芯片来改变 扩展口的 IO 切勿直接跟 5V 设备直接连接, 以免烧坏 FPGA 如果要接 5V 设备, 需要接电平转换芯片 扩展口 J3 的电路如图 8.1 所示 图 8.1 J3 扩展口原理图 扩展口 J4 的电路如图 8.2 所示 图 8.2 J4 扩展口原理图 19 / 26

20 扩展口 J6 的电路如图 8.3 所示 图 8.3 J6 扩展口原理图 扩展口 J7 的电路如图 8.4 所示 图 8.4 J7 扩展口原理图 示出 图 8.5 为扩展口 J3,J4,J6,J7 实物图, 扩展口的 Pin1 为方孔焊盘, 已经在板上有三角形标 黑金动力社区 20 / 26

21 图 8.5 扩展口实物图 J3 扩展口引脚分配如下, 连接到 FPGA 的 BANK0,BANK1 引脚编号 FPGA 引脚 引脚编号 FPGA 引脚 1 GND 2 VCC5V 3 C17 4 C18 5 B16 6 A16 7 C15 8 A15 9 F15 10 F16 11 F14 12 G14 13 G9 14 F9 15 B9 16 A9 17 D9 18 C9 19 F13 20 E13 21 D14 22 C14 21 / 26

22 23 B14 24 A14 25 C13 26 A13 27 B12 28 A12 29 B11 30 A11 31 C10 32 A10 33 D11 34 C11 35 D4 36 C4 37 GND 38 GND 39 VCC3V3 40 VCC3V3 J4 扩展口引脚分配如下, 连接到 FPGA 的 BANK0,BANK1 引脚编号 FPGA 引脚 引脚编号 FPGA 引脚 1 GND 2 VCC5V 3 D6 4 C6 5 D8 6 C8 7 B8 8 A8 9 C7 10 A7 11 A6 12 B6 13 C5 14 A5 15 B4 16 A4 17 B3 18 A3 19 B2 20 A2 21 K12 22 K13 23 L12 24 L13 25 L14 26 M13 27 M14 28 N14 29 N15 30 N16 31 P15 32 P16 33 U17 34 U18 35 T17 36 T18 37 GND 38 GND 39 VCC3V3 40 VCC3V3 黑金动力社区 22 / 26

23 J6 扩展口引脚分配如下, 连接到 FPGA 的 BANK0,BANK1 引脚编号 FPGA 引脚 引脚编号 FPGA 引脚 1 GND 2 VCC5V 3 P17 4 P18 5 N17 6 N18 7 L15 8 L16 9 J13 10 K14 11 H13 12 H14 13 H15 14 H16 15 H12 16 G13 17 M16 18 M18 19 L17 20 L18 21 K17 22 K18 23 K15 24 K16 25 J16 26 J18 27 H17 28 H18 29 G16 30 G18 31 F17 32 F18 33 E16 34 E18 35 D17 36 D18 37 GND 38 GND 39 VCC3V3 40 VCC3V3 J7 扩展口引脚分配如下, 连接到 FPGA 的 BANK2 引脚编号 FPGA 引脚 引脚编号 FPGA 引脚 1 GND 2 VCC5V 3 N5 4 P6 5 T6 6 V6 7 U7 8 V7 9 R7 10 T7 11 T9 12 V9 23 / 26

24 13 R8 14 T8 15 M8 16 N8 17 R3 18 T3 19 U5 20 V5 21 R5 22 T5 23 U8 24 V8 25 N6 26 P7 27 N7 28 P8 29 U11 30 V11 31 R10 32 T10 33 N10 34 P11 35 M10 36 N9 37 GND 38 GND 39 VCC3V3 40 VCC3V3 九 LED 核心开发板除了电源指示灯和串口指示灯, 还板载了 4 个用户 LED 发光二极管和 1 个 FPGA 配置指示 LED 发光二极管 4 个用户 LED 部分的原理图如图 9.1, 当 FPGA 的引脚输出为逻辑 0 时,LED 会被点亮 图 9.1 用户 LED 原理图 1 个 FPGA 配置指示 LED 分别为 DONE LED, 当 FPGA 没有配置程序时,DONE LED 熄 灭 ;FPGA 配置成功后, DONE LED 点亮 配置指示 LED 的原理图如图 9.2 黑金动力社区 24 / 26

25 图 9.2 配置 LED 原理图 图 9.3 为 LED 实物图 LED 引脚分配 : 引脚名称 LED1 LED2 LED3 LED4 图 9.3 配置指示 LED 实物图 FPGA 引脚 V12 T12 T11 R11 十 按键 开发板板载了 1 个复位按键 (RESET), 按键为低电平有效, 按键的原理图如图 10.1 所示 25 / 26

26 图 10.1 复位按键原理图 图 10.2 为 6 个独立按键实物图 图 10.2 复位按键实物图 按键引脚分配 : 按键名称 FPGA 引脚 按键标号 RESET V15 KEY1 黑金动力社区 26 / 26

ARTIX-7 FPGA开发平台 用户手册

ARTIX-7 FPGA开发平台     用户手册 Spartan7 FPGA 核心板用户手册 AC7050 REV 1.0 版 芯驿电子科技 ( 上海 ) 有限公司 黑金动力社区 目录 ( 一 ) 简介... 3 ( 二 ) FPGA... 4 ( 三 ) 有源晶振... 6 ( 四 ) DDR3... 7 ( 五 ) QSPI Flash... 11 ( 六 ) LED 灯... 13 ( 七 ) JTAG 接口... 14 ( 八 ) 电源接口...

More information

FPGA黑金开发平台 用户手册

FPGA黑金开发平台 用户手册 ZYNQ7000 开发平台 用户手册 AC7015 开发板 文档版本控制 文档版本 修改内容记录 REV1.0 创建文档 芯驿电子科技 ( 上海 ) 有限公司 2 / 23 目录 文档版本控制... 2 ( 一 ) 简介... 4 ( 二 ) ZYNQ 芯片... 5 ( 三 ) DDR3 DRAM... 8 ( 四 ) QSPI Flash... 11 ( 五 ) emmc Flash... 13

More information

FPGA黑金开发平台 用户手册

FPGA黑金开发平台 用户手册 FPGA 黑金开发平台 用户手册 AX516 文档版本控制 文档版本 修改内容记录 REV1.0 创建文档 黑金动力社区 2 / 38 版权申明 : 本手册版权归属芯驿电子公司 ( 上海 ) 有限公司所有, 并保留一切权力 非经本公司 ( 书面形式 ), 同意, 任何单位及个人不得擅自摘录或修改本手册部分或全部内容, 违者我们将追究其法律责任 感谢您购买黑金开发板, 在使用产品之前, 请仔细地阅读该手册并且确保知道如何正确使用该产品,

More information

FPGA核心板 用户手册

FPGA核心板 用户手册 FPGA 核心板用户手册 AC7100 REV 1.0 版 芯驿电子科技 ( 上海 ) 有限公司 黑金动力社区 目录 ( 一 ) 简介... 3 ( 二 ) FPGA... 5 ( 三 ) 有源差分晶振... 6 ( 四 ) DDR3... 8 ( 五 ) QSPI Flash... 12 ( 六 ) LED 灯... 14 ( 七 ) 复位按键... 15 ( 八 ) JTAG 接口... 16

More information

FPGA黑金开发平台 用户手册

FPGA黑金开发平台 用户手册 FPGA 黑金开发平台 用户手册 AX309 文档版本控制 文档版本 修改内容记录 REV1.0 REV1.1 REV1.2 REV1.3 创建文档第 30 页,J2 扩展口表格,29 改为 R1,30 改为 R2 第 6 页, 第 11 页,64Mbit 改为 16Mbit 第 21 页, LED 电平部分描述修正 黑金动力社区 2 / 31 版权申明 : 本手册版权归属芯驿电子公司 ( 上海 )

More information

FPGA视频处理开发平台 用户手册

FPGA视频处理开发平台     用户手册 FPGA 视频处理开发平台用户手册 AV6045 REV 1.0 版 芯驿电子科技 ( 上海 ) 有限公司 黑金动力社区 目录 一 简介... 4 二 功能实现... 7 ( 一 ) 视频输入... 7 ( 二 ) 视频输出... 9 三 FPGA 核心板... 10 ( 一 ) 简介... 11 ( 二 ) DDR3 引脚分配... 错误! 未定义书签 ( 三 ) SPI Flash... 错误!

More information

FPGA黑金开发平台 用户手册

FPGA黑金开发平台 用户手册 FPGA 黑金开发平台 用户手册 AX515 文档版本控制 文档版本 修改内容记录 REV1.0 创建文档 黑金动力社区 2 / 45 版权申明 : 本手册版权归属芯驿电子公司 ( 上海 ) 有限公司所有, 并保留一切权力 非经本公司 ( 书面形式 ), 同意, 任何单位及个人不得擅自摘录或修改本手册部分或全部内容, 违者我们将追究其法律责任 感谢您购买黑金开发板, 在使用产品之前, 请仔细地阅读该手册并且确保知道如何正确使

More information

FPGA黑金开发平台 用户手册

FPGA黑金开发平台 用户手册 ZYNQ7000 开发平台 用户手册 AX7021 开发板 文档版本控制 文档版本 修改内容记录 REV1.0 创建文档 芯驿电子科技 ( 上海 ) 有限公司 2 / 49 目录 文档版本控制... 2 一 开发板简介... 5 二 AC7021 核心板... 7 ( 一 ) 简介... 7 ( 二 ) ZYNQ 芯片... 8 ( 三 ) DDR3 DRAM... 11 ( 四 ) QSPI Flash...

More information

ARTIX-7 FPGA开发平台 用户手册

ARTIX-7 FPGA开发平台     用户手册 ARTIX-7 FPGA 开发平台用户手册 AX7103 REV 1.0 版 芯驿电子科技 ( 上海 ) 有限公司 黑金动力社区 目录 一 开发板简介... 5 二 FPGA 核心板... 8 ( 一 ) 简介... 8 ( 二 ) FPGA... 9 ( 三 ) 有源差分晶振... 11 ( 四 ) DDR3... 13 ( 五 ) QSPI Flash... 17 ( 六 ) LED 灯...

More information

杭州言曼科技有限公司 CB01 子卡说明文档 ( 对外发布 ) CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别 CB01 标配版本和低成本区别 CB01 结构信息

杭州言曼科技有限公司 CB01 子卡说明文档 ( 对外发布 )   CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别 CB01 标配版本和低成本区别 CB01 结构信息 CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别... 2 2. CB01 标配版本和低成本区别... 2 3. CB01 结构信息... 3 4. CB01 功耗信息... 3 5. LED 显示... 3 6. 按键... 4 7. 拨码开关... 4 8. USB 串口 - 型号 :CP2102... 4 9. 输入时钟... 4 10. I2C

More information

视频处理开发板 用户手册

视频处理开发板     用户手册 视频处理开发板 用户手册 ALINX822 芯驿电子科技 ( 上海 ) 有限公司 黑金动力社区 目录 功能简介... 3 功能实现... 5 FPGA 核心板... 7 ( 一 ) 简介... 7 ( 二 ) DDR2 引脚分配... 9 ( 三 ) FPGA 供电电源... 10 ( 四 ) 扩展口... 12 ( 五 ) 电源接口... 15 ( 六 ) JTAG 接口... 15 ( 七 )

More information

FPGA黑金开发平台 用户手册

FPGA黑金开发平台 用户手册 ALINX 黑金 AX7010 开发板 用户手册 文档版本控制 文档版本 修改内容记录 REV1.0 创建文档 黑金动力社区 2 / 42 版权申明 : 本手册版权归属芯驿电子公司 ( 上海 ) 有限公司所有, 幵保留一切权力 非经本公司 ( 书面形式 ), 同意, 任何单位及个人丌得擅自摘彔戒修改本手册部分戒全部内容, 违者我们将追究其法律责任 感谢您购买黑金开収板, 在使用产品之前, 请仔细地阅读该手册幵且确保知道如何正确使

More information

ARTIX-7 FPGA开发平台 用户手册

ARTIX-7 FPGA开发平台     用户手册 ARTIX-7 FPGA 开发平台用户手册 AX7102 REV 1.1 版 芯驿电子科技 ( 上海 ) 有限公司 黑金动力社区 目录 一 开发板简介... 5 二 FPGA 核心板... 8 ( 一 ) 简介... 8 ( 二 ) FPGA... 9 ( 三 ) 有源差分晶振... 11 ( 四 ) DDR3... 13 ( 五 ) QSPI Flash... 17 ( 六 ) LED 灯...

More information

untitled

untitled XILINX Platform Cbale USB www.hseda.com ...... Platform Cable USB Compatible.................. impact.........1 platform Cable USB Compatible.........1...1...1...1...1...1 Platform Cable USB Compatible

More information

<4D6963726F736F667420576F7264202D20D4D3D6BECEC4B8E5C4A3B0E52E646F63>

<4D6963726F736F667420576F7264202D20D4D3D6BECEC4B8E5C4A3B0E52E646F63> 1/8 文 / 杜 洋 自 从 我 的 爱 上 单 片 机 一 书 问 世, 爱 上 一 词 就 在 电 子 制 作 的 圈 子 里 小 火 了 一 把 随 后 又 出 现 了 爱 上 制 作 爱 上 Arduino, 还 有 一 大 堆 类 似 名 字 的 出 版 物 虽 然 每 一 本 的 内 容 都 很 精 彩, 但 总 这 么 爱 来 爱 去 的, 是 不 是 有 点 审 美 疲 劳 呢?

More information

( ) - 2 -

( ) - 2 - ( ) 1 = 300VA 1.5 2 = 2A 1.5 3 3mA - 1 - ( ) - 2 - 1 2 3 4 5-3 - 1) ( ) 2) 3) 4) 5) 1) F F (1) F (2) F - 4 - 192 2) 9 9 9 193 3) 6 7 8 6 A 000F 4) 4 5 E 4 5 E 1) (LED ) 2) (LED ) 3) 4) 8 1) 2) - 5 - 3)

More information

FPGA黑金开发平台 用户手册

FPGA黑金开发平台 用户手册 ZYNQ7000 开发平台 用户手册 AX7015 开发板 文档版本控制 文档版本 修改内容记录 REV1.0 创建文档 芯驿电子科技 ( 上海 ) 有限公司 2 / 59 目录 文档版本控制... 2 一 开发板简介... 6 二 AC7015 核心板... 9 ( 一 ) 简介... 9 ( 二 ) ZYNQ 芯片... 10 ( 三 ) DDR3 DRAM... 13 ( 四 ) QSPI Flash...

More information

STEP-MXO2 V2硬件手册

STEP-MXO2 V2硬件手册 小脚丫 STEP FPGA STEP 2016/8/28 目录 1. 概述... 2 2.STEP-MXO2 V2 硬件简介 :... 3 2.1 STEP-MXO2 V2 开发板... 3 2.2 FT232 编程器... 3 2.3 FPGA... 3 2.4 供电... 4 2.5 时钟... 4 2.6 七段数码管... 4 2.7 三色 LED... 4 2.8 拨码开关... 4 2.9

More information

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8 Version History 日期 版本 2016/8/4 1.00 2016/9/2 1.01 2016/11/29 1.02 2017/2/16 1.03 2017/6/9 1.04 2018/6/27 1.05 2018/8/8 1.06 新增 MG196 PBGA256S 封装信息 ; 修改 SSPI 模式的配置功能 ; 增加 QN88 封装信息 2019/3/27 1.07 增加电源供电要求

More information

TL5728-EasyEVM 开发板硬件说明书 Revision History Draft Date Revision No. Description 2018/04/04 V 开发板版本更新为 A3, 文档格式校订 2017/01/16 V 添加视频输入拓展口 2. 修改

TL5728-EasyEVM 开发板硬件说明书 Revision History Draft Date Revision No. Description 2018/04/04 V 开发板版本更新为 A3, 文档格式校订 2017/01/16 V 添加视频输入拓展口 2. 修改 TL5728-EasyEVM 开发板硬件说明书 Revision History Draft Date Revision No. Description 2018/04/04 V1.2 1. 开发板版本更新为 A3, 文档格式校订 2017/01/16 V1.1 1. 添加视频输入拓展口 2. 修改 USB 介绍错漏 2016/10/26 V1.0 1. 初始版本 公司官网 :www.tronlong.com

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

GA-8IG P4 533 Pentium Rev MC-8IG-1201

GA-8IG P4 533 Pentium Rev MC-8IG-1201 GA-8IG P4 533 Pentium Rev. 20 2MC-8IG-20 ... 3... 3... 4... 4 GA-8IG Layout... 6... 7 (CPU... 8 -... 8-2... 9 2... 0 3... 2 4:... 3 4- I/O... 3 4-2... 5 4-3... 2 GA-8IG - 2 - GA-8IG GA-8IG x / x x. 2.

More information

目 录 前言 处理器 FLASH RAM QSPI Flash 加密芯片 电源接口和拨码开关 JTAG 仿真器接口 LCD 触摸屏接口 LED 指示灯 按键.

目 录 前言 处理器 FLASH RAM QSPI Flash 加密芯片 电源接口和拨码开关 JTAG 仿真器接口 LCD 触摸屏接口 LED 指示灯 按键. TL5728-IDK 开发板硬件说明书 Revision History Draft Date Revision No. Description 2018/04/10 V1.1 1. 开发板版本更新为 A3 版 2017/06/20 V1.0 1. 初始版本 公司官网 :www.tronlong.com 销售邮箱 :sales@tronlong.com 公司总机 :020-8998-6280 1/33

More information

HMI COM1 RS SIEMENSE S7-200 RS485

HMI COM1 RS SIEMENSE S7-200 RS485 目录 第一部分维控人机界面串口引脚定义...2 1 LEVI777T COM1 引脚定义原理图...2 2 LEVI777T COM2 引脚定义原理图...2 3 LEVI908T COM1 引脚定义原理图...2 4 LEVI908T COM2/COM3 引脚定义原理图...3 第二部分通信针头...4 1 通信针头...4 第三部分各 PLC 与 LEVI 通信线接法...5 1 西门子 S7-200

More information

A20_banana_v12_141107

A20_banana_v12_141107 hrsday, gst, of heet ate: ev ocment mber ize itle anana ro.,, lace & close to I I I I I I I I M M M M Q Q Q Q Q Q Q Q Q Q Y Q Q Q Q Q Y Q Q Q Q Q Q Q Q M Q J Q Q Q Q Q Q M Q Q Y Q Q# Q Q# Q Q# Q J Q# QM

More information

<4D6963726F736F667420576F7264202D20A1B4A6D1AC75BE69A5CDA5F0B6A2B941B3F5B0AAA67EAFC5A455BEC7B4C12E646F63>

<4D6963726F736F667420576F7264202D20A1B4A6D1AC75BE69A5CDA5F0B6A2B941B3F5B0AAA67EAFC5A455BEC7B4C12E646F63> 設 計 者 台 北 市 興 德 國 小 洪 瑾 瑜 校 長 節 分 各 節 重 點 適 用 年 級 教 學 日 期 上 學 期 下 學 期 教 學 單 元 / 主 題 教 材 來 源 統 整 領 域 ( 請 勾 選 ) 低 年 級 中 年 級 高 年 級 教 7 二 一 參 觀 老 泉 休 閒 農 園 學 八 二 欣 賞 農 園 中 每 一 個 庭 園 造 景 老 泉 休 閒 的 最 高 境 界 時

More information

52C-14266-5

52C-14266-5 逻 辑 分 析 仪 基 础 知 识 入 门 手 册 www.tektronix.com.cn/logic_analyzers 15 入 门 手 册 目 录 引 言 3-4 起 源 3 数 字 示 波 器 3 逻 辑 分 析 仪 4 逻 辑 分 析 仪 操 作 5-13 连 接 被 测 系 统 5 探 头 5 设 置 逻 辑 分 析 仪 7 设 置 时 钟 模 式 7 设 置 触 发 7 采 集 状

More information

ETA104 数据采集模块数据手册

ETA104 数据采集模块数据手册 Emtronix ETA104 数据采集模块数据手册 1. 概述 1.1 ETA104 介绍 ETA104 模拟数据采集模块 ( 下文简称 :ETA104 模块 ) 是基于英创公司 SBC840 工控应用底板 符合 DM5028 标准应用扩展模块 ETA104 模块上的模数转换功能, 采用 ADS7871 模拟信号转换芯片, 占用 ESMARC 工控主板的 SPI 总线进行通讯, 最高可实现 48K

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

网上对外发布资料适用版本

网上对外发布资料适用版本 HDLC-LCM 嵌 入 式 低 功 耗 通 信 模 块 Rev.2016.0602 用 户 手 册 电 话 :400-025-5057 网 址 :www.yacer.cn 目 录 1 概 述... 1 1.1 简 介... 1 1.2 特 点... 1 1.3 应 用... 1 1.4 订 购 信 息... 1 1.5 技 术 规 格... 2 1.6 机 械 尺 寸 图... 3 2 硬 件 结

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63> 嵌 入 式 系 统 设 计 师 考 试 大 纲 一 考 试 说 明 1 考 试 要 求 : (1) 掌 握 科 学 基 础 知 识 ; (2) 掌 握 嵌 入 式 系 统 的 硬 件 软 件 知 识 ; (3) 掌 握 嵌 入 式 系 统 分 析 的 方 法 ; (4) 掌 握 嵌 入 式 系 统 设 计 与 开 发 的 方 法 及 步 骤 ; (5) 掌 握 嵌 入 式 系 统 实 施 的 方 法

More information

55C

55C DDR 存 储 器 电 气 特 性 验 证 应 用 文 章 几 乎 每 一 个 电 子 设 备, 从 智 能 手 机 到 服 务 器, 都 使 用 了 某 种 形 式 的 RAM 存 储 器 尽 管 闪 存 NAND 继 续 流 行 ( 由 于 各 式 各 样 的 消 费 类 电 子 产 品 的 流 行 ), 由 于 SDRAM 为 相 对 较 低 的 每 比 特 成 本 提 供 了 速 度 和 存

More information

罗姆的电源模块

罗姆的电源模块 可简单构成24V/15V/12V/5V电源 罗姆的电源模块 无需电路设计 罗姆半导体集团 省电 无需热设计 节省空间 IoT() AC/DC 1 / 1 1 18kWh/ 8kWh/ 1,440/ 5.5W 5.0W 12.5W 5.0W LDO DC/DC 0 20 40 60 80 10 (%) LOAD REGULATION(DC/DC Only) LDO 10 LDO 50 DC/DC BP5293-50

More information

<4D F736F F D20BAECC9ABECABB7E734B4FA D3C3BBA7CAD6B2E12E646F63>

<4D F736F F D20BAECC9ABECABB7E734B4FA D3C3BBA7CAD6B2E12E646F63> 红色飓风 4 代 -XILINX RC445 硬件用户手册 Rev.. 修订记录 版本 修订日期 修订内容.0 0 年 月 5 日 用户手册初始版本. 0 年 5 月 日 完善管脚分配 北京威视锐科技有限公司 V3 Technology, Ltd 目录 修订记录... 目录... 3 飓风系列开发平台... 4 产品概述... 8 核心模块... 8 相关配件... 9 应用领域... 9 典型客户...

More information

安之谋 HMI972 人机界面 CE 开发手册 版权声明 本手册版权归属北京安之谋科技有限责任公司 ( 以下简称 安之谋科技 ) 所有, 并保留一切权力 非经安之谋科技同意 ( 书面形式 ), 任何单位及个人不得擅自摘录本手册部分或全部, 违者我们将追究其法律责任

安之谋 HMI972 人机界面 CE 开发手册 版权声明 本手册版权归属北京安之谋科技有限责任公司 ( 以下简称 安之谋科技 ) 所有, 并保留一切权力 非经安之谋科技同意 ( 书面形式 ), 任何单位及个人不得擅自摘录本手册部分或全部, 违者我们将追究其法律责任 版权声明 本手册版权归属北京安之谋科技有限责任公司 ( 以下简称 安之谋科技 ) 所有, 并保留一切权力 非经安之谋科技同意 ( 书面形式 ), 任何单位及个人不得擅自摘录本手册部分或全部, 违者我们将追究其法律责任 北京安之谋科技有限公司, 多年来一直致力于高质量嵌入式软硬件的开发 由安之谋科技提供 HMI972 人机界面平台可运行独家提供的 CE6, 除了具有常见的功能之外, 还提供了各种方便客户二次开发和生产的功能

More information

B1

B1 慈 慈 善 北 京 惠 聚 京 城 善 1 2 3 4 5 全 民 慈 善 效 能 慈 善 惠 民 慈 善 诚 信 慈 善 创 新 慈 善 特 刊 农 历 丙 申 年 七 月 廿 九 导 读 以 法 兴 善 首 都 载 誉 前 行 2 版 3 版 亮 点 闪 耀 展 现 慈 善 北 京 发 展 慈 善 事 业 是 全 社 会 的 共 同 心 声, 也 是 全 社 会 的 共 同 责 任, 离 不 开

More information

中文手册

中文手册 PCC-3428 PC/104 1. PCC-3428 1.1 PCC-3428 90mm 96mm ST CPU STPC Atlas Atlas CPU 486 DX/DX2 CPU DX2 133MHz Atlas 2D LCD/CRT 100MHz SDRAM 64MBytes PCC-3428 10/100Mbps DOC EIDE USB PC/104 ST STPC Atlas STPC

More information

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键 官 方 淘 宝 地 址 :http://metech.taobao.com/ MeTech verilog 典 型 例 程 讲 解 V1.0 笔 者 :MeTech 小 芯 技 术 支 持 QQ : 417765928 1026690567 技 术 支 持 QQ 群 :207186911 China AET 讨 论 组 http://group.chinaaet.com/293 笔 者 博 客 :http://blog.csdn.net/ywhfdl

More information

8S E600Y 0773-CE

8S E600Y 0773-CE 控制面板及侧 背面端子 液晶电视快速操作引导 液晶电视快速操作引导 控制面 板 按键介 绍 控制面板按键及侧面端子介绍 感光头 红外接收器 指示灯 电源 开 关 按键 频 道- 频 道+ 音 量- 音 量+ 频道选择键 音量控制键 菜单 确定 返 回/主 页 确定键 返回/主页键 菜单键 背面端 子 介绍 USB1 光纤音频 输出 USB2 USB3 SD卡 SD卡槽 CA卡 V 注:当您使用非本机配送的重低音音箱连接本机

More information

Ulinx-spartan3-ST3-使用手冊.pub

Ulinx-spartan3-ST3-使用手冊.pub P1 為希科技 Sparatn3 XC3S200-PQ208 ST3 實驗板使用手冊 2006.Jan.15.V1.0 P2 目錄 使用手冊 Introduction.page 3 Chapter 1 : Power..page 5 Chapter 2 : Interface RS232..page 6 LED..page 8 PUSH_BUTTOM..page 9 DIP_SWITCH..page

More information

陕西省粮食流通

陕西省粮食流通 陕 西 省 粮 食 流 通 十 二 五 发 展 规 划 陕 西 省 粮 食 局 二 一 年 九 月 1 目 录 一 发 展 基 础... 1 ( 一 ) 十 一 五 发 展 成 就...1 ( 二 ) 存 在 问 题...6 ( 三 ) 发 展 形 势...7 二 发 展 思 路... 9 ( 一 ) 指 导 思 想...9 ( 二 ) 基 本 原 则...9 ( 三 ) 发 展 目 标...10

More information

投影片 1

投影片 1 OtO Photonics 描述 CB 系列光谱仪控制电路板是由 32 bit RISC core 16 bit ADC 和低电压电路组成之控制板 系列控制电路板可透过 USB2.0 连接 OTO 计算机软件 (SpectraSmart) C 同时内建可程控的 CCD & ADC 时序产生器, 可控制不同种类的 CCD 且可高速读取 ADC 数据 CB 系列光谱仪控制电路版提供用户多种电子接口来连接其他外部设施,

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCAB5D1E9CAD2B7BDB0B82E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCAB5D1E9CAD2B7BDB0B82E646F63> 目 录 一 嵌 入 式 系 统 的 应 用 及 前 景... - 1 - 二 目 前 嵌 入 式 系 统 教 学 现 状 和 实 验 体 系 的 建 设... - 1 - 三 嵌 入 式 教 学 在 本 科 生 中 的 教 学 难 点... - 1 - 四 教 学 难 点 解 决 方 法... - 2-1 选 择 S+core7 核 学 习... - 2-2 选 择 ecos 操 作 系 统 进 行

More information

供充放一体电源及充电电源手册_0_.doc

供充放一体电源及充电电源手册_0_.doc 2010. 05 供充放一体电源及充电电源 适用于铅酸电池 锂电池 镍氢电池 实现不间断供电 采用自动均 / 浮充 恒流 / 恒压 零电流 -ΔV 检测 / 涓充 / 滴充技术 提供各种保护 显示各种工作状态 目录 SCD 供充放一体电源 ( 锂电池系列 )-----------1 SCD 供充放一体电源 ( 铅酸电池系列 )----------3 C 充电电源 ( 镍氢电池系列 )-------------------------5

More information

2 目录 1. 概述 硬件配置图示 核心板硬件配置 ARM 应急下载转接器 FPGA 应急下载转接器 电源与电压基准 时钟系统 板载 SPI Flash 板载 SRA

2 目录 1. 概述 硬件配置图示 核心板硬件配置 ARM 应急下载转接器 FPGA 应急下载转接器 电源与电压基准 时钟系统 板载 SPI Flash 板载 SRA 1 icore2 双核心板 用户手册 icore2 Development Board User Manual Revision.0 博客 http://xiaomagee.cnblogs.com 淘宝店 http://i-board.taobao.com E.V. Stdio. 2013/06/15 2 目录 1. 概述... 3 2. 硬件配置图示... 4 3. 核心板硬件配置... 6 4.

More information

Copyright 2009 Hewlett-Packard Development Company, L.P. 本 文 档 中 包 含 的 信 息 如 有 更 改, 恕 不 另 行 通 知 Microsoft Windows 和 Windows Vista 是 Microsoft Corporat

Copyright 2009 Hewlett-Packard Development Company, L.P. 本 文 档 中 包 含 的 信 息 如 有 更 改, 恕 不 另 行 通 知 Microsoft Windows 和 Windows Vista 是 Microsoft Corporat 硬 件 参 考 指 南 HP Compaq 8100 Elite 可 转 换 小 型 立 式 商 用 PC 和 HP Z200 可 转 换 小 型 立 式 工 作 站 Copyright 2009 Hewlett-Packard Development Company, L.P. 本 文 档 中 包 含 的 信 息 如 有 更 改, 恕 不 另 行 通 知 Microsoft Windows 和 Windows

More information

<4D F736F F D20F7E8F7EBD7F9C3D4C4E3BFAAB7A2B0E5D3B2BCFECAB9D3C3CAD6B2E156312E302E646F6378>

<4D F736F F D20F7E8F7EBD7F9C3D4C4E3BFAAB7A2B0E5D3B2BCFECAB9D3C3CAD6B2E156312E302E646F6378> 麒麟座迷你开发板硬件使用手册 V1.0 2016 年 7 月 18 日 1 版本记录 版本号 日期 作者 修改内容 备注 V1.0 2016 7 18 谢斌强 初始版本 2 目录 第一章 OneNET 麒麟座迷你开发板简介... 4 1.1 MCU 介绍... 4 1.2 开发板功能简介... 4 1.3 开发板配置... 6 第二章硬件资源... 7 2.1 Bom 表... 7 相关资料...

More information

说明书库

说明书库 简 介 非 常 感 谢 您 选 择 使 用 智 能 手 机! 本 手 机 是 一 部 单 C 网 并 具 有 商 务 协 同 功 能 的 智 能 手 机! 该 话 机 除 支 持 基 本 的 语 音 通 话 短 信 等 功 能 之 外, 还 有 如 下 特 色 功 能 : 无 线 上 网, 酣 畅 高 速 通 过 CDMA 1X, 网 上 冲 浪, 无 所 不 通 商 务 协 同, 功 能 强 大

More information

WON1200 规格书 Author:Wonhere Rev:0.22 Date:2015-May

WON1200 规格书 Author:Wonhere Rev:0.22 Date:2015-May WON1200 规格书 Author:Wonhere Rev:0.22 Date:2015-May-13 1 Revision History 0.2, 增加 J2 的 PIN57 PIN59 说明 0.21,2014-Feb-17 去掉表格中的 HDMI 接口 修改 SPI 个数, 只有 1 个 SPI 接口 修改 硬件参数 表格 增加备注 关于 MMC1_DAT4-7 被替换 0.22, 增加

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

Siga-S16 FPGA开发板

Siga-S16 FPGA开发板 RS232/484/422 通信模块 AN3485 用户手册 Rev. 1.00 版本记录 版本 时间 作者 描述 Rev1.00 2017-8-15 First Release 2 第一部分 RS232/485/422 通信模块说明 黑金 AN3845 模块专门为工业现场应用设计的 RS232/485/422 通信模块 它包含一路 RS232 接口,2 路 RS485 和 2 路 RS422 通信接口

More information

手册 doc

手册 doc 1. 2. 3. 3.1 3.2 3.3 SD 3.4 3.5 SD 3.6 3.7 4. 4.1 4.2 4.3 SD 4.4 5. 5.1 5.2 5.3 SD 6. 1. 1~3 ( ) 320x240~704x288 66 (2G SD 320x2401FPS ) 32M~2G SD SD SD SD 24V DC 3W( ) -10~70 10~90% 154x44x144mm 2. DVR106

More information

EES288 Documentation

EES288 Documentation EES288 Documentation 发布 1.0 e-elements 2018 年 04 月 20 日 Contents 1 目录 : 1 1.1 EES288 用户手册............................................ 1 i ii CHAPTER 1 目录 : 1.1 EES288 用户手册 1.1.1 1 概述 EES-288 是基于 Xilinx

More information

(Microsoft Word - 92\246~\263\370)

(Microsoft Word - 92\246~\263\370) 壹 致 股 東 報 告 書 九 十 一 年 為 創 見 收 穫 頗 豐 之 年 度, 雖 產 業 環 境 仍 處 於 不 佳 狀 態, 但 在 創 見 公 司 全 體 同 仁 辛 勤 耕 耘 之 下, 我 們 仍 能 順 利 達 成 財 務 目 標 : 營 收 為 64.55 億 元, 較 九 十 年 度 47.16 億 元 大 幅 成 長 37% 稅 後 淨 利 10.82 億 元, 每 股 稅

More information

FPGAs in Next Generation Wireless Networks WPChinese

FPGAs in Next Generation Wireless Networks WPChinese FPGA 2010 3 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 FPGAs in Next Generation Wireless Networks GSM GSM-EDGE 384kbps CDMA2000

More information

UDC 厦门大学博硕士论文摘要库

UDC 厦门大学博硕士论文摘要库 10384 200030003 UDC 2003 8 2003 9 2003 Hardware Platform for 2D Barcode Reader Techniques Thesis for the degree of Master of Science By Liu Zhenyu (Electronic Engineering Dept., Xiamen University, P.R.

More information

2005.book

2005.book ...4... 4... 7...10... 10... 10... 10... 10... 11... 11 PCC... 11 TB170... 12 /... 12...13... 13 BP150 / BP151 / BP152 / BP155... 14...15... 15... 15... 15... 15... 15... 15... 16 PS465 / PS477... 17 PS692

More information

河 南 蓝 皮 书 文 化 (2008) 网 络 文 学 主 要 是 指 在 网 上 原 创 的 文 学 作 品 作 为 网 络 文 化 的 主 力 部 分, 网 络 文 学 的 发 展 在 近 年 来 势 不 可 挡 作 为 凭 借 新 兴 媒 介 兴 起 的 文 学, 网 络 文 学 与 传 统

河 南 蓝 皮 书 文 化 (2008) 网 络 文 学 主 要 是 指 在 网 上 原 创 的 文 学 作 品 作 为 网 络 文 化 的 主 力 部 分, 网 络 文 学 的 发 展 在 近 年 来 势 不 可 挡 作 为 凭 借 新 兴 媒 介 兴 起 的 文 学, 网 络 文 学 与 传 统 网络文化的现状与存在问题 网络文化的现状与存在问题 郭海荣 互联网自 20 世纪 90 年代进入普通百姓的视野以来, 迅速得以普及 迅速发 展的网络改变了和正在改变着社会的方方面面, 并产生了全新的文化形式, 即网 络文化 网络文化是随着计算机联网而产生的一种新的文化现象, 它是信息时代 的特殊文化 作为人类社会最新的文化传播工具, 互联网体现了对自由的追求, 开启了新的自由空间, 为文化的平等发展开辟了空间

More information

《將進酒》

《將進酒》 00 年 教 學 設 計 獎 勵 計 劃 將 進 酒 參 選 編 號 :C076 學 科 名 稱 : 適 合 程 度 : 高 一 簡 介 設 計 目 的 1. 此 教 案 之 設 計, 目 的 是 讓 學 生 清 楚 明 白 古 體 詩 與 近 體 詩 之 不 同 處, 並 且 以 多 媒 體 輔 助 教 學, 提 高 學 生 學 習 的 興 趣. 藉 課 件 之 運 用, 促 進 學 生 在 學

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

MarsBoard AM335X 用户手册 微雪电子 WAVESHARE ELECTRONICS MarsBoard AM335X 用户手册 产品概述 MarsBoard AM335X 是基于 TI AM335X 系列处理器的卡片式电脑 工业级别的芯片, 广泛的应用 在工业, 医疗, 消费类电子等行

MarsBoard AM335X 用户手册 微雪电子 WAVESHARE ELECTRONICS MarsBoard AM335X 用户手册 产品概述 MarsBoard AM335X 是基于 TI AM335X 系列处理器的卡片式电脑 工业级别的芯片, 广泛的应用 在工业, 医疗, 消费类电子等行 MarsBoard AM335X 用户手册 产品概述 MarsBoard AM335X 是基于 TI AM335X 系列处理器的卡片式电脑 工业级别的芯片, 广泛的应用 在工业, 医疗, 消费类电子等行业 1 目录 产品概述... 1 目录... 2 1. 板载资源... 3 2. 使用操作... 5 2.1. 系统固件的下载... 5 2.2. TF 卡系统的烧写... 5 2.3. TF 卡系统的启动...

More information

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010)

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010) ,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN 7-5635-1099-0...............TP36 CIP (2005)076733 : ( 10 ) :100876 : (010 )62282185 : (010)62283578 : publish@bupt.edu.cn : : : 787 mm960 mm 1/

More information

目 录 1. 简介 概览 CM 仿真器特性 CM 仿真器外观 入门指南 CM 仿真器虚拟端口功能 安装 CM 仿真器 USB CDC 驱动软件 测试 CM 仿真器虚拟端

目 录 1. 简介 概览 CM 仿真器特性 CM 仿真器外观 入门指南 CM 仿真器虚拟端口功能 安装 CM 仿真器 USB CDC 驱动软件 测试 CM 仿真器虚拟端 Cortex-M 仿真器用户手册 适用产品 本仿真器支持芯片型号 : 系列 华大半导体 (HDSC) Cortex-M 系列 MCU 芯片 型号 - 目 录 1. 简介... 3 1.1 概览... 3 1.1.1 CM 仿真器特性... 3 1.1.2 CM 仿真器外观... 4 1.2 入门指南... 5 2. CM 仿真器虚拟端口功能... 6 2.1 安装 CM 仿真器 USB CDC 驱动软件...

More information

SPMC75F2413A_EVM_使用说明_V1.2.doc

SPMC75F2413A_EVM_使用说明_V1.2.doc SPMCFA EVM V. - Jan 0, 00 http://www.sunplusmcu.com ................ SPMCFA........... EEPROM.... I/O............ LED.... LED.... RS-........0............ EVM................ 0.....0..... SPMCFA EVM SPMCFA

More information

课外创新研学项目 构想、设计与实现

课外创新研学项目                   构想、设计与实现 实 验 教 学 改 革 与 学 科 竞 赛 相 互 促 进 东 南 大 学 电 工 电 子 实 验 中 心 胡 仁 杰 hurenjie@seu.edu.cn 主 要 内 容 全 国 大 学 生 电 子 设 计 竞 赛 发 展 概 况 竞 赛 设 计 概 要 创 新 实 验 教 学 实 践 环 境 建 设 全 国 大 学 生 电 子 设 计 竞 赛 宗 旨 结 合 教 学, 着 重 基 础 注 重

More information

深圳市海天雄电子有限公司 Shenzhen Haitianxiong Electronic Co., Ltd. CES-4418-CORE( 邮票口 ) 产品手册 SAMSUNG ARM 核心板 Rev. V1.0 Date:

深圳市海天雄电子有限公司 Shenzhen Haitianxiong Electronic Co., Ltd. CES-4418-CORE( 邮票口 ) 产品手册 SAMSUNG ARM 核心板 Rev. V1.0 Date: 深圳市海天雄电子有限公司 Shenzhen Haitianxiong Electronic Co., Ltd. CES-4418-CORE( 邮票口 ) 产品手册 Rev. V1.0 Date:2017-06-02 www.nrisc.com 简介 CES-4418 核心板是一款低功耗 高性能的嵌入式 ARM 主板, 使用 Samsung 高端主流 ARM 处理器 :S5P4418 四核 Cortex-A9,

More information

j_xilinx-training-courses_2012.pdf

j_xilinx-training-courses_2012.pdf Xilinx Training Catalog ... 2... 3-7 FPGA ISE... 8 FPGA... 9 FPGA... 10 FPGA... 11 Spartan-6... 12 Virtex-6... 13 7 FPGA... 14 PlanAhead... 15 PlanAhead... 16 ChipScope Pro... 17... 18... 19... 20 LogiCORE

More information

ø8 ø10 ø12 ø16 AP LED LED AP8M 100V IDEC AP2M AP6M AP8M AP1M 6V DC 12V DC 24V DC 5V DC 12V AC/DC 24V AC/DC 6V DC 5% 12V DC 10% 24V DC 10% 6V AC/DC 5%

ø8 ø10 ø12 ø16 AP LED LED AP8M 100V IDEC AP2M AP6M AP8M AP1M 6V DC 12V DC 24V DC 5V DC 12V AC/DC 24V AC/DC 6V DC 5% 12V DC 10% 24V DC 10% 6V AC/DC 5% ø8 ø1 ø16 AP LED LED AP8M 1V IDEC AP2M AP6M AP8M AP1M 5% 1% 1% 6V AC/DC 5% 1% 1% 5% 1% 1% 33mA 22mA 11mA 9mA R G A W 9mA R G A W 9mA R G A W 7mA S PW 7mA S PW 7mA S PW 9mA 11mA 11mA 9mA 9mA 9mA R G Y AW

More information

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi SPHE8202R Design Guide V2.0 JUN, 2007 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com SPHE8202R Design Guide Important Notice

More information

untitled

untitled ,, : ; ; ; ; ; ;,, : ( ) : : : ( ) : : : 6 1 6 1 1 : ,,,,,,,,, :,,,,,,, ,,,,,,,,,, ;, ;,,,,,,,,, : 1. 2.,,, 3.,,,,,,,, ;,,,,, : 1.,, 2.,, ;,,,, 3.,,,,,, 4.,,, ;,,, ;,,,,,,,,,,,,,, ;,,,,,,,, : 1. :,,,

More information

USB解决方案.ppt

USB解决方案.ppt USB USB? RS232 USB USB HID U modem ADSL cable modem IrDA Silabs USB CP210x USB UART USB RS-232 USB MCU 15 USB 12 FLASH MCU 3 USB MCU USB MCU C8051F32x 10 ADC 1.5%, Vref CPU 25MIPS 8051 16KB Flash -AMUX

More information

FPGA黑金开发平台 用户手册

FPGA黑金开发平台 用户手册 Zynq UltraScale+ 开发平台 AXU3CG 开发板 文档版本控制 文档版本 修改内容记录 REV1.0 创建文档 芯驿电子科技 ( 上海 ) 有限公司 2 / 55 目录 文档版本控制... 2 一 开发板简介... 6 二 ACU3CG 核心板... 9 ( 一 ) 简介... 9 ( 二 ) ZYNQ 芯片... 10 ( 三 ) DDR4 DRAM... 12 ( 四 ) QSPI

More information

海尔笔记本主板南京海尔HAIER笔记本维修点海尔T68 W66笔记本开机不显

海尔笔记本主板南京海尔HAIER笔记本维修点海尔T68 W66笔记本开机不显 海 尔 笔 记 本 主 板 南 京 海 尔 HAIER 笔 记 本 维 修 点 海 尔 T68 开 机 不 显 W66 笔 www.hwztp.com http://www.hwztp.com 海 尔 笔 记 本 主 板 南 京 海 尔 HAIER 笔 记 本 维 修 点 海 尔 T68 W66 笔 记 本 开 机 不 显 QQ 在 线 咨 询 南 京 联 系 人 : 郭 先 生 : 电 话 :025-

More information

DPJJX1.DOC

DPJJX1.DOC 8051 111 2K 1 2 3 ' ' 1 CPU RAM ROM / A/D D/A PC CPU 40 68 10 20 8 51 PIII 8051 2 MCS51 8051 8031 89C51 8051 8031 89C51? MCS51 INTEL INTEL 8031 8051 8751 8032 8052 8752 8051 8051 8051 MCS51 8031 8031

More information

PowerPoint 演示文稿

PowerPoint 演示文稿 基于 ZYNQ 的 千兆以太网接口读出模块 ZYNQBee 的研制 NED2014, 兰州,8 月 14 日第十七届全国核电子学与核探测技术学术年会清华大学工程物理系薛涛, 龚光华, 曾鸣, 宫辉, 李荐民 传统读出电子学的架构 DDR2 SDRAM 512MB/266MHz DDR2 SDRAM 512MB/266MHz 8 Channel Analog Input LTM9011-14 125MHz

More information

Microsoft Word - AUCOL_2007JUN19_BOE_BAB_SAF_INF_POT_TA_999.doc

Microsoft Word - AUCOL_2007JUN19_BOE_BAB_SAF_INF_POT_TA_999.doc EMI / EMC 设 计 秘 籍 电 子 产 品 设 计 工 程 师 必 备 手 册 目 录 一 EMC 工 程 师 必 须 具 备 的 八 大 技 能 二 EMC 常 用 元 件 三 EMI/EMC 设 计 经 典 85 问 四 EMC 专 用 名 词 大 全 五 产 品 内 部 的 EMC 设 计 技 巧 六 电 磁 干 扰 的 屏 蔽 方 法 七 电 磁 兼 容 (EMC) 设 计 如 何

More information

公司预计2010年日常关联交易的议案

公司预计2010年日常关联交易的议案 证 券 代 码 : 600680 900930 证 券 简 称 : 上 海 普 天 沪 普 天 B 编 号 : 临 2016-010 上 海 普 天 邮 通 科 技 股 份 预 计 2016 年 日 常 关 联 交 易 的 公 告 本 公 董 事 会 及 全 体 董 事 保 证 本 公 告 内 容 不 存 在 任 何 虚 假 记 载 误 导 性 陈 述 或 者 重 大 遗 漏, 并 对 其 内 容

More information

bingdian001.com

bingdian001.com (AVA PROPRE 6HD) ... 5 1.1 1.2 1.3 1.4 1.5 1.6... 5... 6... 6... 9... 9... 10... 12 2.1 2.2 2.3 2.4 2.5 2.6 2.7 2.8 2.9... 12... 12... 13... 13... 14... 15... 16... 17... 18 2.10... 18 2.11... 19 2.12...

More information

20120301关于加强打击商业贿赂专项行动宣传工作的通知.doc

20120301关于加强打击商业贿赂专项行动宣传工作的通知.doc 1 20124 2 FLASH < > OA 1 2 3 4 2012 3 9 2012 3 9 250 3 1 1 2 3 4 5 6 7 8 9 10 4 2 1 2 1 2 3 4 5 6 3 1 2 5 6 3 4 4 1 2 5 1 2 7 6 7 8 8 9 8 10 85 93 11 9 ( ) 12 13 14 10 15 16 17 1 2 3 4 18 1 2 3 4 19 12388

More information

微雪电子 Open407V-D 实验手册 Open407V-D 实验手册 目录 准备工作... 2 ADC+DMA... 2 CAN1 TO CAN2-Normal... 3 DCMI_OV DCMI_OV I2C... 6 L

微雪电子   Open407V-D 实验手册 Open407V-D 实验手册 目录 准备工作... 2 ADC+DMA... 2 CAN1 TO CAN2-Normal... 3 DCMI_OV DCMI_OV I2C... 6 L Open407V-D 实验手册 目录 准备工作... 2 ADC+DMA... 2 CAN1 TO CAN2-Normal... 3 DCMI_OV7670... 4 DCMI_OV9655... 5 I2C... 6 LCD-HY32D_FSMC... 7 Nand Flash_PCB0... 8 Nand Flash_SCB0... 9 SD_FatFS... 11 SDIO... 12 SPI...

More information

Microsoft Word - 征求意见书.doc

Microsoft Word - 征求意见书.doc 新 乡 市 卫 滨 区 教 育 文 化 体 育 局 幼 儿 园 设 备 购 置 项 目 征 求 意 见 书 经 新 乡 市 卫 滨 区 政 府 采 购 管 理 部 门 批 准, 河 南 卓 越 工 程 管 理 有 限 公 司 将 于 近 期 就 新 乡 市 卫 滨 区 教 育 文 化 体 育 局 幼 儿 园 设 备 购 置 项 目 进 行 公 开 招 标 现 将 有 关 项 目 需 求 公 布 如

More information

(Microsoft Word - 1000111\256\325\260\310\267|\304\263\260O\277\375_\252k\250\356_.doc)

(Microsoft Word - 1000111\256\325\260\310\267|\304\263\260O\277\375_\252k\250\356_.doc) 臺 北 市 立 信 義 國 民 中 學 99 學 年 度 第 2 次 校 務 會 議 紀 錄 壹 時 間 : 中 華 民 國 100 年 1 月 11 日 ( 星 期 二 ) 下 午 13:30 貳 地 點 : 本 校 第 二 會 議 室 參 出 席 列 席 單 位 及 人 員 : 如 簽 到 ( 出 席 29 人 ) 肆 主 席 : 王 校 長 天 才 紀 錄 : 史 一 民 伍 主 席 報 告

More information

Microsoft Word - 桂电教[2008]8号.doc

Microsoft Word - 桂电教[2008]8号.doc 桂 林 电 子 科 技 大 学 文 件 桂 电 教 2008 8 号 为 规 范 和 加 强 考 试 管 理, 促 进 学 风 和 教 风 建 设, 营 造 公 平 和 谐 的 学 习 和 竞 争 环 境, 特 制 定 本 规 定 一 命 题 及 试 卷 管 理 ( 一 ) 考 试 命 题 考 试 命 题 要 严 格 以 教 学 大 纲 为 依 据, 在 规 定 的 范 围 内 命 题, 应 该 对

More information

教育心理学教学案例分析

教育心理学教学案例分析 教 育 心 理 学 教 学 案 例 分 析 [ 案 例 1] 如 果 你 遇 到 这 样 的 情 况, 你 会 给 出 什 么 样 的 建 议 呢? 小 平 是 一 个 酷 爱 流 行 音 乐 的 高 中 生, 期 中 考 试 前 的 两 个 星 期 才 开 始 学 习, 一 边 学 习 一 边 听 MP3, 美 其 名 日 自 我 放 松 她 暗 自 发 誓 要 考 出 好 成 绩, 至 于 好

More information

nb.PDF

nb.PDF 2001 2002 1363 16489 1376 MP3 150 19420 400 2002 3 8 30-40 2.43%-3.23% 13-21 4.62%-7.46% 8-11 8.82%-12.13% 6-12 8.08%-16.17% 5-8 12.13%-19.4% 2001-309,195.32-309,195.32 2,031,363.08 2,031,363.08-351,083.75-351,083.75-117,027.92-117,027.92-1,989,474.65-1,989,474.65-309,195.32-309,195.32

More information

I 元器件上市公司经济状况分析及年度展望

I  元器件上市公司经济状况分析及年度展望 2002 1 2002 5 WWW.CEI.GOV.CN 2001-2005 2005 3000 2010 7500 : : : 21 1 FAX 010 68558370 2 FAX 010 68558370 I.. 2...2...3...7...8 2002...9 II..11...11...12...17...21...23 III.26...26...27...27...27 1 2001...3

More information

Arduino 1-1 Arduino 1-2 Arduino 1-3 Arduino IDE

Arduino 1-1 Arduino 1-2 Arduino 1-3 Arduino IDE Arduino 1-1 Arduino 1-2 Arduino 1-3 Arduino IDE Arduino 01 02 03 04 05 06 07 08 1-1 Arduino 2005 Massimo Banzi David Cuartielles David Mellis Arduino Arduino Arduino CC Arduino Arduino Arduino Arduino

More information

JX-300X DCS 3.1 SP202 SP201S SP211 SP243X SP233 SP244 SP221 SP251 SP251-1 SP251-2 800*600*2100 715*425*1000 RS-232/RS-485 5V24V 110W 24V 110W SP291 SB

JX-300X DCS 3.1 SP202 SP201S SP211 SP243X SP233 SP244 SP221 SP251 SP251-1 SP251-2 800*600*2100 715*425*1000 RS-232/RS-485 5V24V 110W 24V 110W SP291 SB JX-300X DCS I/O / SBUS 3-1 3-1 JX-300X DCS 3-1 JX-300X DCS 3.1 SP202 SP201S SP211 SP243X SP233 SP244 SP221 SP251 SP251-1 SP251-2 800*600*2100 715*425*1000 RS-232/RS-485 5V24V 110W 24V 110W SP291 SBUS 3-2

More information

Tel: , Fax: STR-15 STR bps 2400bps 4800bps 9600bps STR : 500mW 2.ISM 433

Tel: , Fax: STR-15 STR bps 2400bps 4800bps 9600bps STR : 500mW 2.ISM 433 Tel:086-21-50807785, 50273226 Fax:086-21-50807785-807 http://www.sendbow.com TEL +86-021-50273226 50807785 13816690692 FAX:+86-021-50807785-807 167 E-mail:mailto:technology@sendbow.com web:http://www.sendbow.com

More information

VERTU Ti User Guide

VERTU Ti User Guide 用 户 指 南 1.0 版 本 目 录 目 录 简 介 5 您 的 VERTU Ti 手 机 5 帮 助 与 支 持 5 安 全 信 息 6 安 全 信 息 6 您 的 手 机 7 全 套 配 置 7 功 能 和 按 键 8 返 回 主 页 和 菜 单 键 9 天 线 位 置 9 耳 机 10 调 整 音 量 10 开 始 使 用 11 插 入 SIM 卡 11 手 机 充 电 12 开 启 或 关

More information

<4D6963726F736F667420576F7264202D2053444451323031362D3131365FC9BDB6ABBBAFB9A4BCBCCAA6D1A7D4BABDCCD1A7D7A8D3C3D2C7C6F75FD5D0B1EACEC4BCFEB6A8B8E5382E3131A3A8BDCCD3FDD3EBB9ABB9B2B7FECEF1CFB5A3A9>

<4D6963726F736F667420576F7264202D2053444451323031362D3131365FC9BDB6ABBBAFB9A4BCBCCAA6D1A7D4BABDCCD1A7D7A8D3C3D2C7C6F75FD5D0B1EACEC4BCFEB6A8B8E5382E3131A3A8BDCCD3FDD3EBB9ABB9B2B7FECEF1CFB5A3A9> 山 东 省 政 府 采 购 项 目 编 号 :SDDQ2016-116 项 目 名 称 : 山 东 化 工 技 师 学 院 教 学 专 用 仪 器 购 置 项 目 采 购 内 容 : 机 械 系 工 业 机 器 人 等 实 训 设 备 幼 儿 教 育 实 训 室 设 备 山 东 德 勤 招 标 评 估 造 价 咨 询 有 限 公 司 二 一 六 年 八 月 0 目 录 第 一 章 招 标 公 告 第

More information

江 西 : 失 信 被 执 行 人 曝 光 台 写 入 两 会 报 告 摘 要 1 月 27 日, 江 西 省 十 二 届 人 大 五 次 会 议 举 行 第 二 次 全 体 会 议, 江 西 省 高 级 人 民 法 院 院 长 张 忠 厚 向 大 会 作 江 西 省 高 级 人 民 法 院 工 作

江 西 : 失 信 被 执 行 人 曝 光 台 写 入 两 会 报 告 摘 要 1 月 27 日, 江 西 省 十 二 届 人 大 五 次 会 议 举 行 第 二 次 全 体 会 议, 江 西 省 高 级 人 民 法 院 院 长 张 忠 厚 向 大 会 作 江 西 省 高 级 人 民 法 院 工 作 安 徽 : 道 德 信 贷 帮 助 想 干 事 的 好 人 干 成 事 摘 要 安 徽 省 实 施 道 德 信 贷 工 程 两 年 多 来, 通 过 对 道 德 模 范 和 身 边 好 人 优 先 评 级 授 信 优 惠 贷 款 利 率 等 举 措, 为 有 需 要 的 道 德 模 范 和 身 边 好 人 化 解 资 金 之 渴 道 德 模 范 和 身 边 好 人 用 善 行 义 举 温 暖 了 社

More information

形 式 审 查 不 通 过, 将 说 明 原 因 并 予 退 回, 不 予 重 新 修 改 提 交 ( 形 式 审 查 常 见 主 要 问 题 参 考 附 件 3) ( 三 ) 申 请 人 下 载 打 印 网 上 状 态 为 请 交 纸 质 材 料 至 窗 口 受 理 的 申 报 书, 报 送 项

形 式 审 查 不 通 过, 将 说 明 原 因 并 予 退 回, 不 予 重 新 修 改 提 交 ( 形 式 审 查 常 见 主 要 问 题 参 考 附 件 3) ( 三 ) 申 请 人 下 载 打 印 网 上 状 态 为 请 交 纸 质 材 料 至 窗 口 受 理 的 申 报 书, 报 送 项 关 于 申 报 2016 年 度 广 东 省 基 础 与 应 用 基 础 研 究 专 项 资 金 ( 省 自 然 科 学 基 金 ) 项 目 的 通 知 来 源 : 广 东 省 科 技 厅 基 础 研 究 与 科 研 条 件 处 发 布 日 期 : 2015-08-12 粤 科 函 基 字 (2015)1150 号 按 照 广 东 省 省 级 财 政 专 项 资 金 管 理 办 法 规 定,2016

More information

撤 离 你 听 到 了 吗? 它 们 已 经 进 了 墙 里 边 了 那 些 金 属 的 撞 击 声 在 狂 风 之 中 很 难 听 清, 但 断 然 不 会 有 错 围 坐 在 桌 边 的 四 人 靠 得 更 紧 了 些, 并 不 是 因 为 害 怕, 而 是 为 了 取 暖 你 们 觉 得 呢?

撤 离 你 听 到 了 吗? 它 们 已 经 进 了 墙 里 边 了 那 些 金 属 的 撞 击 声 在 狂 风 之 中 很 难 听 清, 但 断 然 不 会 有 错 围 坐 在 桌 边 的 四 人 靠 得 更 紧 了 些, 并 不 是 因 为 害 怕, 而 是 为 了 取 暖 你 们 觉 得 呢? 撤 离 你 听 到 了 吗? 它 们 已 经 进 了 墙 里 边 了 那 些 金 属 的 撞 击 声 在 狂 风 之 中 很 难 听 清, 但 断 然 不 会 有 错 围 坐 在 桌 边 的 四 人 靠 得 更 紧 了 些, 并 不 是 因 为 害 怕, 而 是 为 了 取 暖 你 们 觉 得 呢? 普 雷 斯 科 特 完 全 没 有 掩 饰 他 的 紧 张, 我 想 那 些 墙 壁 很 厚, 它

More information

钢铁产业与衡器行业

钢铁产业与衡器行业 2013 2013 4 4.0 PLC CPS Cyber Physical Systems 1.0 1 1.0 2013 MICROSCAN DATALOGIC BANNER SICK OPTEX CMOS CCD 1 / / 2 1 LED 2 2 3 CCD CMOS 4 / A/D FPGA DSP IEEE1394 RS-644 LVDS Channel Link LVDS Camera

More information

换向阀 线圈系列 CO1 产品手册

换向阀 线圈系列 CO1 产品手册 系列 CO1 产品手册 2 Rexroth Pneumatics 系列 CO1 线圈宽度 30 mm A 型 3 线圈宽度 22 mm B 型 5 线圈宽度 15 mm 类型 C, 线圈组 7 线圈宽度 15 mm M8, 线圈组 9 补充性产品, 系列 CO1 线圈 online Rexroth Pneumatics 3 线圈宽度 30 mm A 型 标准化电路接口 EN 175301-803,

More information

Microsoft Word - 营销目录C.doc

Microsoft Word - 营销目录C.doc 目 录 一 电 工 电 子 电 气 自 动 化 机 电 1 1. 电 工...1 2. 电 子...15 3. 电 气 自 动 化...27 4. 机 电...43 二 信 息 技 术 57 1. 码 摄 影 摄 像...57 2. 图 形 图 像 / 计 算 机...59 3. 国 外 经 典 系 列...70 三 建 筑 74 1. 园 林 景 观 艺 术 设 计 建 筑 学 城 市 规 划...74

More information

104 年 度 第 一 次 會 議 ( )

104 年 度 第 一 次 會 議 ( ) 專 責 小 組 會 議 紀 錄 104 年 03 月 24 日 會 議 紀 錄 104 年 09 月 10 日 會 議 紀 錄 104 年 11 月 24 日 會 議 紀 錄 104 年 12 月 15 日 會 議 紀 錄 104 年 度 第 一 次 會 議 (104.03.24) 遠 東 科 技 大 學 104 年 度 教 育 部 獎 勵 補 助 款 專 責 小 組 第 1 次 會 議 紀 錄 時

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information