FPGA视频处理开发平台 用户手册

Size: px
Start display at page:

Download "FPGA视频处理开发平台 用户手册"

Transcription

1 FPGA 视频处理开发平台用户手册 AV6045 REV 1.0 版 芯驿电子科技 ( 上海 ) 有限公司 黑金动力社区

2 目录 一 简介... 4 二 功能实现... 7 ( 一 ) 视频输入... 7 ( 二 ) 视频输出... 9 三 FPGA 核心板 ( 一 ) 简介 ( 二 ) DDR3 引脚分配... 错误! 未定义书签 ( 三 ) SPI Flash... 错误! 未定义书签 ( 四 ) FPGA 供电电源... 错误! 未定义书签 ( 五 ) 扩展接口... 错误! 未定义书签 ( 六 ) 电源接口... 错误! 未定义书签 ( 七 ) 外部晶振... 错误! 未定义书签 ( 八 ) LED... 错误! 未定义书签 四 扩展板 ( 一 ) 简介 ( 二 ) VGA 和 DVI 接口 ( 三 ) HDMI 输出接口 ( 四 ) HDMI 输入接口 ( 五 ) 视频输入接口 ( 六 ) 千兆以太网接口 ( 七 ) ARM 控制器 ) 实时时钟 ) EEPROM ) LED ) USB 串口 ) SD 卡 ( 八 ) CMOS 摄像头接口 / 49

3 ( 九 ) 扩展口 ( 十 ) JTAG 接口 ( 十一 ) 按键 ( 十二 ) 供电电源 / 49

4 专业级 XILINX FPGA 视频图像处理开发平台 ( 型号 :AV6045) 正式发布了, 为了让您对此开发平台可以快速了解, 我们编写了此用户手册 这款 FPGA 视频图像处理开发平台是根据本公司 ALTERA 的视频开发板改进衍生而来, 在硬件设计上我们在原有的基础上增加了 HDMI 输入,DVI 输出, 千兆以太网, CMOS Camera 接口和 Micro SD 卡座等外设 这极大的丰富了视频图像处理板的功能, 不仅满足 FPGA 视频图像处理的功能, 还为视频图像存储, 视频图像的网络通信提供了可能 因此, 这款开发平台可以堪称 专业级 和 " 全能级 这样的一款产品非常适合即将从事或者正在从事 FPGA 视频图像处理或者视频图像通信及存储的学生 工程师等群体 一 简介 在这里, 对这款 XILINX FPGA 开发平台进行简单的功能介绍 开发板的整个结构, 继承了我们一贯的核心板 + 扩展板的模式来设计的 核心板和扩展板之间使用高速板间连接器连接 核心板主要由 FPGA+ DDR3+ FLASH 构成, 承担视频图像处理的核心算法, 充分利用了 FPGA 并行处理的能力, 加上 FPGA 和 DDR3 之间的高速数据读写, 整个系统 4 / 49

5 的带宽高达 10Gb/s(666M*16bit); 另外 DDR3 容量高达 2Gbit, 满足视频处理过程中对高缓冲区的需求 我们选用的 FPGA 为 XILINX 公司 SPARTAN6 系列的 XC6SLX45-2FG484 这款高速的 FPGA 芯片 我们选用的 FPGA 是 BGA 484 封装 SPARTAN6 FPGA 内部集成 DDR 控制器的硬 Core, 和 DDR3 之间通信的时钟频率达到 333Mhz,DDR3 内部 666Mhz, 充分满足了四路 1080p 视频处理的需求 下图为整个开发系统的结构示意图 : 视频输入接口 视频输入接口 视频输入接口 视频输入接口 VGA 输出 HDMI 输出 HDMI 输入 TW2867 ADV7123 SiI9134 SiI PIN Connector DDR3 XILINX XC6SLX45 RTL8211E ARM CP2102 以太网网口 CMOS 接口 USB UART SD Card 通过这个示意图, 我们可以看到, 我们这个开发平台所能实现的功能 四路视频输入我们选用了 Techwell 公司的 TW2867, 可输入 4 路复合视频信号, PAL/NTSC/SECAM 自动识别, 输出 BT656, 可多路复用总线,FPGA 端解复用, 节省 IO; 一路 VGA 输出我们选用了 ADI 公司的三通道 10 位 DAC 转换芯片 ADV7123, 支持 RGB 数字输入和 VGA 接口的输出 支持最大 240MSPS 的转换速率, 最高支持 1080p@60Hz s 视频图像输出 ; 一路 HDMI 输出 5 / 49

6 我们选用了 Silion Image 公司的 SIL9134 HDMI 编码芯片, 最高支持 输出, 支持 3D 输出 一路 HDMI 输入我们选用了 Silion Image 公司的 SIL9013 HDMI 解码芯片, 最高支持 输入, 支持不同格式的数据输出 一路 10/100M/1000M 以太网 RJ-45 接口千兆以太网接口芯片采用 Realtek 公司的 RTL8211EG 以太网 PHY 芯片为用户提供网络通信服务 RTL8211EG 芯片支持 10/100/1000 Mbps 网络传输速率 ; 一路 CMOS 输入 CMOS 摄像头接口, 可以接黑金公司的 30 万的 OV7670 摄像头或 500 万的 OV5640 摄像头模组 ; 同时, 在扩展板上, 我们还板载了一片 ARM 芯片 (STM32F103), 通过 I2C 配置 开发板上各个接口芯片和 FPGA 6 / 49

7 二 功能实现 ( 一 ) 视频输入 视频开发板通过 TW2867, 可输入 4 路复合视频信号,PAL/NTSC/SECAM 自动识别, 输出 BT656; 或者通过 SIL9013, 可以输入 HDMI 视频信号 ; 再或者通过 CMOS 接口, 可以输入 CMOS 摄像头采集的图像信号 因此, 开发板可以用的视频信号源有很多, 比如 1) 监控摄像头, 通过此开发板, 可以实现四路监控摄像头通过显示器 (VGA/DVI/HDMI 接口均可, 可实现 1080p) 进行分屏显示, 我们的开发板就相当于下图中的数字视频主机 2) 机顶盒, 比如通过连接机顶盒的 HDMI 输出来获得的视频源, 可以通过我们的 开发平台实现画中画 (PIP) 功能 7 / 49

8 3) CMOS 摄像头, 和黑金开发的 30 万摄像头或者 500 万摄像头配置使用, 在 VGA 显示器或者 HDMI 显示器上实时显示 1080P 的视频图像 8 / 49

9 ( 二 ) 视频输出 视频开发板的视频输出可以有 2 种 : 可以连接 VGA 显示器显示 VGA 图像 ; 可以连接 HMDI 显示器或电视显示 HDMI 视频信号 VGA 和 HDMI 输出的视频显示最高支持为 1080P@60Hz 目前的电脑显示器基本上都会支持 VGA 或者 HDMI 输入中的一种 只要连接显示器的 VGA/HDMI 接口中的一个接口到我们视频开发板, 就能实现视频图像的效果演示 下图为带 VGA 和 HDMI 显示接口的电脑显示器 9 / 49

10 三 FPGA 核心板 核心板正面照片 核心板背面照片 10 / 49

11 ( 一 ) 简介 FPGA+ DDR3 核心板, 是基于 XILINX 公司的 SPARTAN6 系列的 XC6SLX45-2FG484I 这款芯片开发的高性能核心板, 具有高速, 高带宽, 高容量等特点, 适合视频图像处理, 高速数据采集等方面使用 这款核心板使用了 MICRON 公司的 MT41J128M16LA-187E 这款 DDR3 芯片, 容量为 2Gbit;16bit 总线模式,FPGA 和 DDR3 之间的读写数据带宽高达 10Gb; 这样的配置, 可以满足 4 路 1080p 视频处理的需求 这款核心板还扩展出 172 个 IO 口, 对于需要大量 IO 的用户, 此核心板将是不错的选择 而且,FPGA 芯片到接口之间走线做了等长处理, 并且核心板尺寸仅为 60*60(mm), 对于二次开发来说, 非常适合 ( 一 ) DDR3 下图为 DDR3 的部分原理图 ( 详细的请看我们提供的原理图 ) 另外, 为了让 DDR3 正常工作, 我们还需要为 DDR3 的地址线和控制线提供端接电 11 / 49

12 压 VTT 和 DDR3 芯片的参考电压 VREF, VTT 和 VREF 的电压都为 1.5V, 下图为电源部 分原理图 DDR3 及电源部分实物图 DDR3 连接到 FPGA 的 BANK3 上, 具体 FPGA 的 DDR 管脚分配如下 : 引脚名称 FPGA 引脚 引脚名称 FPGA 引脚 DDR3_A[0] H2 DDR3_A[11] C1 DDR3_A[1] H1 DDR3_A[12] D1 DDR3_A[2] H5 DDR3_A[13] G6 DDR3_A[3] K6 DDR3_A[14] F5 12 / 49

13 DDR3_A[4] F3 DDR3_BA[0] G3 DDR3_A[5] K3 DDR3_BA[1] G1 DDR3_A[6] J4 DDR3_BA[2] F1 DDR3_A[7] H6 DDR3_nCAS K4 DDR3_A[8] E3 DDR3_CKE D2 DDR3_A[9] E1 DDR3_CLK_P H4 DDR3_A[10] G4 DDR3_CLK_N H3 DDR3_nRAS K5 DDR3_DQ[8] P2 DDR3_nWE F2 DDR3_DQ[9] P1 DDR3_ODT J6 DDR3_DQ[10] R3 DDR3_RESET C3 DDR3_DQ[11] R1 DDR3_LDM L4 DDR3_DQ[12] U3 DDR3_UDM M3 DDR3_DQ[13] U1 DDR3_DQ[0] N3 DDR3_DQ[14] V2 DDR3_DQ[1] N1 DDR3_DQ[15] V1 DDR3_DQ[2] M2 DDR3_LDQS_P L3 DDR3_DQ[3] M1 DDR3_LDQS_N L1 DDR3_DQ[4] J3 DDR3_UDQS_P T2 DDR3_DQ[5] J1 DDR3_UDQS_N T1 DDR3_DQ[6] K2 DDR3_DQ[7] K1 ( 二 ) SPI Flash 核心板上使用了一片 64Mbit 大小的 SPI FLASH 芯片, 型号为 W25Q64BV, 它使用 3.3V CMOS 电压标准 由于它的非易失特性, 在使用中,SPI FLASH 可以作为 FPGA 系统的启动镜像 这些镜像主要包括 FPGA 的 bit 文件 软核的应用程序代码以及其它的用户数据文件 SPI FLASH 的具体型号和相关参数见下表位号芯片类型容量厂家 U8 W25Q64BV 64Mbit Winbond 表 1 QSPI Flash 的型号和参数 13 / 49

14 配置芯片引脚分配 : 引脚名称 SPI_CLK SPI_CSn SPI_DIN SPI_DOUT FPGA 引脚 Y21 T5 AB20 AA20 下图为 SPI Flash 部分原理图 SPI FLASH 部分原理图 SPI FLASH 部分实物图 ( 三 ) FPGA 供电电源 为了让 FGPA 可以正常的工作, 我们需要为 FPGA 提供 P3V3 P1V2 和 VCCIO 三 路电源 P3V3 为 FPGA 的 VCCAUX 供电, 电压为 3.3V; P1V2 为 FPGA 的 VCCINT 供 14 / 49

15 电, 电压为 1.2V; VCCIO 为 FPGA 的 BANK0, BANK1, BANK2 的 VCCO 供电 另外核心板上带有 DDR3, 还需要有 P1V5, 电压为 1.5V( 用于产生 VTT 和 VREF), 上面已经说过, 在此不再重复 这里 FPGA 的 VCCO 和 VCCAUX 电源分开的目的是为了能够使 FPGA 的 BANK IO 电压可以灵活调整, 通过调整 VCCIO 电源部分的电阻值来得到不同的输出电压, 这样 FPGA 核心板的 IO 电平可以应用于不同电压的场合 3 路电源 (P1V2, VCCIO, P1V5) 均采用美国 TI 公司进口的 TLV62130RGT DCDC 芯片, 具有效率高, 体积小, 不发热, 可提供电流大, 纹波小等特点, 是 FPGA 绝佳的电源解决方案 ; 大量使用高档进口的电容电感, 保证系统的电源稳定可靠 ; 另一路 (P3V3) 因为所需电流不大, 我们这里采用纹波更小的 LDO 芯片 SPX3819M5-L / 49

16 电源部分原理图 16 / 49

17 电源部分实物图 ( 四 ) 扩展接口 核心板一共扩展出 2 个高速扩展口, 使用 2 个 100Pin 的板间连接器和扩展板连接 连接器采用 AMP 泰科 BTB 板对板连接器 ,PIN 脚间距为 0.8mm, 公头, 连接器的高度为 5mm 和底板的母座 AMP 连接器 配置实现高速数据通信 17 / 49

18 18 / 49

19 19 / 49

20 扩展口实物图 ( 五 ) 电源接口 为了能使核心板正常工作, 底板需要通过扩展口提供给核心板一个 +5V 的电源, 核心板的电源电压工作范围为 4.5V~5.5V, 电流为 1A 左右, 为了保证一定的余量, 底板设计时最好能够提供 5V 2A 的电流 底板提供的 5V 电源通过扩展口 P1,P2 的 1~4 脚 (VCC 网络 ) 输入给核心板 扩展口的电源输入 如果需要单独调试核心板的话, 用户也可以通过核心板的 Mini USB 口 (J2) 单独 给核心板供电, 用户只要一根我们提供的 Mini USB 线连接到电脑的 USB 口就可以了 20 / 49

21 ( 注意 : 底板供电或者 J2 供电只能二选一 ) ( 六 ) 外部晶振 核心板板载了 50M 的有源晶振和 27M 的有源晶振,50MHz 时钟连接到 FPGA 的 AB13 引脚,27MHz 时钟连接到 FPGA 的 B10 引脚 晶振原理图 21 / 49

22 FPGA 引脚分配 : 输入时钟 50MHz 27MHz 晶振实物图 FPGA 引脚 AB13 B10 ( 七 ) LED 核心板板载了 6 个 LED 发光二极管,4 个用户 LED 发光二极管, 一个电源指示灯和 1 个 FPGA 配置指示 LED 发光二极管 电源指示灯连接到 P3V3, 如果 3.3V 电压正常,LED 灯亮 FPGA 配置指示灯指示 FPGA 是否配置成功, 当 FPGA 没有配置程序时配置指示灯熄灭 ;FPGA 配置成功后, 配置指示灯点亮 电源灯和配置指示灯的原理图如下图 电源灯和配置指示灯原理图 22 / 49

23 电源 LED 和配置 LED 实物图 点亮 4 个用户 LED 部分的原理图如下图, 当 FPGA 的引脚输出为逻辑 0 时,LED 会被 4 个用户 LED 原理图 用户 LED 实物图 FPGA 引脚分配 : LED 名称 FPGA 引脚 23 / 49

24 LED0 LED1 LED2 LED3 U6 V5 AA2 AB2 ( 八 ) 核心板结构尺寸图 正面图 (Top View) 24 / 49

25 背面图 (Top View) 25 / 49

26 四 扩展板 ( 一 ) 简介 通过前面的功能简介, 我们可以了解到扩展板部分的功能 四路视频输入 TW2867 一路 HDMI 输入 SiI9013 一路 VGA 输出 ADV7123 一路 HDMI 输出 SIL9134 一路千兆以太网接口 RTL8211E 一路 CMOS 输入 一片 ARM 芯片 STM32F103 通过这些硬件, 我们可以做一下实验 : 基础实验 : 1) DDR3 测试实验 ; 2) VGA 输出 color bar 实验 ; 3) HDMI 输出 color bar 实验 ; 26 / 49

27 4) TW2867 输入到 VGA 显示实验 ; 5) I2C 通信实验 ; 6) RGB 转 Ycbcr 实验 ; 7) Ycbcr444 转 Ycbcr422 实验 ; 8) HDMI 显示 Ycbcr colour bar 实验 ; 9) ycbcr 转 rgb 实验 ; 进阶实验 : 1) 4 路视频信号通过 TW2867 采集以后, 通过 VGA 接口 4 分屏显示 ; 2) 4 路视频信号通过 TW2867 采集以后, 通过 HDMI 接口 4 分屏显示 ; 3) 通过 VGA 实现画中画 (PIP) 模式 ; 4) 通过 HDMI 实现画中画 (PIP) 模式 ; 5) 将上述 4 个实验整合在一起, 实现 4 路视频输入以后, 可通过 VGA HMDI 同时显示, 通过串口命令来对单独显示模式 分屏显示模式 画中画模式三种模式的切换 ( 二 ) VGA 显示接口 VGA 显示部分, 我们使用了 ADI 公司的 ADV7123 芯片, 内含三路 10 位 D/A 转换器, 对输入的 RGB 数字信号进行模拟转换输出 VGA 视频信号, 最高支持 1080p@60Hz 输出 ; 在 AV6045 开发板中,FPGA 输出的 RGB 数字信号为 24 位色, 其中红绿蓝三种颜色各 8 位, 在原理图设计中 FPGA 输出的红绿蓝的 8 位数据连接到 ADV7123 的 3 路 D/A 转换的数据输入高 8 位, 数据输入的低 2 位置 0 下图为 ADV7123 的原理图设计 : 27 / 49

28 ADV7123 VGA 原理图 下图为 AV6045 开发板上 VGA 的接口实物图 : VGA 信号的 FPGA 引脚分配 : 引脚名称 VGA_CLK VGA_EN VGA_HS VGA_VS VGA_R7 VGA_R6 VGA_R5 VGA 接口实物图 FPGA 引脚 K20 F20 F18 J17 T22 R22 T21 28 / 49

29 VGA_R4 VGA_R3 VGA_R2 VGA_R1 VGA_R0 VGA_G7 VGA_G6 VGA_G5 VGA_G4 VGA_G3 VGA_G2 VGA_G1 VGA_G0 VGA_B7 VGA_B6 VGA_B5 VGA_B4 VGA_B3 VGA_B2 VGA_B1 VGA_B0 R20 AB7 AB8 Y7 AA8 M22 L22 M21 L20 P22 N22 P21 N20 K17 F19 D21 C20 D22 C22 G19 H19 ( 三 ) HDMI 输出接口 HDMI 输出接口的实现, 是选用 Silion Image 公司的 SIL9134 HDMI(DVI) 编码芯片, 最高支持 1080P@60Hz 输出, 支持 3D 输出 其中,SIL9134 的 IIC 接口与 STM32F103 相连, 通过 STM32F103 来对 SIL9134 进行初始化和控制操作, 其他引脚与 FPGA 相连 29 / 49

30 HDMI 接口原理图 FPGA 引脚分配 : 引脚名称 9134_CLK 9134_HS HDMI 接口实物图 FPGA 引脚 Y12 U22 30 / 49

31 9134_VS 9134_DE 9134_D[0] 9134_D[1] 9134_D[2] 9134_D[3] 9134_D[4] 9134_D[5] 9134_D[6] 9134_D[7] 9134_D[8] 9134_D[9] 9134_D[10] 9134_D[11] 9134_D[12] 9134_D[13] 9134_D[14] 9134_D[15] 9134_D[16] 9134_D[17] 9134_D[18] 9134_D[19] 9134_D[20] 9134_D[21] 9134_D[22] 9134_D[23] U20 V22 Y18 U13 W18 U14 AB18 AA18 AB17 AB14 Y17 AA14 W13 Y19 T19 AB19 T20 L17 W20 K18 W22 P19 M19 P20 N19 V21 ( 四 ) HDMI 输入接口 我们采用了 Silion Image 公司的 SIL9013 HDMI 解码芯片, 最高支持 1080P@60Hz 输入, 支持不同格式的数据输出 ; 其中,SIL9013 的 IIC 接口与 STM32F103 相连, 通过 STM32F103 来对 SIL / 49

32 进行初始化和控制操作, 其他引脚与 FPGA 相连 HDMI 输入原理图 HDMI 输入接口实物图 FPGA 引脚分配 : 32 / 49

33 33 / 49 引脚名称 FPGA 引脚 9013_CLK AA _HS Y8 9013_VS W8 9013_DE V7 9013_D[0] W _D[1] W9 9013_D[2] Y _D[3] R8 9013_D[4] Y9 9013_D[5] R9 9013_D[6] V _D[7] AB9 9013_D[8] W _D[9] AA _D[10] AB _D[11] AB _D[12] Y _D[13] W _D[14] AB _D[15] R _D[16] AA _D[17] T _D[18] W _D[19] V _D[20] W _D[21] Y _D[22] Y _D[23] AB16

34 ( 五 ) 视频输入接口 我们选用了 Techwell 公司的 TW2867, 可输入 4 路复合视频信号, PAL/NTSC/SECAM 自动识别, 输出 BT656, 可多路复用总线,FPGA 端解复用, 节省 IO; 其中,TW2867 的 IIC 接口和复位引脚与 STM32F103 相连, 通过 STM32F103 来对 TW2867 进行初始化和控制操作, 其他引脚与 FPGA 相连 视频输入接口原理图 34 / 49

35 视频输入接口实物图 引脚分配 : 引脚名称 2867_CLKP 2867_CLKN 2867_D[0] 2867_D[1] 2867_D[2] 2867_D[3] 2867_D[4] 2867_D[5] 2867_D[6] 2867_D[7] FPGA 引脚 C11 A11 A3 C5 A4 A5 D6 B6 C6 A6 ( 六 ) 千兆以太网接口 开发板上通过 Realtek RTL8211EG 以太网 PHY 芯片为用户提供网络通信服务 RTL8211EG 芯片支持 10/100/1000 Mbps 网络传输速率, 通过 RGMII 接口跟 FPGA 进行数据通信 RTL8211EG 支持 MDI/MDX 自适应, 各种速度自适应,Master/Slave 35 / 49

36 自适应, 支持 MDIO 总线进行 PHY 的寄存器管理 RTL8211EG 上电会检测一些特定的 IO 的电平状态, 从而确定自己的工作模式 下 表描述了 GPHY 芯片上电之后的默认设定信息 配置 Pin 脚 说明 配置值 PHYAD[2:0] MDIO/MDC 模式的 PHY 地 PHY Address 为 001 址 SELRGV 3.3V,2.5V,1.5/1.8V 电压选择 3.3V AN[1:0] 自协商配置 (10/100/1000M) 自适应 RX Delay RX 时钟 2ns 延时 延时 TX Delay TX 时钟 2ns 延时 延时 MODE RGMII 或 GMII 选择 RGMII 当网络连接到千兆以太网时,FPGA 和 PHY 芯片 RTL8211EG 的数据传输时通过 RGMII 总线通信, 传输时钟为 125Mhz, 数据在时钟的上升沿和下降沿采样 接收时钟 E_RXC 由 PHY 芯片提供, 发送时钟 E_GTXC 由 FPGA 提供, 数据在时钟的上升沿采样 当网络连接到百兆以太网时,FPGA 和 PHY 芯片 RTL8211EG 的数据传输时通过 MII 总线通信, 传输时钟为 25Mhz 接收时钟 E_RXC 和发送时钟 E_TXC 都由 PHY 芯 片提供, 数据在时钟的上升沿采样 千兆以太网的原理图设计如下 : 千兆以太网接口原理图 36 / 49

37 千兆以太网接口实物图 以太网引脚分配引脚名称 FPGA 引脚 备注 E_GCLK K19 RGMII 发送时钟 E_TXD0 E20 发送数据 bit0 E_TXD1 E22 发送数据 bit1 E_TXD2 D20 发送数据 bit2 E_TXD3 F21 发送数据 bit3 E_TXEN H18 发送使能信号 E_TXC G22 MII 发送时钟 E_RXC H21 RGMII 接收时钟 E_RXDV K21 接收数据有效信号 E_RXD0 J20 接收数据 Bit0 E_RXD1 L19 接收数据 Bit1 E_RXD2 H22 接收数据 Bit2 E_RXD3 M20 接收数据 Bit3 E_CRS H20 Carrier Sense 信号 E_RESET D19 复位信号 E_MDC J19 MDIO 管理时钟 E_MDIO G20 MDIO 管理数据 37 / 49

38 ( 七 ) ARM 控制器 在扩展板上, 我们还板载了一片 ARM 芯片 (STM32F103), 通过 IO 口来复位各 接口芯片, 通过 I2C 配置各接口芯片的寄存器及和 FPGA 的数据通信 ARM STM32F103 原理图 STM32F103 实物 同时,ARM 还引出了实时时钟 EEPROM 4 个 LED 以及串口等 38 / 49

39 1) 实时时钟 实时时钟原理图 ARM 对应引脚 : 引脚名称 实时时钟实物图 ARM 引脚 RTC_SCLK 15 RTC_IO / 49

40 RTC_RESET 14 2) EEPROM EEPROM 原理图 ARM 对应引脚 : 引脚名称 EEPROM 实物图 ARM 引脚 24LC04_SDA 59 24LC04_SCL 58 3) LED LED 原理图 40 / 49

41 ARM 对应引脚 : 引脚名称 LED 实物图 ARM 引脚 LED0 57 LED1 56 LED2 55 LED3 54 4) USB 串口 串口原理图 41 / 49

42 ARM 对应引脚 : 引脚名称 串口实物图 ARM 引脚 RXD1 43 TXD1 42 CTS 38 RTS 37 5) SD 卡 ARM 通过 SPI 接口跟 Micro SD 卡通信, 用于 SD 卡数据的读取和存储 Micro SD 卡原理图 42 / 49

43 SD 卡实物图 ARM 对应引脚 : 引脚名称 ARM 引脚 TFCS 33 TFSCK 34 TFMOSI 36 TFMISO 35 ( 八 ) CMOS 摄像头接口 开发板包含了一个 18 针的 CMOS 摄像头接口, 可以连接 OV7670 摄像头模块和 OV5640 摄像头模块, 可以实现视频采集功能, 采集以后, 可以通过 HDMI 或者 VGA 接口连接显示器进行显示 OV7670,30W 像素, 输出分辨率为 640*480; OV5640, 500W 像素, 输出分辨率高达为 2592*1944 关于摄像头选择, 用户可以根据自己实际需要进行选购 43 / 49

44 CMOS 摄像头接口原理图 CMOS 摄像头接口实物图 下表为连接 500 万 CMOS 摄像头 (AN5640 模组 ) 的 FPGA 引脚分配 : 引脚名称 FPGA 引脚 CMOS_SCLK AB5 CMOS_SDAT Y5 CMOS_VSYNC AA4 CMOS_HREF AB3 CMOS_PCLK AB4 CMOS_XCLK Y3 CMOS_D[7] V9 CMOS_D[6] U9 CMOS_D[5] W6 CMOS_D[4] AB6 CMOS_D[3] Y11 CMOS_D[2] AB11 CMOS_D[1] Y6 CMOS_D[0] AA6 CMOS_RESET - CMOS_PWDN - 44 / 49

45 ( 九 ) 扩展口 开发板预留 1 个扩展口, 扩展口有 40 个信号, 其中,5V 电源 1 路,3.3V 电源 2 路, 地 3 路,IO 口 34 路 切勿 IO 直接跟 5V 设备直接连接, 以免烧坏 FPGA 如果要接 5V 设备, 需要接电平转换芯片 在扩展口和 FPGA 连接之间串联了 33 欧姆的排阻, 用于保护 FPGA 以免外界电压或电流过高造成损坏, 扩展口 (J13) 的电路如下图所示 扩展口原理图 下图为 J13 扩展口实物图, 扩展口的 Pin1,Pin2 已经在板上标示出 扩展口实物图 45 / 49

46 扩展口引脚分配引脚编号 FPGA 引脚 引脚编号 FPGA 引脚 1 GND 2 VCC 3 C7 4 A7 5 B8 6 A8 7 D7 8 D8 9 C9 10 A9 11 D9 12 C8 13 D10 14 C10 15 D11 16 C12 17 B12 18 A12 19 C13 20 A13 21 D14 22 C14 23 B14 24 A14 25 C15 26 A15 27 D15 28 C16 29 B16 30 A16 31 C17 32 A17 33 B18 34 A18 35 E16 36 C19 37 GND 38 GND 39 D3V3 40 D3V3 ( 十 ) JTAG 接口 开发板预留了一个 JTAG 接口, 用于下载 FPGA 程序或者固化程序到 FLASH 为了 带电插拔造成对 FPGA 芯片的损坏, 我们在 JTAG 信号上添加了保护二极管来保证信号 的电压在 FPGA 接受的范围, 避免 FPGA 的损坏 46 / 49

47 原理图 实物图 ( 十一 ) 按键 开发板上含有两个用户按键 KEY1~KEY2 47 / 49

48 按键原理图 FPGA 引脚分配 : 引脚名称 KEY1 KEY2 按键实物图 FPGA 引脚 J22 K22 ( 十二 ) 供电电源 底板的电源输入电压为 DC5V, 请使用开发板自带的电源, 不要用其他规格的电源, 以免损坏开发板 通过三路 DC/DC 电源芯片 MP1482 转化成 D3V3,D1V2, D1V8 三路电源 另外底板的 DC5V 电源通过板间连接器给核心板供电, 底板上的电源设计如下 : 48 / 49

49 电源原理图 电源实物图 49 / 49

视频处理开发板 用户手册

视频处理开发板     用户手册 视频处理开发板 用户手册 ALINX822 芯驿电子科技 ( 上海 ) 有限公司 黑金动力社区 目录 功能简介... 3 功能实现... 5 FPGA 核心板... 7 ( 一 ) 简介... 7 ( 二 ) DDR2 引脚分配... 9 ( 三 ) FPGA 供电电源... 10 ( 四 ) 扩展口... 12 ( 五 ) 电源接口... 15 ( 六 ) JTAG 接口... 15 ( 七 )

More information

FPGA黑金开发平台 用户手册

FPGA黑金开发平台 用户手册 FPGA 黑金开发平台 用户手册 AC616 文档版本控制 文档版本 修改内容记录 REV1.0 创建文档 黑金动力社区 2 / 26 版权申明 : 本手册版权归属芯驿电子公司 ( 上海 ) 有限公司所有, 并保留一切权力 非经本公司 ( 书面形式 ), 同意, 任何单位及个人不得擅自摘录或修改本手册部分或全部内容, 违者我们将追究其法律责任 感谢您购买黑金开发板, 在使用产品之前, 请仔细地阅读该手册并且确保知道如何正确使用该产品,

More information

ARTIX-7 FPGA开发平台 用户手册

ARTIX-7 FPGA开发平台     用户手册 Spartan7 FPGA 核心板用户手册 AC7050 REV 1.0 版 芯驿电子科技 ( 上海 ) 有限公司 黑金动力社区 目录 ( 一 ) 简介... 3 ( 二 ) FPGA... 4 ( 三 ) 有源晶振... 6 ( 四 ) DDR3... 7 ( 五 ) QSPI Flash... 11 ( 六 ) LED 灯... 13 ( 七 ) JTAG 接口... 14 ( 八 ) 电源接口...

More information

FPGA黑金开发平台 用户手册

FPGA黑金开发平台 用户手册 FPGA 黑金开发平台 用户手册 AX516 文档版本控制 文档版本 修改内容记录 REV1.0 创建文档 黑金动力社区 2 / 38 版权申明 : 本手册版权归属芯驿电子公司 ( 上海 ) 有限公司所有, 并保留一切权力 非经本公司 ( 书面形式 ), 同意, 任何单位及个人不得擅自摘录或修改本手册部分或全部内容, 违者我们将追究其法律责任 感谢您购买黑金开发板, 在使用产品之前, 请仔细地阅读该手册并且确保知道如何正确使用该产品,

More information

FPGA黑金开发平台 用户手册

FPGA黑金开发平台 用户手册 FPGA 黑金开发平台 用户手册 AX515 文档版本控制 文档版本 修改内容记录 REV1.0 创建文档 黑金动力社区 2 / 45 版权申明 : 本手册版权归属芯驿电子公司 ( 上海 ) 有限公司所有, 并保留一切权力 非经本公司 ( 书面形式 ), 同意, 任何单位及个人不得擅自摘录或修改本手册部分或全部内容, 违者我们将追究其法律责任 感谢您购买黑金开发板, 在使用产品之前, 请仔细地阅读该手册并且确保知道如何正确使

More information

FPGA核心板 用户手册

FPGA核心板 用户手册 FPGA 核心板用户手册 AC7100 REV 1.0 版 芯驿电子科技 ( 上海 ) 有限公司 黑金动力社区 目录 ( 一 ) 简介... 3 ( 二 ) FPGA... 5 ( 三 ) 有源差分晶振... 6 ( 四 ) DDR3... 8 ( 五 ) QSPI Flash... 12 ( 六 ) LED 灯... 14 ( 七 ) 复位按键... 15 ( 八 ) JTAG 接口... 16

More information

FPGA黑金开发平台 用户手册

FPGA黑金开发平台 用户手册 ZYNQ7000 开发平台 用户手册 AC7015 开发板 文档版本控制 文档版本 修改内容记录 REV1.0 创建文档 芯驿电子科技 ( 上海 ) 有限公司 2 / 23 目录 文档版本控制... 2 ( 一 ) 简介... 4 ( 二 ) ZYNQ 芯片... 5 ( 三 ) DDR3 DRAM... 8 ( 四 ) QSPI Flash... 11 ( 五 ) emmc Flash... 13

More information

ARTIX-7 FPGA开发平台 用户手册

ARTIX-7 FPGA开发平台     用户手册 ARTIX-7 FPGA 开发平台用户手册 AX7103 REV 1.0 版 芯驿电子科技 ( 上海 ) 有限公司 黑金动力社区 目录 一 开发板简介... 5 二 FPGA 核心板... 8 ( 一 ) 简介... 8 ( 二 ) FPGA... 9 ( 三 ) 有源差分晶振... 11 ( 四 ) DDR3... 13 ( 五 ) QSPI Flash... 17 ( 六 ) LED 灯...

More information

ARTIX-7 FPGA开发平台 用户手册

ARTIX-7 FPGA开发平台     用户手册 ARTIX-7 FPGA 开发平台用户手册 AX7102 REV 1.1 版 芯驿电子科技 ( 上海 ) 有限公司 黑金动力社区 目录 一 开发板简介... 5 二 FPGA 核心板... 8 ( 一 ) 简介... 8 ( 二 ) FPGA... 9 ( 三 ) 有源差分晶振... 11 ( 四 ) DDR3... 13 ( 五 ) QSPI Flash... 17 ( 六 ) LED 灯...

More information

FPGA黑金开发平台 用户手册

FPGA黑金开发平台 用户手册 ZYNQ7000 开发平台 用户手册 AX7021 开发板 文档版本控制 文档版本 修改内容记录 REV1.0 创建文档 芯驿电子科技 ( 上海 ) 有限公司 2 / 49 目录 文档版本控制... 2 一 开发板简介... 5 二 AC7021 核心板... 7 ( 一 ) 简介... 7 ( 二 ) ZYNQ 芯片... 8 ( 三 ) DDR3 DRAM... 11 ( 四 ) QSPI Flash...

More information

FPGA黑金开发平台 用户手册

FPGA黑金开发平台 用户手册 FPGA 黑金开发平台 用户手册 AX309 文档版本控制 文档版本 修改内容记录 REV1.0 REV1.1 REV1.2 REV1.3 创建文档第 30 页,J2 扩展口表格,29 改为 R1,30 改为 R2 第 6 页, 第 11 页,64Mbit 改为 16Mbit 第 21 页, LED 电平部分描述修正 黑金动力社区 2 / 31 版权申明 : 本手册版权归属芯驿电子公司 ( 上海 )

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

HMI COM1 RS SIEMENSE S7-200 RS485

HMI COM1 RS SIEMENSE S7-200 RS485 目录 第一部分维控人机界面串口引脚定义...2 1 LEVI777T COM1 引脚定义原理图...2 2 LEVI777T COM2 引脚定义原理图...2 3 LEVI908T COM1 引脚定义原理图...2 4 LEVI908T COM2/COM3 引脚定义原理图...3 第二部分通信针头...4 1 通信针头...4 第三部分各 PLC 与 LEVI 通信线接法...5 1 西门子 S7-200

More information

8S E600Y 0773-CE

8S E600Y 0773-CE 控制面板及侧 背面端子 液晶电视快速操作引导 液晶电视快速操作引导 控制面 板 按键介 绍 控制面板按键及侧面端子介绍 感光头 红外接收器 指示灯 电源 开 关 按键 频 道- 频 道+ 音 量- 音 量+ 频道选择键 音量控制键 菜单 确定 返 回/主 页 确定键 返回/主页键 菜单键 背面端 子 介绍 USB1 光纤音频 输出 USB2 USB3 SD卡 SD卡槽 CA卡 V 注:当您使用非本机配送的重低音音箱连接本机

More information

FPGA黑金开发平台 用户手册

FPGA黑金开发平台 用户手册 ALINX 黑金 AX7010 开发板 用户手册 文档版本控制 文档版本 修改内容记录 REV1.0 创建文档 黑金动力社区 2 / 42 版权申明 : 本手册版权归属芯驿电子公司 ( 上海 ) 有限公司所有, 幵保留一切权力 非经本公司 ( 书面形式 ), 同意, 任何单位及个人丌得擅自摘彔戒修改本手册部分戒全部内容, 违者我们将追究其法律责任 感谢您购买黑金开収板, 在使用产品之前, 请仔细地阅读该手册幵且确保知道如何正确使

More information

目 录 前言 处理器 FLASH RAM QSPI Flash 加密芯片 电源接口和拨码开关 JTAG 仿真器接口 LCD 触摸屏接口 LED 指示灯 按键.

目 录 前言 处理器 FLASH RAM QSPI Flash 加密芯片 电源接口和拨码开关 JTAG 仿真器接口 LCD 触摸屏接口 LED 指示灯 按键. TL5728-IDK 开发板硬件说明书 Revision History Draft Date Revision No. Description 2018/04/10 V1.1 1. 开发板版本更新为 A3 版 2017/06/20 V1.0 1. 初始版本 公司官网 :www.tronlong.com 销售邮箱 :sales@tronlong.com 公司总机 :020-8998-6280 1/33

More information

网上对外发布资料适用版本

网上对外发布资料适用版本 HDLC-LCM 嵌 入 式 低 功 耗 通 信 模 块 Rev.2016.0602 用 户 手 册 电 话 :400-025-5057 网 址 :www.yacer.cn 目 录 1 概 述... 1 1.1 简 介... 1 1.2 特 点... 1 1.3 应 用... 1 1.4 订 购 信 息... 1 1.5 技 术 规 格... 2 1.6 机 械 尺 寸 图... 3 2 硬 件 结

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCAB5D1E9CAD2B7BDB0B82E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCAB5D1E9CAD2B7BDB0B82E646F63> 目 录 一 嵌 入 式 系 统 的 应 用 及 前 景... - 1 - 二 目 前 嵌 入 式 系 统 教 学 现 状 和 实 验 体 系 的 建 设... - 1 - 三 嵌 入 式 教 学 在 本 科 生 中 的 教 学 难 点... - 1 - 四 教 学 难 点 解 决 方 法... - 2-1 选 择 S+core7 核 学 习... - 2-2 选 择 ecos 操 作 系 统 进 行

More information

untitled

untitled XILINX Platform Cbale USB www.hseda.com ...... Platform Cable USB Compatible.................. impact.........1 platform Cable USB Compatible.........1...1...1...1...1...1 Platform Cable USB Compatible

More information

中文手册

中文手册 PCC-3428 PC/104 1. PCC-3428 1.1 PCC-3428 90mm 96mm ST CPU STPC Atlas Atlas CPU 486 DX/DX2 CPU DX2 133MHz Atlas 2D LCD/CRT 100MHz SDRAM 64MBytes PCC-3428 10/100Mbps DOC EIDE USB PC/104 ST STPC Atlas STPC

More information

杭州言曼科技有限公司 CB01 子卡说明文档 ( 对外发布 ) CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别 CB01 标配版本和低成本区别 CB01 结构信息

杭州言曼科技有限公司 CB01 子卡说明文档 ( 对外发布 )   CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别 CB01 标配版本和低成本区别 CB01 结构信息 CB01 子卡说明文档 ( 对外发布 ) 版本 (1.0) 目录 1. CB01 单机版和系统版区别... 2 2. CB01 标配版本和低成本区别... 2 3. CB01 结构信息... 3 4. CB01 功耗信息... 3 5. LED 显示... 3 6. 按键... 4 7. 拨码开关... 4 8. USB 串口 - 型号 :CP2102... 4 9. 输入时钟... 4 10. I2C

More information

大16开产品画册排版.cdr

大16开产品画册排版.cdr 北京圣莱特商贸有限公司 中国 北京 新型产品 XYZ 20A 颜色 黑色 尺寸 210*180*130mm 功能参数 1 使用高转换效率单晶硅片 太阳能转换效率高达16%以上 2 太阳能电池板规格 10W 3 充电器内置高容量可充电电池 20AH 4 输出电压 220V 5 用交流适配器给充电器内置电池充电时间 5小时 (6) 太阳能给充电器内置电池充电时间 20小时

More information

SPMC75F2413A_EVM_使用说明_V1.2.doc

SPMC75F2413A_EVM_使用说明_V1.2.doc SPMCFA EVM V. - Jan 0, 00 http://www.sunplusmcu.com ................ SPMCFA........... EEPROM.... I/O............ LED.... LED.... RS-........0............ EVM................ 0.....0..... SPMCFA EVM SPMCFA

More information

Quidway® S8500系列万兆核心路由交换机

Quidway®  S8500系列万兆核心路由交换机 Quidway NetEngine 20/20E 系列路由器订购信息 1 NetEngine 20-8/4/2 订购信息表 主机系统 RT-NE20-8-CHASSIS 5U 高度路由器机箱 必配 RT-NE20-4-CHASSIS 3U 高度路由器机箱 (NE20-4) 必配 RT-NE20-2-CHASSIS 3U 高度路由器机箱 (NE20-2) 必配 RT-NE20-RPU-512M 路由处理单元

More information

untitled

untitled XZL024 http://item.taobao.com/item.htm?id=6321822194 1 1 1.1 1.2 1.3 1.4 2 2.1 2.2 2.3 3 USBee Suite 3.1 3.2 3.3 3.4 4 RS232 RS485 RS422 CAN http://item.taobao.com/item.htm?id=6321822194 2 1 XZL024 PC

More information

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键 官 方 淘 宝 地 址 :http://metech.taobao.com/ MeTech verilog 典 型 例 程 讲 解 V1.0 笔 者 :MeTech 小 芯 技 术 支 持 QQ : 417765928 1026690567 技 术 支 持 QQ 群 :207186911 China AET 讨 论 组 http://group.chinaaet.com/293 笔 者 博 客 :http://blog.csdn.net/ywhfdl

More information

灵星LED视频屏控制板

灵星LED视频屏控制板 目 录 第 一 章 概 述...1 1.1 功 能 特 点... 1 1.2 发 行 包 清 单... 2 第 二 章 系 统 安 装 与 设 置...3 2.1 主 要 技 术 参 数... 3 2.2 端 口 定 义... 4 2.2.1 数 据 采 集 卡 数 据 输 入 口 (DVI 接 口 ) 4 2.2.2 附 加 功 能 口... 5 2.2.3 外 接 电 源 口... 5 2.2.4

More information

Preface

Preface ...5...5...5...5...6...6...6 DV...7 DV...8...8...8...9...9...10...10 DV...11 DV...11...12 /SD/SDHC...12...13...14...14 DV...15 1 ...15...16...16...16 LCD...17 LCD...17...17...19...21...23...23...23...24...24...26...26...26...27...27

More information

104 年 度 第 一 次 會 議 ( )

104 年 度 第 一 次 會 議 ( ) 專 責 小 組 會 議 紀 錄 104 年 03 月 24 日 會 議 紀 錄 104 年 09 月 10 日 會 議 紀 錄 104 年 11 月 24 日 會 議 紀 錄 104 年 12 月 15 日 會 議 紀 錄 104 年 度 第 一 次 會 議 (104.03.24) 遠 東 科 技 大 學 104 年 度 教 育 部 獎 勵 補 助 款 專 責 小 組 第 1 次 會 議 紀 錄 時

More information

BA 2002, 3 IPCS WWW.EASTCATO.COM - - - - - -1-3 -15 - - - - - - WWW.EASTCATO.COM BA 1 2 3 4 20% DC12V A-1 WWW.EASTCATO.COM ok A-2 WWW.EASTCATO.COM RS232 RS485 EC-NET : B-1 WWW.EASTCATO.COM EC-NET 14 I/O

More information

微雪电子 Open407V-D 实验手册 Open407V-D 实验手册 目录 准备工作... 2 ADC+DMA... 2 CAN1 TO CAN2-Normal... 3 DCMI_OV DCMI_OV I2C... 6 L

微雪电子   Open407V-D 实验手册 Open407V-D 实验手册 目录 准备工作... 2 ADC+DMA... 2 CAN1 TO CAN2-Normal... 3 DCMI_OV DCMI_OV I2C... 6 L Open407V-D 实验手册 目录 准备工作... 2 ADC+DMA... 2 CAN1 TO CAN2-Normal... 3 DCMI_OV7670... 4 DCMI_OV9655... 5 I2C... 6 LCD-HY32D_FSMC... 7 Nand Flash_PCB0... 8 Nand Flash_SCB0... 9 SD_FatFS... 11 SDIO... 12 SPI...

More information

STEP-MXO2 V2硬件手册

STEP-MXO2 V2硬件手册 小脚丫 STEP FPGA STEP 2016/8/28 目录 1. 概述... 2 2.STEP-MXO2 V2 硬件简介 :... 3 2.1 STEP-MXO2 V2 开发板... 3 2.2 FT232 编程器... 3 2.3 FPGA... 3 2.4 供电... 4 2.5 时钟... 4 2.6 七段数码管... 4 2.7 三色 LED... 4 2.8 拨码开关... 4 2.9

More information

Tel: , Fax: STR-15 STR bps 2400bps 4800bps 9600bps STR : 500mW 2.ISM 433

Tel: , Fax: STR-15 STR bps 2400bps 4800bps 9600bps STR : 500mW 2.ISM 433 Tel:086-21-50807785, 50273226 Fax:086-21-50807785-807 http://www.sendbow.com TEL +86-021-50273226 50807785 13816690692 FAX:+86-021-50807785-807 167 E-mail:mailto:technology@sendbow.com web:http://www.sendbow.com

More information

V. PID PID...0

V. PID PID...0 F&B 00% V. PID................0............5....9 PID...0 .... IO900 99.98%.. Pt00Pt00.0Cu50Cu00Pt0 KEBTRN 0-0mA-0mA0-5V-5V mv 0-5V 0-50Ω.. LP TP - - P P.0 P.0 P.0 P.0 P.05 P.0 P.00 t.0 t.0 t.0 t.0 t.05

More information

深圳市国际招标有限公司

深圳市国际招标有限公司 采 购 文 件 采 购 项 目 编 号 :SSZ-2015G008 采 购 项 目 名 称 : 松 岗 中 心 小 学 扩 建 教 学 设 备 设 施 采 购 及 安 装 项 目 采 购 方 式 : 公 开 招 标 采 购 人 ( 章 ): 佛 山 市 南 海 区 狮 山 镇 教 育 局 采 购 代 理 机 构 ( 章 ): 深 圳 市 国 际 招 标 有 限 公 司 日 期 : 年 月 日 目 录

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

untitled

untitled 2009 1 30 3 850 46 2 200861 5 2007 200862 12 2008194 14 2008195 20 2008196 21 2008197 22 2008116 25 2008269 28 1 2008 12 31 25 50% 1987 9 28 2 30 25 20 15 3 30 25 20 15 4 200861 2008 12 17 5 2009 2012

More information

【工程类】

【工程类】 茂 名 市 地 方 税 务 局 会 议 室 功 能 升 级 项 目 采 购 项 目 编 号 :GZGD-2015-008 公 开 招 标 文 件 广 州 广 大 工 程 项 目 管 理 有 限 公 司 编 制 发 布 日 期 : 二 0 一 六 年 一 月 捌 日 目 录 第 一 部 分 投 标 邀 请 函 -----------------------------------------------------------------------------------------------------------

More information

微雪电子 Open103Z 实验手册 Open103Z 实验手册 目录 准备工作 BitIO-Pushbutton... 3 ADC+DMA... 4 CAN-LoopBack... 5 DAC... 6 DS18B GPIO_Key_L

微雪电子   Open103Z 实验手册 Open103Z 实验手册 目录 准备工作 BitIO-Pushbutton... 3 ADC+DMA... 4 CAN-LoopBack... 5 DAC... 6 DS18B GPIO_Key_L 目录 准备工作... 3 8BitIO-Pushbutton... 3 ADC+DMA... 4 CAN-LoopBack... 5 DAC... 6 DS18B20... 7 GPIO_Key_LED... 8 I2C... 9 LCD-HY32D_FSMC... 10 Nand Flash...11 NorFlash... 12 PS2... 13 RTC... 14 SD_FatFS... 15

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

stm32_mini_v2

stm32_mini_v2 US Mirco S SIO US Power:V Power:.V STMF0VET GPIO TFT SPI URT RJ ENJ0SS SPI Flash lock iagram Size ocument Number Rev STM-Lite-V.0 Ver.0 ate: Friday, June 0, 0 Sheet of 0.0uF R M V - + S J MP-0 V_PWR R

More information

A80 H8 english

A80 H8 english H8 development kit brief introduction H8 is based on Allwinner A80 SoC is released by Merrii, we can provide users with Android4.4.2 and inux3.4.39 to develop. OptimusBoard is a mini board based on A80,

More information

<4D6963726F736F667420576F7264202D2053444451323031362D3131365FC9BDB6ABBBAFB9A4BCBCCAA6D1A7D4BABDCCD1A7D7A8D3C3D2C7C6F75FD5D0B1EACEC4BCFEB6A8B8E5382E3131A3A8BDCCD3FDD3EBB9ABB9B2B7FECEF1CFB5A3A9>

<4D6963726F736F667420576F7264202D2053444451323031362D3131365FC9BDB6ABBBAFB9A4BCBCCAA6D1A7D4BABDCCD1A7D7A8D3C3D2C7C6F75FD5D0B1EACEC4BCFEB6A8B8E5382E3131A3A8BDCCD3FDD3EBB9ABB9B2B7FECEF1CFB5A3A9> 山 东 省 政 府 采 购 项 目 编 号 :SDDQ2016-116 项 目 名 称 : 山 东 化 工 技 师 学 院 教 学 专 用 仪 器 购 置 项 目 采 购 内 容 : 机 械 系 工 业 机 器 人 等 实 训 设 备 幼 儿 教 育 实 训 室 设 备 山 东 德 勤 招 标 评 估 造 价 咨 询 有 限 公 司 二 一 六 年 八 月 0 目 录 第 一 章 招 标 公 告 第

More information

投影片 1

投影片 1 OtO Photonics 描述 CB 系列光谱仪控制电路板是由 32 bit RISC core 16 bit ADC 和低电压电路组成之控制板 系列控制电路板可透过 USB2.0 连接 OTO 计算机软件 (SpectraSmart) C 同时内建可程控的 CCD & ADC 时序产生器, 可控制不同种类的 CCD 且可高速读取 ADC 数据 CB 系列光谱仪控制电路版提供用户多种电子接口来连接其他外部设施,

More information

标题

标题 广 西 壮 族 自 治 区 人 民 政 府 关 于 促 进 广 西 北 部 湾 经 济 区 开 放 开 发 的 若 干 政 策 规 定 总 则 第 一 条 为 深 入 贯 彻 落 实 党 中 央 国 务 院 关 于 加 快 广 西 北 部 湾 经 济 区 ( 以 下 简 称 经 济 区 ) 开 放 开 发 的 重 大 战 略 部 署, 按 照 把 经 济 区 建 设 成 为 带 动 西 部 大 开

More information

僑生(含港澳生)及外籍生參加全民健康保險實施要點

僑生(含港澳生)及外籍生參加全民健康保險實施要點 僑 生 ( 含 港 澳 生 ) 及 外 籍 生 參 加 全 民 健 康 保 險 實 施 要 點 中 央 健 康 保 險 局 八 十 九 年 一 月 十 八 日 核 定 施 行 壹 承 保 一 投 保 資 格 : ( 一 ) 持 有 居 留 證 明 文 件 之 僑 生 ( 含 港 澳 生 ) 及 外 籍 生 來 台 就 學 者, 自 居 留 滿 四 個 月 時 起, 即 應 依 法 強 制 參 加 全

More information

項 別 檢 核 項 目 檢 核 標 準 備 註 活 動 計 畫 執 行 情 形 效 益 評 估 計 畫 擬 定 非 常 滿 意 滿 意 尚 可 待 改 進 不 適 用 行 前 準 備 非 常 滿 意 滿 意 尚 可 待 改 進 不 適 用 內 容 設 計 非 常 滿 意 滿 意 尚 可 待 改 進

項 別 檢 核 項 目 檢 核 標 準 備 註 活 動 計 畫 執 行 情 形 效 益 評 估 計 畫 擬 定 非 常 滿 意 滿 意 尚 可 待 改 進 不 適 用 行 前 準 備 非 常 滿 意 滿 意 尚 可 待 改 進 不 適 用 內 容 設 計 非 常 滿 意 滿 意 尚 可 待 改 進 高 雄 市 立 前 鎮 國 中 103 學 年 度 活 動 成 果 報 告 活 動 ( 計 畫 ) 名 稱 :1-3-4-6 親 職 教 育 活 動 項 次 說 明 執 行 時 間 承 辦 組 別 地 點 執 行 方 式 103 年 1 月 ~103 年 12 月 輔 導 組 資 料 組 特 教 組 A 棟 三 樓 會 議 室 活 動 宣 導 競 賽 研 習 其 他 家 庭 訪 視 一 教 育 部

More information

目 录 Leica ICC50 HD 引 言 9 基 本 特 点 10 基 本 特 点 ( 续 ) 11 Leica ICC50 HD: 概 述 12 拆 箱 14 准 备 开 始! 使 用 之 前 的 准 备 工 作 16 开 始 设 置! 使 用 计 算 机 进 行 连 接 和 成 像 操 作

目 录 Leica ICC50 HD 引 言 9 基 本 特 点 10 基 本 特 点 ( 续 ) 11 Leica ICC50 HD: 概 述 12 拆 箱 14 准 备 开 始! 使 用 之 前 的 准 备 工 作 16 开 始 设 置! 使 用 计 算 机 进 行 连 接 和 成 像 操 作 Leica ICC50 HD 手 册 目 录 Leica ICC50 HD 引 言 9 基 本 特 点 10 基 本 特 点 ( 续 ) 11 Leica ICC50 HD: 概 述 12 拆 箱 14 准 备 开 始! 使 用 之 前 的 准 备 工 作 16 开 始 设 置! 使 用 计 算 机 进 行 连 接 和 成 像 操 作 19 PC 模 式 下 的 限 制 20 在 PC 模 式 下

More information

(按 Ctrl + F2 可編輯頁首資料)

(按 Ctrl + F2 可編輯頁首資料) SAWANG 大 華 科 技 大 學 秘 書 室 會 議 議 程 SC0-4-533-01-03, A00 名 稱 :103 學 年 度 第 4 次 專 責 小 組 會 議 時 間 :104 年 3 月 24 日 ( 星 期 二 ) 中 午 12 時 10 分 地 點 : 大 華 樓 5 樓 會 議 室 主 席 : 代 理 校 長 李 右 婷 紀 錄 : 秘 書 室 林 佩 姍 壹 主 席 報 告

More information

NORCO-740 CPU M/00M NORCO-740 NORCO-740E NORCO-740G NORCO-740GE Intel 845GL Intel 845G

NORCO-740 CPU M/00M NORCO-740 NORCO-740E NORCO-740G NORCO-740GE Intel 845GL Intel 845G 3. 4.2 4 2. 2.. 8 2..2 VGA 8 2..3 (J2,J3,J5) 9 2..4 9 2..5 USB 20 2..6 MS KB 20 2..7 (J) 20 2..8 2 2..9 2 2..0 22 2.. (IDE,2) 22 2..2 22 2..3 AC 97 23 2.2 2.2. FSB :JFS 24 2.2.2 Watchdog Timer :JWD 24

More information

bingdian001.com

bingdian001.com (AVA PROPRE 6HD) ... 5 1.1 1.2 1.3 1.4 1.5 1.6... 5... 6... 6... 9... 9... 10... 12 2.1 2.2 2.3 2.4 2.5 2.6 2.7 2.8 2.9... 12... 12... 13... 13... 14... 15... 16... 17... 18 2.10... 18 2.11... 19 2.12...

More information

目录 一 产品简介 产品概述 应用领域...4 二 接口描述...5 三 硬件规格...6 四 PCB 尺寸...7 五 接口定义 CON1 SIP-42PIN-2D CON2 SIP-42PIN-2D 六 附录 源

目录 一 产品简介 产品概述 应用领域...4 二 接口描述...5 三 硬件规格...6 四 PCB 尺寸...7 五 接口定义 CON1 SIP-42PIN-2D CON2 SIP-42PIN-2D 六 附录 源 Firefly-RK3128 产品规格书 作者 天启智能科技有限公司 版本 V1.0 日期 2018-05-15 版本更新日期更新内容 V1.0 2018-05-15 原始版本 - 1 - 目录 一 产品简介...4 1 产品概述...4 1.2 应用领域...4 二 接口描述...5 三 硬件规格...6 四 PCB 尺寸...7 五 接口定义...9 1 CON1 SIP-42PIN-2D54...9

More information

课外创新研学项目 构想、设计与实现

课外创新研学项目                   构想、设计与实现 实 验 教 学 改 革 与 学 科 竞 赛 相 互 促 进 东 南 大 学 电 工 电 子 实 验 中 心 胡 仁 杰 hurenjie@seu.edu.cn 主 要 内 容 全 国 大 学 生 电 子 设 计 竞 赛 发 展 概 况 竞 赛 设 计 概 要 创 新 实 验 教 学 实 践 环 境 建 设 全 国 大 学 生 电 子 设 计 竞 赛 宗 旨 结 合 教 学, 着 重 基 础 注 重

More information

84

84 83 84 EKI-1526 EKI-1528 EKI-1524 EKI-1522 EKI-1521 2 2 2 2 2 16 8 4 2 1 10/100 Mbps 10/100 Mbps 10/100 Mbps 10/100 Mbps 10/100 Mbps RS-232/422/485 RS-232/422/485 RS-232/422/485 RS-232/422/485 RS-232/422/485

More information

Microsoft Word - AQSI doc

Microsoft Word - AQSI doc 进 出 口 食 品 添 加 剂 检 验 检 疫 监 督 管 理 工 作 规 范 第 一 章 总 则 第 一 条 为 规 范 进 出 口 食 品 添 加 剂 检 验 监 管 工 作, 确 保 进 出 口 产 品 质 量 安 全, 保 护 公 众 人 身 健 康, 根 据 中 华 人 民 共 和 国 食 品 安 全 法 及 其 实 施 条 例 中 华 人 民 共 和 国 进 出 口 商 品 检 验 法

More information

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg 1 1 a. 0.5V 5V 1Hz 1MHz b. 0.1% 2 : a. 0.5V 5V 1Hz 1MHz b. 0.1% (3) a. 0.5V 5V 100 s b. 1% 4 1 10 5 1MHz 6 1 2 1 0.1Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63> 嵌 入 式 系 统 设 计 师 考 试 大 纲 一 考 试 说 明 1 考 试 要 求 : (1) 掌 握 科 学 基 础 知 识 ; (2) 掌 握 嵌 入 式 系 统 的 硬 件 软 件 知 识 ; (3) 掌 握 嵌 入 式 系 统 分 析 的 方 法 ; (4) 掌 握 嵌 入 式 系 统 设 计 与 开 发 的 方 法 及 步 骤 ; (5) 掌 握 嵌 入 式 系 统 实 施 的 方 法

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

供充放一体电源及充电电源手册_0_.doc

供充放一体电源及充电电源手册_0_.doc 2010. 05 供充放一体电源及充电电源 适用于铅酸电池 锂电池 镍氢电池 实现不间断供电 采用自动均 / 浮充 恒流 / 恒压 零电流 -ΔV 检测 / 涓充 / 滴充技术 提供各种保护 显示各种工作状态 目录 SCD 供充放一体电源 ( 锂电池系列 )-----------1 SCD 供充放一体电源 ( 铅酸电池系列 )----------3 C 充电电源 ( 镍氢电池系列 )-------------------------5

More information

目 录

目 录 1 Quick51...1 1.1 SmartSOPC Quick51...1 1.2 Quick51...1 1.3 Quick51...2 2 Keil C51 Quick51...4 2.1 Keil C51...4 2.2 Keil C51...4 2.3 1 Keil C51...4 2.4 Flash Magic...9 2.5 ISP...9 2.6...10 2.7 Keil C51...12

More information

目录 一 产品简介 产品概述 应用领域...4 二 接口描述...5 三 硬件规格...6 四 主板尺寸...7 五 引脚定义...8 六 系统软件 七 电气性能 八 附录 源码获取 联系我们

目录 一 产品简介 产品概述 应用领域...4 二 接口描述...5 三 硬件规格...6 四 主板尺寸...7 五 引脚定义...8 六 系统软件 七 电气性能 八 附录 源码获取 联系我们 ROC-RK3328-CC 产品规格书 作者 天启智能科技有限公司 版本 V1.0 日期 2018-04-02 版本更新日期更新内容 V1.0 2018-04-02 原始版本 - 1 - 目录 一 产品简介...4 1 产品概述...4 2 应用领域...4 二 接口描述...5 三 硬件规格...6 四 主板尺寸...7 五 引脚定义...8 六 系统软件... 10 七 电气性能... 10 八

More information

使用手冊

使用手冊 使 用 手 冊 彩 色 液 晶 顯 示 器 重 要 請 仔 細 閱 讀 使 用 手 冊, 掌 握 安 全 及 正 確 的 使 用 方 法 最 新 的 使 用 手 冊 可 從 我 們 的 網 站 下 載 http://www.eizo.com.tw 安 全 性 須 知 關 於 顯 示 器 的 事 項 目 錄 第 1 章 特 色 與 介 紹 1-1 特 色 1-2 控 制 和 功 能 1-3 色 彩 調

More information

ETA104 数据采集模块数据手册

ETA104 数据采集模块数据手册 Emtronix ETA104 数据采集模块数据手册 1. 概述 1.1 ETA104 介绍 ETA104 模拟数据采集模块 ( 下文简称 :ETA104 模块 ) 是基于英创公司 SBC840 工控应用底板 符合 DM5028 标准应用扩展模块 ETA104 模块上的模数转换功能, 采用 ADS7871 模拟信号转换芯片, 占用 ESMARC 工控主板的 SPI 总线进行通讯, 最高可实现 48K

More information

PCM-3386用户手册.doc

PCM-3386用户手册.doc PCM-3386 BBPC-4x86 10/100M PC/104 (Lanry technology Co. Ltd. Zhuhai) 38 1012836 (Address: Room 1012,Linhai Building,No. 38,west of Shihua Road,Zhuhai City,Guangdong Province,China) (post code)519015 (phone)0756-3366659

More information

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input 06 6 6 HR7PPSC HR7PPSD SOP SOP6 +input 06 6 +input 06 6 6 6 HR7PERB SSOP0 7+input 06 6 6 HR7PESC

More information

Copyright 2009 Hewlett-Packard Development Company, L.P. 本 文 档 中 包 含 的 信 息 如 有 更 改, 恕 不 另 行 通 知 Microsoft Windows 和 Windows Vista 是 Microsoft Corporat

Copyright 2009 Hewlett-Packard Development Company, L.P. 本 文 档 中 包 含 的 信 息 如 有 更 改, 恕 不 另 行 通 知 Microsoft Windows 和 Windows Vista 是 Microsoft Corporat 硬 件 参 考 指 南 HP Compaq 8100 Elite 可 转 换 小 型 立 式 商 用 PC 和 HP Z200 可 转 换 小 型 立 式 工 作 站 Copyright 2009 Hewlett-Packard Development Company, L.P. 本 文 档 中 包 含 的 信 息 如 有 更 改, 恕 不 另 行 通 知 Microsoft Windows 和 Windows

More information

ESP32-WROOM-32D ESP32-WROOM-32U URL Wi-Fi Wi-Fi Bluetooth SIG 208

ESP32-WROOM-32D ESP32-WROOM-32U     URL Wi-Fi Wi-Fi Bluetooth SIG 208 ESP32-WROOM-32D & ESP32-WROOM-32U.7 208 www.espressif.com ESP32-WROOM-32D ESP32-WROOM-32U www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 208 2

More information

EES288 Documentation

EES288 Documentation EES288 Documentation 发布 1.0 e-elements 2018 年 04 月 20 日 Contents 1 目录 : 1 1.1 EES288 用户手册............................................ 1 i ii CHAPTER 1 目录 : 1.1 EES288 用户手册 1.1.1 1 概述 EES-288 是基于 Xilinx

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

目 录 声 明... iii 安 全 信 息...iv 保 养 和 清 洁...v 1.1 欢 迎 使 用!... 1-1 1.2 物 品 清 单... 1-1 1.3 组 装 显 示 器 底 座... 1-2 1.4 连 接 线 缆... 1-2 1.5 显 示 器 简 介... 1-3 1.5.

目 录 声 明... iii 安 全 信 息...iv 保 养 和 清 洁...v 1.1 欢 迎 使 用!... 1-1 1.2 物 品 清 单... 1-1 1.3 组 装 显 示 器 底 座... 1-2 1.4 连 接 线 缆... 1-2 1.5 显 示 器 简 介... 1-3 1.5. VN248 系 列 液 晶 显 示 器 用 户 指 南 目 录 声 明... iii 安 全 信 息...iv 保 养 和 清 洁...v 1.1 欢 迎 使 用!... 1-1 1.2 物 品 清 单... 1-1 1.3 组 装 显 示 器 底 座... 1-2 1.4 连 接 线 缆... 1-2 1.5 显 示 器 简 介... 1-3 1.5.1 液 晶 显 示 器 前 部... 1-3

More information

GW EDA VHDL VHDL VHDL VHDL ADC009 0 FPGA PC GW EDA a GW EDA beda README.TXT c d 0 e J MZH +V GND -V D/A +V GND S JA J D D D D D D D D C K J J VGA VGA B EDA JB B J HC B RS- CON CON HC PS/ CPLD/FPGA J RS-

More information

untitled

untitled Suning Generic LCD TV Service Manual Suning Generic 4 4 46 TV PAL / NTSC. /. a IC b c. 6 9 4 480P 576i 70p 080i 080P W 4 TV (Analog PAL/NTSC-comb-Tuner) Component In (Y,Pb,Pr + L,R) AV In (CVBS -PAL/NTSC

More information

TD

TD *TD-000212-05* 20- 应用实例 4 本例显示的是使用两个亚低 音扬声器和多个顶箱的双声 道 立体声 设置 除了各声道都增加了一个顶 箱外 也可以增加更多的顶 箱 本例和例 3 的情况一 致 声道 2 或 右声道 声道 1 或 左声道 要接到更多的顶箱 将最后 一个顶箱的全幅线路输出接 头处的线缆接到下一个顶箱 的全幅线路输入接头 在不 降低信号质量的情况下 最

More information

:NT21A11 : +B 70V CPU 1-3V R821 D805 D805 D805 D805 ( :AT21228 : +14V -14V 9V 5 2V 1 1 Q009 C124 D009 Q805 D12730 Q805 ( NT21C41S 8370,, IC1PIN 7PIN,7

:NT21A11 : +B 70V CPU 1-3V R821 D805 D805 D805 D805 ( :AT21228 : +14V -14V 9V 5 2V 1 1 Q009 C124 D009 Q805 D12730 Q805 ( NT21C41S 8370,, IC1PIN 7PIN,7 2008 2 ( 24 TCL CRT 2 CRT 8 DLP 16 LCD-PDP 19 27 1 1 :NT21A11 : +B 70V CPU 1-3V R821 D805 D805 D805 D805 ( :AT21228 : +14V -14V 9V 5 2V 1 1 Q009 C124 D009 Q805 D12730 Q805 ( NT21C41S 8370,, IC1PIN 7PIN,7PIN

More information

F515_CS_Book.book

F515_CS_Book.book /USB , ( ) / L R 1 > > > 2, / 3 L 1 > > > 2 + - 3, 4 L 1 了解显示屏上显示的图标 Wap 信箱收到一条 Wap push 信息 ( ) GSM 手机已连接到 GSM 网络 指示条越多, 接收质量越好 2 ...........................4.............................. 4 Micro SD (

More information

工程师培训

工程师培训 .1 Quidway 1 .2.2.1 ATM 2 .2.2 ( LAN ) ( WAN ) ( CONSOLE ) 3 .3.3.1 LAN Ethernet Token Bus Token Ring...... Local Area Network LAN 1 2 3 LAN LAN IBM LAN 4 .3.2 10M 100M 1000M 10Mbps 100Mbps 1000Mbps IEEE

More information

深圳市海天雄电子有限公司 Shenzhen Haitianxiong Electronic Co., Ltd. CES-V210-CORE 产品手册 ARM 核心板 Rev. V1.0 Date:

深圳市海天雄电子有限公司 Shenzhen Haitianxiong Electronic Co., Ltd. CES-V210-CORE 产品手册 ARM 核心板 Rev. V1.0 Date: 深圳市海天雄电子有限公司 Shenzhen Haitianxiong Electronic Co., Ltd. CES-V210-CORE 产品手册 Rev. V1.0 Date:2017-06-02 www.nrisc.com 简介 CES-V210 核心板基于 Samsung 公司 ARM Cortex- A8 S5PV210 应用处理器, 支持高达 1GHz 的处理速度, 核心板上配置一枚智能电源管理芯片,

More information

頭 上 下 舌 齒 三 十 二 相 大 智 度 論 卷 4 ( 大 正 25,90a-91a) (22) 四 十 齒 相 (23) 齒 齊 相 (24) 牙 白 相 (26) 味 中 得 上 味 相 (27) 大 舌 相 八 十 種 好 大 般 若 經 卷 381 ( 大 正 6,968a9-969

頭 上 下 舌 齒 三 十 二 相 大 智 度 論 卷 4 ( 大 正 25,90a-91a) (22) 四 十 齒 相 (23) 齒 齊 相 (24) 牙 白 相 (26) 味 中 得 上 味 相 (27) 大 舌 相 八 十 種 好 大 般 若 經 卷 381 ( 大 正 6,968a9-969 十 住 毘 婆 沙 論 卷 9 念 佛 品 第 20 補 充 講 義 p.1 般 舟 三 昧 一 印 順 導 師 初 期 大 乘 佛 教 之 起 源 與 開 展 第 十 一 章,p.863-p.864: 十 住 毘 婆 沙 論 (20 品 ~25 品 ) 所 說 的 念 佛 三 昧, 是 依 般 舟 三 昧 經 的, 論 卷 12( 大 正 26,86a-b) 說 : 1. 新 發 意 菩 薩, 應

More information

TouchWin Human Machine Interface

TouchWin    Human Machine Interface Human Machine Interface TP 1 2 3 1 2 3 4 5 TP 1 PLC 113 TouchWin / 2 TouchWin PLC Programmable Logical Controller PLC CAD/CAM PLC I/O 3 TouchWin...3...4...6 1... 6 1-1... 7 1-2... 8 1-3... 10 1-4... 13

More information

VERTU Ti User Guide

VERTU Ti User Guide 用 户 指 南 1.0 版 本 目 录 目 录 简 介 5 您 的 VERTU Ti 手 机 5 帮 助 与 支 持 5 安 全 信 息 6 安 全 信 息 6 您 的 手 机 7 全 套 配 置 7 功 能 和 按 键 8 返 回 主 页 和 菜 单 键 9 天 线 位 置 9 耳 机 10 调 整 音 量 10 开 始 使 用 11 插 入 SIM 卡 11 手 机 充 电 12 开 启 或 关

More information

JX-300X DCS 3.1 SP202 SP201S SP211 SP243X SP233 SP244 SP221 SP251 SP251-1 SP251-2 800*600*2100 715*425*1000 RS-232/RS-485 5V24V 110W 24V 110W SP291 SB

JX-300X DCS 3.1 SP202 SP201S SP211 SP243X SP233 SP244 SP221 SP251 SP251-1 SP251-2 800*600*2100 715*425*1000 RS-232/RS-485 5V24V 110W 24V 110W SP291 SB JX-300X DCS I/O / SBUS 3-1 3-1 JX-300X DCS 3-1 JX-300X DCS 3.1 SP202 SP201S SP211 SP243X SP233 SP244 SP221 SP251 SP251-1 SP251-2 800*600*2100 715*425*1000 RS-232/RS-485 5V24V 110W 24V 110W SP291 SBUS 3-2

More information

<4D F736F F F696E74202D20C9E4C6B5D3EBCAFDC4A3BBECBACFC0E0B8DFCBD C9E8BCC62D E707074>

<4D F736F F F696E74202D20C9E4C6B5D3EBCAFDC4A3BBECBACFC0E0B8DFCBD C9E8BCC62D E707074> 射 频 与 数 模 混 合 类 高 速 PCB 设 计 课 题 内 容 理 清 功 能 方 框 图 网 表 导 入 PCB Layout 工 具 后 进 行 初 步 处 理 的 技 巧 射 频 PCB 布 局 与 数 模 混 合 类 PCB 布 局 无 线 终 端 PCB 常 用 HDI 工 艺 介 绍 信 号 完 整 性 (SI) 的 基 础 概 念 射 频 PCB 与 数 模 混 合 类 PCB

More information

罗姆的电源模块

罗姆的电源模块 可简单构成24V/15V/12V/5V电源 罗姆的电源模块 无需电路设计 罗姆半导体集团 省电 无需热设计 节省空间 IoT() AC/DC 1 / 1 1 18kWh/ 8kWh/ 1,440/ 5.5W 5.0W 12.5W 5.0W LDO DC/DC 0 20 40 60 80 10 (%) LOAD REGULATION(DC/DC Only) LDO 10 LDO 50 DC/DC BP5293-50

More information

國立中壢高商103學年度第1學期第1次校務會議【教務處】書面報告

國立中壢高商103學年度第1學期第1次校務會議【教務處】書面報告 國 立 中 壢 高 商 104 學 年 度 第 1 學 期 期 末 校 務 會 議 各 處 室 書 面 報 告 一 教 務 處 書 面 報 告 項 次 工 作 項 目 實 施 結 果 檢 討 與 改 進 壹 提 昇 教 學 品 質 1. 依 教 師 專 長 配 課 2. 敦 請 一 級 主 管 平 時 巡 堂 3. 定 期 檢 查 教 室 日 誌 4. 查 核 教 學 進 度 5. 辦 理 兩 次

More information

ESP32-WROOM URL Wi-Fi Wi-Fi Bluetooth SIG 2018

ESP32-WROOM URL Wi-Fi Wi-Fi Bluetooth SIG 2018 ESP32-WROOM-32 2.6 2018 www.espressif.com ESP32-WROOM-32 www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 2018 1 1 2 3 2.1 3 2.2 3 2.3 Strapping

More information

PCI Express

PCI Express PCI Express 1-Gigabit Wall Chip-to-Chip Line Card Interconnect PL2 PCI PL3 SFI-4/SPI-4 SFI-5/SPI-5 2.488-3.125 Gbps 16 ch 3GIO I/O Interconnect Rapid I/O (Parallel) HyperTransport Rapid I/O (Serial) 1.25,

More information

《手机维修原理及维修实例详解》目录

《手机维修原理及维修实例详解》目录 1 IC MP3 MP4 2 CPU MP3 MP4 13M 1 LCD 2 13MHz 3 CONTACT SERVICE T SIM MP3 MP4 2 4 FLASH ROM EEPROM RAM SIM CPU CPU RST CPU FLASH Flash CE CS Flash DE WE RST Flash Flash FLASH EEPROM Phone Locked CON-TACTSERVICE

More information

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi SPHE8202R Design Guide V2.0 JUN, 2007 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com SPHE8202R Design Guide Important Notice

More information

<4D F736F F D20F7E8F7EBD7F9C3D4C4E3BFAAB7A2B0E5D3B2BCFECAB9D3C3CAD6B2E156312E302E646F6378>

<4D F736F F D20F7E8F7EBD7F9C3D4C4E3BFAAB7A2B0E5D3B2BCFECAB9D3C3CAD6B2E156312E302E646F6378> 麒麟座迷你开发板硬件使用手册 V1.0 2016 年 7 月 18 日 1 版本记录 版本号 日期 作者 修改内容 备注 V1.0 2016 7 18 谢斌强 初始版本 2 目录 第一章 OneNET 麒麟座迷你开发板简介... 4 1.1 MCU 介绍... 4 1.2 开发板功能简介... 4 1.3 开发板配置... 6 第二章硬件资源... 7 2.1 Bom 表... 7 相关资料...

More information

<4D6963726F736F667420576F7264202D20B8EAB054B35DB3C6B1C4C1CAABD8C4B3AAED2D313031A67E3131A4EB31352EB8B9A7F3B7732E646F63>

<4D6963726F736F667420576F7264202D20B8EAB054B35DB3C6B1C4C1CAABD8C4B3AAED2D313031A67E3131A4EB31352EB8B9A7F3B7732E646F63> 一 個 人 電 腦 ( 中 信 局 ) LP5-100066 ( 契 約 起 始 日 期 :101/06/04, 終 止 日 期 :102/05/31) ( 3 年 之 到 府 人 工 及 零 件 保 固 服 務 ) 等 級 規 格 預 算 CPU RAM HDD 獨 立 顯 卡 其 他 建 議 型 號 備 註 行 政 入 門 個 人 電 腦 ( 含 19 吋 以 螢 幕 ) IntelCore i3-3.3ghz

More information

TITLE 标题 PAGE 页码 CONTENTS( 目录 )... 1 RECORD OF REVISIONS( 修改记录 )... 1 GENERAL DESCRIPTION( 整体概述 )... 2 FUNCTION LAYOUT( 产品外观图 )... 2 FEATURES( 产品特性 ).

TITLE 标题 PAGE 页码 CONTENTS( 目录 )... 1 RECORD OF REVISIONS( 修改记录 )... 1 GENERAL DESCRIPTION( 整体概述 )... 2 FUNCTION LAYOUT( 产品外观图 )... 2 FEATURES( 产品特性 ). TITLE 标题 PAGE 页码 CONTENTS( 目录 )... 1 RECORD OF REVISIONS( 修改记录 )... 1 GENERAL DESCRIPTION( 整体概述 )... 2 FUNCTION LAYOUT( 产品外观图 )... 2 FEATURES( 产品特性 )... 2 INTERFACE DEFINITION( 接口定义 )... 3 CONTROLLER DIMENSIONS(

More information

Xilinx Alliance Program Certified GJVZsIPb3 IPb3pg(lwE & by2eh;[d)y IP ROM

Xilinx Alliance Program Certified GJVZsIPb3 IPb3pg(lwE & by2eh;[d)y IP ROM Xilinx Alliance Program Certified IPb3pg(lwE & by2eh;[d)y IP ROM NVMe SSD FPGA!! NVMe-IP 32G bps Gen3 x 4Lane IP CPUNVMe PCIe SSD 4GB/sec, PCIe Gen3 2ch RAID CPU FAT32 PLDAPCIe Soft IP!! Linux Gen3 PCIe

More information

复件 Chinese usermanual android tv box

复件 Chinese usermanual android tv box Android TV Box User Manual 産 品 說 明 書 型 號 : TD-Q8 序 言 非 常 感 謝 您 選 擇 安 卓 多 媒 體 播 放 器, 爲 了 方 便 您 盡 快 熟 悉 使 用, 我 們 爲 您 配 備 了 用 戶 使 用 說 明 書, 在 使 用 前 請 認 真 閱 讀 並 妥 善 保 存, 以 備 參 考 聲 明 本 産 品 內 含 自 動 聯 網 功 能, 該

More information

<4D F736F F D20BAECC9ABECABB7E734B4FA D3C3BBA7CAD6B2E12E646F63>

<4D F736F F D20BAECC9ABECABB7E734B4FA D3C3BBA7CAD6B2E12E646F63> 红色飓风 4 代 -XILINX RC445 硬件用户手册 Rev.. 修订记录 版本 修订日期 修订内容.0 0 年 月 5 日 用户手册初始版本. 0 年 5 月 日 完善管脚分配 北京威视锐科技有限公司 V3 Technology, Ltd 目录 修订记录... 目录... 3 飓风系列开发平台... 4 产品概述... 8 核心模块... 8 相关配件... 9 应用领域... 9 典型客户...

More information

目录 一 产品简介 产品概述 应用领域...4 二 接口描述...5 三 硬件规格...6 四 PCB 尺寸...7 五 接口定义 CON1 SIP-42PIN-2D CON2 SIP-42PIN-2D J10 Front-Came

目录 一 产品简介 产品概述 应用领域...4 二 接口描述...5 三 硬件规格...6 四 PCB 尺寸...7 五 接口定义 CON1 SIP-42PIN-2D CON2 SIP-42PIN-2D J10 Front-Came Firefly-PX3-SE 产品规格书 作者 天启智能科技有限公司 版本 V1.0 日期 2018-01-15 版本更新日期更新内容 V1.0 2018-01-15 原始版本 - 1 - 目录 一 产品简介...4 1 产品概述...4 2 应用领域...4 二 接口描述...5 三 硬件规格...6 四 PCB 尺寸...7 五 接口定义...8 1 CON1 SIP-42PIN-2D54...8

More information

A20_banana_v12_141107

A20_banana_v12_141107 hrsday, gst, of heet ate: ev ocment mber ize itle anana ro.,, lace & close to I I I I I I I I M M M M Q Q Q Q Q Q Q Q Q Q Y Q Q Q Q Q Y Q Q Q Q Q Q Q Q M Q J Q Q Q Q Q Q M Q Q Y Q Q# Q Q# Q Q# Q J Q# QM

More information

ESP3-WROVER URL Wi-Fi Wi-Fi Bluetooth SIG 08

ESP3-WROVER     URL Wi-Fi Wi-Fi Bluetooth SIG 08 ESP3-WROVER.0 08 www.espressif.com ESP3-WROVER www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 08 3. 3. 4.3 Strapping 5 3 7 3. CPU 7 3. Flash SRAM

More information

附件四:

附件四: 新 办 企 业 纳 税 服 务 手 册 上 海 市 崇 明 县 国 家 税 务 局 上 海 市 地 方 税 务 局 崇 明 分 局 二 一 三 年 一 月 1 使 用 说 明 1 本 手 册 于 纳 税 人 申 请 新 办 税 务 登 记 时 由 税 务 机 关 发 放, 也 可 在 上 海 税 务 网 崇 明 税 务 局 子 网 站 进 行 下 载 和 参 阅 2 如 需 进 一 步 了 解 相

More information

Ulinx-spartan3-ST3-使用手冊.pub

Ulinx-spartan3-ST3-使用手冊.pub P1 為希科技 Sparatn3 XC3S200-PQ208 ST3 實驗板使用手冊 2006.Jan.15.V1.0 P2 目錄 使用手冊 Introduction.page 3 Chapter 1 : Power..page 5 Chapter 2 : Interface RS232..page 6 LED..page 8 PUSH_BUTTOM..page 9 DIP_SWITCH..page

More information

MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C

MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C MSO MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C 03 Keysight MSO MSO MSO DSO holdoff infinite-persistence / de-skew MSO 1 MSO MSO MSO MSO MCU DSP 1

More information