目 录 前言 处理器 FLASH RAM QSPI Flash 加密芯片 电源接口和拨码开关 JTAG 仿真器接口 LCD 触摸屏接口 LED 指示灯 按键.

Size: px
Start display at page:

Download "目 录 前言 处理器 FLASH RAM QSPI Flash 加密芯片 电源接口和拨码开关 JTAG 仿真器接口 LCD 触摸屏接口 LED 指示灯 按键."

Transcription

1 TL5728-IDK 开发板硬件说明书 Revision History Draft Date Revision No. Description 2018/04/10 V 开发板版本更新为 A3 版 2017/06/20 V 初始版本 公司官网 : 销售邮箱 公司总机 : /33

2 目 录 前言 处理器 FLASH RAM QSPI Flash 加密芯片 电源接口和拨码开关 JTAG 仿真器接口 LCD 触摸屏接口 LED 指示灯 按键 串口 拨码开关 Micro USB 接口 Micro SD 接口 拓展 IO 信号 底板 B2B 连接器 RTC 座 USB 接口 以太网接口 HDMI OUT 接口 CAN 总线接口 DAC 接口 SATA 接口 PCIe 接口 散热风扇接口 视频输入拓展口 (V-PORT) 更多帮助 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /33

3 前 言 TL5728-IDK 开发板是一款由广州创龙研发, 基于 TI AM5728 浮点双 DSP C66x + 双 ARM Cortex-A15 工业控制及高性能音视频处理器的开发板 核心板尺寸仅 86.5mm*60.5mm, 底板采用沉金无铅工艺的四层板设计, 专业的 PCB Layout 保证信号完整性的同时, 经过严格的质量控制, 满足工业环境应用 SOM-TL5728 核心板引出 CPU 全部资源信号引脚, 二次开发极其容易, 客户只需要专注上层应用, 大大降低了开发难度和时间成本, 让产品快速上市, 及时抢占市场先机 不仅提供丰富的 AM5728 入门教程和 Demo 程序, 还提供 DSP+ARM 多核通信开发教程, 全面的技术支持, 协助用户进行底板设计和调试以及 DSP+ARM 软件开发 1 处理器 基于 TI AM5728 浮点双 DSP C66x + 双 ARM Cortex-A15 工业控制及高性能音视频处理 器 拥有多种工业接口资源, 下图为 AM5728 CPU 资源框图 : 图 1 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /33

4 2 FLASH 核心板上采用工业级 emmc(4g/8gbyte), 硬件如下图 : 图 2 3 RAM RAM 采用工业级低功耗 DDR3L,RAM 存储大小为 :1G/2GByte(4*256MByte/4*512 MByte), 硬件如下图 : 图 3 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /33

5 另外 核心背面有一片 DDR3L 专门用于做 ECC 校验作用不计入内存大小 图 4 4 QSPI Flash 采用存取速度快速的 QSPI Flash 内存为 32MByte 5 加密芯片 公司官网 技术论坛 销售邮箱 sales@tronlong.com 技术邮箱 support@tronlong.com 公司总机 技术热线 /33

6 采用高安全性的 ATAES132, 为串行电子可擦写和可编程只读存储器 (EEPROM) 提供 了验证和机密的非易失性存储性能 图 5 6 电源接口和拨码开关 采用 12V@2A 直流电源供电,CON3 为电源接口,SW1 为电源拨码开关, 原理图如下 图所示 : 图 6 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /33

7 图 7 7 JTAG 仿真器接口 可以通过 JTAG 接口 (CON10) 烧写 Bootloader 和进行软件调试 CON10 接口包含了 完整 14pin JTAG 标准信号, 各引脚定义如下图 : 图 8 图 9 8 LCD 触摸屏接口 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /33

8 CON11 为 LCD 电阻触摸屏接口, 为 40pin 0.5mm 间距 LCD 接口, 使用 FFC 排线座 LCD 接口包含了常见 LCD 所用全部控制信号 ( 行场扫描 时钟和使能等 ), 接口定义如下 图所示 : 图 10 图 11 9 LED 指示灯 核心板上有 3 盏指示灯 LED0 LED1 LED2, 一盏是电源指示灯 (LED0), 另外两盏是用 户可编程指示灯 如图所示 : 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /33

9 图 12 开发板底板具有一盏供电指示灯, 对应的是 LED0;3 盏用户可编程指示灯 分别是 LED1 LED2 和 LED3, 原理图如下 : 图 13 图 14 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /33

10 10 按键 开发板总共有 1 个热复位按键 KEY0 1 个冷热复位按键 KEY1 3 个用户测试用按键 ( 包括 NMI), 分别是 KEY2 KEY3 KEY4 原理图如下 : 图 15 图 16 热复位原理图 图 17 冷复位原理图 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /33

11 图 18 非屏蔽中断按键原理图 图 19 用户按键原理图 图 20 用户按键原理图 11 串口 公司官网 : 销售邮箱 公司总机 : /33

12 开发板上共引出了 3 个串口,RS232 串口对应的是 CON5,RS485 串口对应的是 CON7, PRU RS485 串口对应的是 CON8 其中由 UART3 通过 MAX3232CUE 串口电平转换芯片转换为 RS232 串口, 使用 9 针 DB9 接口 RS485 和 PRU RS485 串口都是使用 3 位接线端子, 如下图所示 : 图 21 RS232 图 22 图 23 RS485 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /33

13 图 24 图 25 图 拨码开关 设有 5 位的拨码开关, 如下图方向放置, 开关向 ON 的方向拨动为 1, 反之为 0, 下 图图片中的档位为 01000, 详情如下图所示 : 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /33

14 图 27 图 28 图 Micro USB 接口 开发板引出了 1 个 Micro USB 接口 串口在开发板上的位置 引脚定义如下图所示 : 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /33

15 图 30 USB to UART3 图 31 USB to UART3 原理图 14 Micro SD 接口 CON9 是 Micro SD 卡接口, 主要用于外接大容量数据存储, 具体接口定义如下图所示 : 图 32 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /33

16 图 拓展 IO 信号 J8 引出了 eqep ecap PWM TIMER UART 拓展信号,J9 引出了 GPMC 拓展信号 其硬件图及引脚定义如下 : 图 34 J8 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /33

17 图 35 eqep ecap PWM TIMER UART 拓展信号 (J8) 图 36 J9 图 37 GPMC 拓展信号 (J9) 16 底板 B2B 连接器 开发板使用底板 + 核心板设计模式, 通过 2x 80pin 公座 B2B,2x 80pin 母座 B2B, 间 距 0.5mm, 合高 5.0mm;1x 80pin 高速连接器, 共 400pin, 其中底板 CON0C 和 CON0D 为 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /33

18 母座,CON0A 和 CON0B 为公座, 下图为底板各个 B2B 的实物图, 引脚定义详见光盘中的 底板原理图 : 图 RTC 通过外部扩展 RTC 时钟控制器, 接 1.5F 法拉电容 引脚定义如下图 : 图 39 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /33

19 图 USB 接口 CON12 是 USB 3.0 接口, 理论速度 5.0Gbps,CON13 和 CON14 是 USB 2.0 接口, 可以 接 USB 摄像头 USB 键盘 USB 鼠标 U 盘等常见的 USB 外设 对应引脚定义如下图 : 图 41 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /33

20 图 42 USB 3.0 接口原理图 图 43 图 44 USB 2.0 接口原理图 公司官网 : 销售邮箱 公司总机 : /33

21 19 以太网接口开发板配备了 2 个 RGMII 千兆以太网口 CON15 和 CON16, 采用了 KSZ9031RNXIA 网络芯片, 可自适应 10/100/1000M 网络, 与两个 PRU MII 复用 ;4 个 PRU MII 百兆以太网口 CON17 CON18 CON19 和 CON20, 可自适应 10/100M 网络, 支持 EtherCAT 等工业协议 都采用 RJ45 连接头内部已经包含了耦合线圈, 因此不必另接网络变压器, 使用普通的直连网线即可连接本开发板至路由器或者交换机, 若是 PC 和开发板直接相连需要使用交叉网线 网络接口的接口定义如下图 : 图 45 千兆网以太网口 图 46 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /33

22 图 47 图 48 百兆网以太网口 公司官网 : 销售邮箱 公司总机 : /33

23 图 49 图 50 公司官网 : 销售邮箱 公司总机 : /33

24 图 51 公司官网 : 销售邮箱 公司总机 : /33

25 图 HDMI OUT 接口 开发板配有高清晰度 HDMI 输出接口, 支持 1080p 高清视频, 引脚定义如下图 : 图 53 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /33

26 图 CAN 总线接口 本开发板搭载有 1 个 CAN 总线接口, 使用 3 位插拔式接线端子, 接口定义如下图 : 图 55 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /33

27 图 DAC 接口 本开发板搭载有 1 个 DAC 总线接口, 使用 8 位插拔式接线端子, 接口定义如下图 : 图 57 图 58 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /33

28 23 SATA 接口 开发板引出了一个 SATA 硬盘接口, 接口为 J1, 硬件及引脚如图 : 图 59 图 PCIe 接口 ( 插槽 ) 开发板引出了 PCIe 4x(Gen2) SLOT 接口,2 通道, 每通道最高通信速率 5GBaud, 其引 脚定义如下图 : 图 61 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /33

29 图 62 TL5728-IDK 开发板 PCIe 接口的支持模式如下表所示 : 表 1 PCIe 模式 典型对接设备 核心板 (SOM-TL5728-A2) RC( 同源时钟 ) 连接网卡 支持 RC( 非同源时钟 ) 连接 DSP FPGA 支持 EP( 同源时钟 ) 连接 PC 不支持 EP( 非同源时钟 ) 连接嵌入式 SOC 支持 25 散热风扇接口 散热风扇接口, 采用 3pin, 间距 2.54mm, 供电电压为 12V 公司官网 : 销售邮箱 :sales@tronlong.com 公司总机 : /33

30 图 63 图 视频输入拓展口 (V-PORT) 底板 CON22 口为视频输入拓展口, 以 48 pin 的欧式端子公座连接器引出了 CPU 视频输入通道的 VIN4 和 SPI2 I2C4 可以配套广州创龙视频输入拓展模块使用, 如 :TVP5158 四路 D1 视频采集模块,GV7601 HD-SDI 视频采集模块等 图 65 公司官网 : 销售邮箱 公司总机 : /33

31 图 66 图 67 TVP5158 D1 视频采集模块 公司官网 : 销售邮箱 公司总机 : /33

32 图 68 GV7601 HD-SDI 视频采集模块 公司官网 : 销售邮箱 公司总机 : /33

33 更多帮助销售邮箱 技术邮箱 创龙总机 : 技术热线 : 创龙官网 : 技术论坛 : 线上商城 : 公司官网 : 销售邮箱 公司总机 : /33

Revision History Draft Date Revision No. Description 2018/2/8 V 更换开发板底板图片为 A2 版 2018/1/31 V 勘误 2017/11/23 V 初始版本 公司官网 :

Revision History Draft Date Revision No. Description 2018/2/8 V 更换开发板底板图片为 A2 版 2018/1/31 V 勘误 2017/11/23 V 初始版本 公司官网 : Revision History Draft Date Revision No. Description 2018/2/8 V1.2 1. 更换开发板底板图片为 A2 版 2018/1/31 V1.1 1. 勘误 2017/11/23 V1.0 1. 初始版本 公司官网 :www.tronlong.com 销售邮箱 :sales@tronlong.com 公司总机 :020-8998-6280 2/15

More information

SOM-TL18核心板规格书

SOM-TL18核心板规格书 Revision History Draft Date Revision No. Description 2017/12/25 V1.3 1. 规格书文档内容勘误 2. 修改电气特性参数 2017/03/24 V1.2 1. 添加产品认证和开发例程 2016/12/16 V1.1 1. 修改简介和应用领域 2016/08/16 V1.0 1. 初始版本 公司官网 :www.tronlong.com

More information

TMS320C665x 开发板恢复出厂设置 Revision History DraftDate Revision No. Description 2018/03/30 V 勘误及排版修改 2017/05/24 V 将 HUA 固化到 NOR FLASH, 功能测试程序固化到

TMS320C665x 开发板恢复出厂设置 Revision History DraftDate Revision No. Description 2018/03/30 V 勘误及排版修改 2017/05/24 V 将 HUA 固化到 NOR FLASH, 功能测试程序固化到 TMS320C665x 开发板恢复出厂设置 Revision History DraftDate Revision No. Description 2018/03/30 V1.4 1. 勘误及排版修改 2017/05/24 V1.3 1. 将 HUA 固化到 NOR FLASH, 功能测试程序固化到 NAND F LASH 里面 2016/10/13 V1.2 1. 添加通过仿真器烧写程序恢复出厂默认状态

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63> 嵌 入 式 系 统 设 计 师 考 试 大 纲 一 考 试 说 明 1 考 试 要 求 : (1) 掌 握 科 学 基 础 知 识 ; (2) 掌 握 嵌 入 式 系 统 的 硬 件 软 件 知 识 ; (3) 掌 握 嵌 入 式 系 统 分 析 的 方 法 ; (4) 掌 握 嵌 入 式 系 统 设 计 与 开 发 的 方 法 及 步 骤 ; (5) 掌 握 嵌 入 式 系 统 实 施 的 方 法

More information

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD

HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD Leica MC170 HD Leica MC170 HD Leica MC190 HD 5 6 7 8 11 12 13 14 16 HD ( ) 18 HD ( ) 18 PC 19 PC 19 PC 20 Leica MC170 HD Leica MC190 HD 22 Leica MC170 HD Leica MC190 HD 22 23 24 26 Leica MC170 HD Leica MC190 HD ( ) 28

More information

HMI COM1 RS SIEMENSE S7-200 RS485

HMI COM1 RS SIEMENSE S7-200 RS485 目录 第一部分维控人机界面串口引脚定义...2 1 LEVI777T COM1 引脚定义原理图...2 2 LEVI777T COM2 引脚定义原理图...2 3 LEVI908T COM1 引脚定义原理图...2 4 LEVI908T COM2/COM3 引脚定义原理图...3 第二部分通信针头...4 1 通信针头...4 第三部分各 PLC 与 LEVI 通信线接法...5 1 西门子 S7-200

More information

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7

SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input HR7PPSC HR7PPSD SOP SOP6 +input input HR7PERB SSOP0 7 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P OTPMCU A/D I/O OTP ROM RAM HR7P HR7P HR7PPMB MSOP0 7+input 06 6 6 HR7PPSC HR7PPSD SOP SOP6 +input 06 6 +input 06 6 6 6 HR7PERB SSOP0 7+input 06 6 6 HR7PESC

More information

课外创新研学项目 构想、设计与实现

课外创新研学项目                   构想、设计与实现 实 验 教 学 改 革 与 学 科 竞 赛 相 互 促 进 东 南 大 学 电 工 电 子 实 验 中 心 胡 仁 杰 hurenjie@seu.edu.cn 主 要 内 容 全 国 大 学 生 电 子 设 计 竞 赛 发 展 概 况 竞 赛 设 计 概 要 创 新 实 验 教 学 实 践 环 境 建 设 全 国 大 学 生 电 子 设 计 竞 赛 宗 旨 结 合 教 学, 着 重 基 础 注 重

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCAB5D1E9CAD2B7BDB0B82E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCAB5D1E9CAD2B7BDB0B82E646F63> 目 录 一 嵌 入 式 系 统 的 应 用 及 前 景... - 1 - 二 目 前 嵌 入 式 系 统 教 学 现 状 和 实 验 体 系 的 建 设... - 1 - 三 嵌 入 式 教 学 在 本 科 生 中 的 教 学 难 点... - 1 - 四 教 学 难 点 解 决 方 法... - 2-1 选 择 S+core7 核 学 习... - 2-2 选 择 ecos 操 作 系 统 进 行

More information

8S E600Y 0773-CE

8S E600Y 0773-CE 控制面板及侧 背面端子 液晶电视快速操作引导 液晶电视快速操作引导 控制面 板 按键介 绍 控制面板按键及侧面端子介绍 感光头 红外接收器 指示灯 电源 开 关 按键 频 道- 频 道+ 音 量- 音 量+ 频道选择键 音量控制键 菜单 确定 返 回/主 页 确定键 返回/主页键 菜单键 背面端 子 介绍 USB1 光纤音频 输出 USB2 USB3 SD卡 SD卡槽 CA卡 V 注:当您使用非本机配送的重低音音箱连接本机

More information

视频处理开发板 用户手册

视频处理开发板     用户手册 视频处理开发板 用户手册 ALINX822 芯驿电子科技 ( 上海 ) 有限公司 黑金动力社区 目录 功能简介... 3 功能实现... 5 FPGA 核心板... 7 ( 一 ) 简介... 7 ( 二 ) DDR2 引脚分配... 9 ( 三 ) FPGA 供电电源... 10 ( 四 ) 扩展口... 12 ( 五 ) 电源接口... 15 ( 六 ) JTAG 接口... 15 ( 七 )

More information

专业主干课程与主要专业课程教学大纲(2009年、2011年).doc

专业主干课程与主要专业课程教学大纲(2009年、2011年).doc ... 1... 4... 9... 12... 16... 20... 23... 26... 30... 33... 36 Electric Circuits 00440021 64 0 0 4 1 2 Y- 3 4 ZYT H 5 Analog Electronic Technique 00440041 54 14 0 3.5 1. 2. 1. 2. 3. RC 4. 5. 1. 20 2.

More information

Quidway® S8500系列万兆核心路由交换机

Quidway®  S8500系列万兆核心路由交换机 Quidway NetEngine 20/20E 系列路由器订购信息 1 NetEngine 20-8/4/2 订购信息表 主机系统 RT-NE20-8-CHASSIS 5U 高度路由器机箱 必配 RT-NE20-4-CHASSIS 3U 高度路由器机箱 (NE20-4) 必配 RT-NE20-2-CHASSIS 3U 高度路由器机箱 (NE20-2) 必配 RT-NE20-RPU-512M 路由处理单元

More information

重 要 安 全 说 明 1. 2. 3. 4. 5. 6. 7. 8. ii 使 用 产 品 前 请 仔 细 阅 读 这 些 说 明, 并 保 存 起 来 以 备 今 后 参 考 遵 循 本 产 品 上 表 示 的 所 有 警 告 和 指 示 清 洁 之 前 先 从 墙 上 插 座 拔 下 本 产

重 要 安 全 说 明 1. 2. 3. 4. 5. 6. 7. 8. ii 使 用 产 品 前 请 仔 细 阅 读 这 些 说 明, 并 保 存 起 来 以 备 今 后 参 考 遵 循 本 产 品 上 表 示 的 所 有 警 告 和 指 示 清 洁 之 前 先 从 墙 上 插 座 拔 下 本 产 ,, LifeBook Microsoft Windows MS-DOS Windows NT Windows XP Windows Vista Windows 7 Windows 8 Windows 8.1 Microsoft Corporation Phoenix Phoenix Technologies Corporation Fujitsu Limited 2015,,, (1) (2),

More information

说明书库

说明书库 简 介 非 常 感 谢 您 选 择 使 用 智 能 手 机! 本 手 机 是 一 部 单 C 网 并 具 有 商 务 协 同 功 能 的 智 能 手 机! 该 话 机 除 支 持 基 本 的 语 音 通 话 短 信 等 功 能 之 外, 还 有 如 下 特 色 功 能 : 无 线 上 网, 酣 畅 高 速 通 过 CDMA 1X, 网 上 冲 浪, 无 所 不 通 商 务 协 同, 功 能 强 大

More information

目 录

目 录 1 Quick51...1 1.1 SmartSOPC Quick51...1 1.2 Quick51...1 1.3 Quick51...2 2 Keil C51 Quick51...4 2.1 Keil C51...4 2.2 Keil C51...4 2.3 1 Keil C51...4 2.4 Flash Magic...9 2.5 ISP...9 2.6...10 2.7 Keil C51...12

More information

STEP-MXO2 V2硬件手册

STEP-MXO2 V2硬件手册 小脚丫 STEP FPGA STEP 2016/8/28 目录 1. 概述... 2 2.STEP-MXO2 V2 硬件简介 :... 3 2.1 STEP-MXO2 V2 开发板... 3 2.2 FT232 编程器... 3 2.3 FPGA... 3 2.4 供电... 4 2.5 时钟... 4 2.6 七段数码管... 4 2.7 三色 LED... 4 2.8 拨码开关... 4 2.9

More information

PROTEUS VSM

PROTEUS  VSM Proteus VSM-- 1/1 PROTEUS VSM Proteus VSM ISIS Prospice VSM Proteus PROSPICE ARM7 PIC AVR HC11 8051 CPU LCD RS232 LED IAR Keil Hitech C make 6000 SPICE SPICE DLL SPICE3F5 14 FM PROTEUS PCB LED/LCD / 300

More information

<4D6963726F736F667420576F7264202D20D4D3D6BECEC4B8E5C4A3B0E52E646F63>

<4D6963726F736F667420576F7264202D20D4D3D6BECEC4B8E5C4A3B0E52E646F63> 1/8 文 / 杜 洋 自 从 我 的 爱 上 单 片 机 一 书 问 世, 爱 上 一 词 就 在 电 子 制 作 的 圈 子 里 小 火 了 一 把 随 后 又 出 现 了 爱 上 制 作 爱 上 Arduino, 还 有 一 大 堆 类 似 名 字 的 出 版 物 虽 然 每 一 本 的 内 容 都 很 精 彩, 但 总 这 么 爱 来 爱 去 的, 是 不 是 有 点 审 美 疲 劳 呢?

More information

AMD Geode SC1201项目开发服务协议

AMD Geode SC1201项目开发服务协议 TOP90B 主板 (PCB Rev:1.00) Manual Version 1.00 2017.03.11 第 1 页共 13 页 1 简介 TOP90B 是我公司标准 Mini-ITX 工业主板, 采用 Intel 第 5 代移动 Broadwell-U 单芯片 CPU 主要特性如下 1.1 主要特性 1.1.1 CPU 板载, 支持 Intel Mobile 5 th Broadwell-U/Y

More information

SOM-TL18核心板规格书

SOM-TL18核心板规格书 Revision History Draft Date Revision No. Description 2016/02/04 V1.1 1. 排版修改 2015/04/22 V1.0 1. 初始版本 公司官网 :www.tronlong.com 销售邮箱 :sales@tronlong.com 公司总机 :020-8998-6280 2/28 目 录 1 开发板简介... 4 2 典型运用领域...

More information

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键 官 方 淘 宝 地 址 :http://metech.taobao.com/ MeTech verilog 典 型 例 程 讲 解 V1.0 笔 者 :MeTech 小 芯 技 术 支 持 QQ : 417765928 1026690567 技 术 支 持 QQ 群 :207186911 China AET 讨 论 组 http://group.chinaaet.com/293 笔 者 博 客 :http://blog.csdn.net/ywhfdl

More information

SOM-TL18核心板规格书

SOM-TL18核心板规格书 Revision History Draft Date Revision No. Description 2016/11/18 V1.0 1. 初始版本 公司官网 :www.tronlong.com 销售邮箱 :sales@tronlong.com 公司总机 :020-8998-6280 2/28 目 录 1 实验箱简介... 4 2 软硬件参数... 8 3 开发资料... 13 4 电气特性...

More information

微雪电子 Open103Z 实验手册 Open103Z 实验手册 目录 准备工作 BitIO-Pushbutton... 3 ADC+DMA... 4 CAN-LoopBack... 5 DAC... 6 DS18B GPIO_Key_L

微雪电子   Open103Z 实验手册 Open103Z 实验手册 目录 准备工作 BitIO-Pushbutton... 3 ADC+DMA... 4 CAN-LoopBack... 5 DAC... 6 DS18B GPIO_Key_L 目录 准备工作... 3 8BitIO-Pushbutton... 3 ADC+DMA... 4 CAN-LoopBack... 5 DAC... 6 DS18B20... 7 GPIO_Key_LED... 8 I2C... 9 LCD-HY32D_FSMC... 10 Nand Flash...11 NorFlash... 12 PS2... 13 RTC... 14 SD_FatFS... 15

More information

微雪电子 Open407V-D 实验手册 Open407V-D 实验手册 目录 准备工作... 2 ADC+DMA... 2 CAN1 TO CAN2-Normal... 3 DCMI_OV DCMI_OV I2C... 6 L

微雪电子   Open407V-D 实验手册 Open407V-D 实验手册 目录 准备工作... 2 ADC+DMA... 2 CAN1 TO CAN2-Normal... 3 DCMI_OV DCMI_OV I2C... 6 L Open407V-D 实验手册 目录 准备工作... 2 ADC+DMA... 2 CAN1 TO CAN2-Normal... 3 DCMI_OV7670... 4 DCMI_OV9655... 5 I2C... 6 LCD-HY32D_FSMC... 7 Nand Flash_PCB0... 8 Nand Flash_SCB0... 9 SD_FatFS... 11 SDIO... 12 SPI...

More information

Page 2

Page 2 第 15 章交换机 路由器配置技术 Page 2 Page 3 Page 4 Page 5 Page 6 Page 7 Page 8 Page 9 Page 10 Page 11 Page 12 Page 13 Page 14 Page 15 Page 16 Page 17 Page 18 Page 19 Page 20 Page 21 Page 22 Page 23 Page 24 Page 25

More information

1. 精 通 运 用 结 构 相 关 设 计 软 件, 如 Pro-E AutoCAD 等 ; 1. 参 不 机 器 人 项 目 评 估, 提 出 吅 理 的 产 品 结 构 设 计 方 案 ; 结 构 3 不 限 不 限 2. 3 年 以 上 工 业 产 品 结 构 设 计 工 作 经 验, 具

1. 精 通 运 用 结 构 相 关 设 计 软 件, 如 Pro-E AutoCAD 等 ; 1. 参 不 机 器 人 项 目 评 估, 提 出 吅 理 的 产 品 结 构 设 计 方 案 ; 结 构 3 不 限 不 限 2. 3 年 以 上 工 业 产 品 结 构 设 计 工 作 经 验, 具 子 公 司 名 称 新 兴 智 能 深 圳 万 腾 ( 深 圳 ) 职 位 人 数 机 械 运 控 调 试 员 哈 工 大 机 器 人 集 团 有 限 公 司 2016 届 校 园 招 聘 简 章 学 历 专 业 要 求 岗 位 职 责 自 动 化 机 械 制 造 专 业 机 械 及 自 动 化 专 业 1 精 通 CAD Pro/E 等 软 件, 熟 悉 从 组 立 图 到 零 件 图 的 设 计

More information

Microsoft Word - New_radio_platform_prof_article_CHN_12-2015.docx

Microsoft Word - New_radio_platform_prof_article_CHN_12-2015.docx (( 信 息 娱 乐 )) 基 于 SoC, 打 造 灵 活 的 无 线 电 平 台 所 有 功 能 集 于 一 身 新 功 能 灵 活 性 跟 随 车 辆 终 身 升 级 以 诱 人 的 价 格 获 得 全 部 服 务 这 些 都 是 现 今 汽 车 制 造 商 和 最 终 用 户 对 信 息 娱 乐 系 统 的 需 求 大 陆 汽 车 的 新 型 汽 车 无 线 电 平 台 将 借 助 其 先

More information

上海东软载波微电子有限公司 SMART

上海东软载波微电子有限公司 SMART 上海东软载波微电子有限公司 SMART www.essemi.com SMART 7P 0 HR7P/ES7P OTPMCU A/D I/O OTP ROM RAM ES7P7x ES7P7PSD SOP +input 0 ES7P7PSF SOP0 7+input 0 ES7P7PRF SSOP0 7+input 0 EEPROM MHz 0 ~.V ~.V MHz, KHz MHz 0 ~.V

More information

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi SPHE8202R Design Guide V2.0 JUN, 2007 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com SPHE8202R Design Guide Important Notice

More information

104 年 度 第 一 次 會 議 ( )

104 年 度 第 一 次 會 議 ( ) 專 責 小 組 會 議 紀 錄 104 年 03 月 24 日 會 議 紀 錄 104 年 09 月 10 日 會 議 紀 錄 104 年 11 月 24 日 會 議 紀 錄 104 年 12 月 15 日 會 議 紀 錄 104 年 度 第 一 次 會 議 (104.03.24) 遠 東 科 技 大 學 104 年 度 教 育 部 獎 勵 補 助 款 專 責 小 組 第 1 次 會 議 紀 錄 時

More information

<4D6963726F736F667420576F7264202D20B5E7D7D3D0C5CFA2C0E0D7A8D2B5C5E0D1F8B7BDB0B8D0DEB6C1D6B8C4CF2E646F63>

<4D6963726F736F667420576F7264202D20B5E7D7D3D0C5CFA2C0E0D7A8D2B5C5E0D1F8B7BDB0B8D0DEB6C1D6B8C4CF2E646F63> 福 州 大 学 校 训 博 学 远 志 明 德 至 诚 序 言 亲 爱 的 电 子 信 息 类 的 学 子 : 朝 气 蓬 勃 的 你 们 带 着 对 大 学 生 活 的 美 好 憧 憬 走 进 校 园, 开 始 谱 写 人 生 历 程 崭 新 辉 煌 的 一 页 你 们 将 在 这 风 景 如 画 的 福 州 大 学 新 校 区 里, 与 周 围 的 同 学 们 一 起 汲 取 知 识 培 养 能

More information

【工程类】

【工程类】 茂 名 市 地 方 税 务 局 会 议 室 功 能 升 级 项 目 采 购 项 目 编 号 :GZGD-2015-008 公 开 招 标 文 件 广 州 广 大 工 程 项 目 管 理 有 限 公 司 编 制 发 布 日 期 : 二 0 一 六 年 一 月 捌 日 目 录 第 一 部 分 投 标 邀 请 函 -----------------------------------------------------------------------------------------------------------

More information

stm32_mini_v2

stm32_mini_v2 US Mirco S SIO US Power:V Power:.V STMF0VET GPIO TFT SPI URT RJ ENJ0SS SPI Flash lock iagram Size ocument Number Rev STM-Lite-V.0 Ver.0 ate: Friday, June 0, 0 Sheet of 0.0uF R M V - + S J MP-0 V_PWR R

More information

中文手册

中文手册 PCC-3428 PC/104 1. PCC-3428 1.1 PCC-3428 90mm 96mm ST CPU STPC Atlas Atlas CPU 486 DX/DX2 CPU DX2 133MHz Atlas 2D LCD/CRT 100MHz SDRAM 64MBytes PCC-3428 10/100Mbps DOC EIDE USB PC/104 ST STPC Atlas STPC

More information

SOM-TL18核心板规格书

SOM-TL18核心板规格书 Revision History Draft Date Revision No. Description 2016/1/30 V1.1 1. 排版修改 2014/12/24 V1.0 1. 初始版本 公司官网 :www.tronlong.com 销售邮箱 :sales@tronlong.com 公司总机 :020-8998-6280 2/26 公司官网 :www.tronlong.com 销售邮箱

More information

untitled

untitled XILINX Platform Cbale USB www.hseda.com ...... Platform Cable USB Compatible.................. impact.........1 platform Cable USB Compatible.........1...1...1...1...1...1 Platform Cable USB Compatible

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

深圳市国际招标有限公司

深圳市国际招标有限公司 采 购 文 件 采 购 项 目 编 号 :SSZ-2015G008 采 购 项 目 名 称 : 松 岗 中 心 小 学 扩 建 教 学 设 备 设 施 采 购 及 安 装 项 目 采 购 方 式 : 公 开 招 标 采 购 人 ( 章 ): 佛 山 市 南 海 区 狮 山 镇 教 育 局 采 购 代 理 机 构 ( 章 ): 深 圳 市 国 际 招 标 有 限 公 司 日 期 : 年 月 日 目 录

More information

K 寸猫眼中文说明书

K 寸猫眼中文说明书 使 用 说 明 书 适 用 于 K-301 系 列 产 品 一 简 介 1 二 标 准 套 装 配 件 1 三 产 品 外 观 构 成 2 四 安 装 说 明 4 五 操 作 说 明 6 六 规 格 参 数 13 七 注 意 事 项 14 感 谢 您 购 买 智 能 防 盗 可 视 猫 眼 门 铃 系 列 产 品, 该 系 列 是 本 公 司 最 新 设 计 的 多 功 能 超 薄 悬 挂 式 智

More information

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010)

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010) ,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN 7-5635-1099-0...............TP36 CIP (2005)076733 : ( 10 ) :100876 : (010 )62282185 : (010)62283578 : publish@bupt.edu.cn : : : 787 mm960 mm 1/

More information

SPMC75F2413A_EVM_使用说明_V1.2.doc

SPMC75F2413A_EVM_使用说明_V1.2.doc SPMCFA EVM V. - Jan 0, 00 http://www.sunplusmcu.com ................ SPMCFA........... EEPROM.... I/O............ LED.... LED.... RS-........0............ EVM................ 0.....0..... SPMCFA EVM SPMCFA

More information

【每日更新03-07】转发赚奖金,2016年春季最新猎头岗:档案管理 位

【每日更新03-07】转发赚奖金,2016年春季最新猎头岗:档案管理 位 每 日 更 新 03-07 转 发 赚 奖 金,2016 年 春 季 最 新 猎 头 岗 : 档 案 位 郑 州 档 案 数 字 化 扫 描, 濮 阳 档 案 整 理, 洛 阳 档 案 加 工 扫 描, 档 案 软 件 http://www.20099.cn 每 日 更 新 03-07 转 发 赚 奖 金,2016 年 春 季 最 新 猎 头 岗 : 档 案 管 理 位 工 作 地 点 : 慈 溪

More information

目录 一 产品简介 产品概述 应用领域...4 二 接口描述...5 三 硬件规格...6 四 PCB 尺寸...7 五 接口定义 CON1 SIP-42PIN-2D CON2 SIP-42PIN-2D 六 附录 源

目录 一 产品简介 产品概述 应用领域...4 二 接口描述...5 三 硬件规格...6 四 PCB 尺寸...7 五 接口定义 CON1 SIP-42PIN-2D CON2 SIP-42PIN-2D 六 附录 源 Firefly-RK3128 产品规格书 作者 天启智能科技有限公司 版本 V1.0 日期 2018-05-15 版本更新日期更新内容 V1.0 2018-05-15 原始版本 - 1 - 目录 一 产品简介...4 1 产品概述...4 1.2 应用领域...4 二 接口描述...5 三 硬件规格...6 四 PCB 尺寸...7 五 接口定义...9 1 CON1 SIP-42PIN-2D54...9

More information

申威1621服务器用户使用手册

申威1621服务器用户使用手册 申威 1621 服务器 使用手册 2017 年 10 月 成都申威科技有限责任公司 成都市华府大道四段电子科大科技园 D22 栋 Building D22, National University Science and technology park, Section 4, Huafu Avenue, Chengdu 免责声明 本文档仅提供阶段性信息, 所含内容可根据产品的实际情况随时更新, 恕不另行通知

More information

ICD ICD ICD ICD ICD

ICD ICD ICD ICD ICD MPLAB ICD2 MPLAB ICD2 PIC MPLAB-IDE V6.0 ICD2 usb PC RS232 MPLAB IDE PC PC 2.0 5.5V LED EEDATA MPLAB ICD2 Microchip MPLAB-IDE v6.0 Windows 95/98 Windows NT Windows 2000 www.elc-mcu.com 1 ICD2...4 1.1 ICD2...4

More information

<353939B2FAC6B7B8F7B7D6D5BE76335F302E786C73>

<353939B2FAC6B7B8F7B7D6D5BE76335F302E786C73> 空 间 位 置 产 品 品 牌 蘑 菇 装 修 ( 西 安 ) 品 牌 : 型 号 : 芬 美 内 墙 超 白, 规 格 : 桶 /18L/25kg, 单 空 间 除 顶 面 外, 墙 玄 关 品 牌 : 东 鹏 瓷 砖 或 马 可 磁 砖, 材 质 : 抛 光 砖 或 玻 化 砖, 规 格 :800*800; 共 计 六 款 花 色 可 选 水 泥 砂 浆 找 平 厚 度 40mm, 超 出 部

More information

FPGA黑金开发平台 用户手册

FPGA黑金开发平台 用户手册 ZYNQ7000 开发平台 用户手册 AC7015 开发板 文档版本控制 文档版本 修改内容记录 REV1.0 创建文档 芯驿电子科技 ( 上海 ) 有限公司 2 / 23 目录 文档版本控制... 2 ( 一 ) 简介... 4 ( 二 ) ZYNQ 芯片... 5 ( 三 ) DDR3 DRAM... 8 ( 四 ) QSPI Flash... 11 ( 五 ) emmc Flash... 13

More information

网上对外发布资料适用版本

网上对外发布资料适用版本 HDLC-LCM 嵌 入 式 低 功 耗 通 信 模 块 Rev.2016.0602 用 户 手 册 电 话 :400-025-5057 网 址 :www.yacer.cn 目 录 1 概 述... 1 1.1 简 介... 1 1.2 特 点... 1 1.3 应 用... 1 1.4 订 购 信 息... 1 1.5 技 术 规 格... 2 1.6 机 械 尺 寸 图... 3 2 硬 件 结

More information

附件四:

附件四: 新 办 企 业 纳 税 服 务 手 册 上 海 市 崇 明 县 国 家 税 务 局 上 海 市 地 方 税 务 局 崇 明 分 局 二 一 三 年 一 月 1 使 用 说 明 1 本 手 册 于 纳 税 人 申 请 新 办 税 务 登 记 时 由 税 务 机 关 发 放, 也 可 在 上 海 税 务 网 崇 明 税 务 局 子 网 站 进 行 下 载 和 参 阅 2 如 需 进 一 步 了 解 相

More information

<4D6963726F736F667420576F7264202D20B8EAB054B35DB3C6B1C4C1CAABD8C4B3AAED2D313031A67E3131A4EB31352EB8B9A7F3B7732E646F63>

<4D6963726F736F667420576F7264202D20B8EAB054B35DB3C6B1C4C1CAABD8C4B3AAED2D313031A67E3131A4EB31352EB8B9A7F3B7732E646F63> 一 個 人 電 腦 ( 中 信 局 ) LP5-100066 ( 契 約 起 始 日 期 :101/06/04, 終 止 日 期 :102/05/31) ( 3 年 之 到 府 人 工 及 零 件 保 固 服 務 ) 等 級 規 格 預 算 CPU RAM HDD 獨 立 顯 卡 其 他 建 議 型 號 備 註 行 政 入 門 個 人 電 腦 ( 含 19 吋 以 螢 幕 ) IntelCore i3-3.3ghz

More information

展 望 与 述 评 2 广 电 设 备 与 技 术 2013.2

展 望 与 述 评 2 广 电 设 备 与 技 术 2013.2 展 望 与 述 评 2013.2 广 电 设 备 与 技 术 1 展 望 与 述 评 2 广 电 设 备 与 技 术 2013.2 展 望 与 述 评 2013.2 广 电 设 备 与 技 术 3 展 望 与 述 评 4 广 电 设 备 与 技 术 2013.2 展 望 与 述 评 2013.2 广 电 设 备 与 技 术 5 展 望 与 述 评 骆 萧 萧 卜 筱 皛 本 文 讨 论 了 在 非

More information

( ) - 2 -

( ) - 2 - ( ) 1 = 300VA 1.5 2 = 2A 1.5 3 3mA - 1 - ( ) - 2 - 1 2 3 4 5-3 - 1) ( ) 2) 3) 4) 5) 1) F F (1) F (2) F - 4 - 192 2) 9 9 9 193 3) 6 7 8 6 A 000F 4) 4 5 E 4 5 E 1) (LED ) 2) (LED ) 3) 4) 8 1) 2) - 5 - 3)

More information

bingdian001.com

bingdian001.com (AVA PROPRE 6HD) ... 5 1.1 1.2 1.3 1.4 1.5 1.6... 5... 6... 6... 9... 9... 10... 12 2.1 2.2 2.3 2.4 2.5 2.6 2.7 2.8 2.9... 12... 12... 13... 13... 14... 15... 16... 17... 18 2.10... 18 2.11... 19 2.12...

More information

Microsoft Word - 134招标文件.doc

Microsoft Word - 134招标文件.doc 威 海 市 政 府 采 购 招 标 文 件 采 购 编 号 :WHGP2016-134 采 购 项 目 : 网 站 建 设 采 购 方 式 : 公 开 招 标 山 东 省 鲁 成 招 标 有 限 公 司 2016 年 5 月 20 日 目 录 第 一 部 分 招 标 公 告 2 第 二 部 分 投 标 人 须 知 4 第 三 部 分 开 标 评 标 定 标 13 第 四 部 分 采 购 项 目 说

More information

軟體的安裝

軟體的安裝 DMECOM DAR 8000 / 1600A 錄 音 系 統 使 用 說 明 書 Version1.0.0 目 錄 1 產 品 簡 介... 1 2 產 品 外 觀 功 能... 1 3 包 裝 內 容... 2 4 系 統 要 求... 2 5 錄 音 系 統 的 登 入... 2 6 AM2000 使 用 說 明... 7 6.1 AM2000 外 觀 於 主 機 DAR 8000 連 接 圖...

More information

1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.4... 6 2.5... 7 2.6... 7 3 2005... 8 3.1... 8 3.2... 9 3.3... 9 3.4 ( RMB100,000)... 9 3.5... 9 4...11 4.1.

1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.4... 6 2.5... 7 2.6... 7 3 2005... 8 3.1... 8 3.2... 9 3.3... 9 3.4 ( RMB100,000)... 9 3.5... 9 4...11 4.1. http://www.unsp.com.cn 1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.4... 6 2.5... 7 2.6... 7 3 2005... 8 3.1... 8 3.2... 9 3.3... 9 3.4 ( RMB100,000)... 9 3.5... 9 4...11 4.1... 11 4.2... 12 5... 13 5.1...

More information

GW EDA VHDL VHDL VHDL VHDL ADC009 0 FPGA PC GW EDA a GW EDA beda README.TXT c d 0 e J MZH +V GND -V D/A +V GND S JA J D D D D D D D D C K J J VGA VGA B EDA JB B J HC B RS- CON CON HC PS/ CPLD/FPGA J RS-

More information

Microsoft Word - Yang Yong report supl

Microsoft Word - Yang Yong report supl 江 河 之 痛 横 断 山 水 电 建 设 与 南 水 北 调 西 线 调 水 的 隐 忧 杨 勇 / 文 图 2006 年 7 月 初, 西 北 六 省 区 召 开 南 水 北 调 西 线 工 程 座 谈 会, 会 上 通 报 了 西 线 调 水 一 期 工 程 进 展 情 况, 并 着 重 讨 论 工 程 受 水 区 规 划 与 会 省 区 反 映, 随 着 该 区 社 会 经 济 的 迅 速

More information

E68 E68C 中性说明书 A6版

E68 E68C 中性说明书 A6版 GPS 行 车 记 录 仪 一 体 机 详 细 功 能 描 述 广 州 超 前 计 算 机 科 技 有 限 公 司 ( 版 本 :V1.0 修 改 时 间 2011-11-16) 目 录 一 产 品 外 形... 1 二 功 能 与 操 作... 1 1 行 驶 记 录 仪 功 能... 1 2 显 示 屏 菜 单 功 能... 5 3 接 线 图... 7 4 屏 操 作 功 能 说 明...

More information

378高雄市都市計畫說明書

378高雄市都市計畫說明書 378 高 雄 市 都 市 計 畫 說 明 書 案 名 : 變 更 高 雄 市 楠 梓 區 高 楠 段 二 七 九 地 號 等 八 筆 農 業 區 土 地 為 批 發 市 場 用 地 擬 定 申 請 單 位 : 高 雄 市 政 府 計 畫 範 圍 : 如 圖 示 法 令 依 據 : 都 市 計 畫 法 第 二 十 七 條 第 一 項 第 四 款 一 背 景 說 明 : ( 一 ) 本 市 現 有 果

More information

Microsoft Word - Micetek公司PowerPC产品介绍(2008__update_.doc

Microsoft Word - Micetek公司PowerPC产品介绍(2008__update_.doc MICETEK 公司 PowerPC 产品介绍 (2008) 飞思卡尔半导体的 PowerPC 处理器是 RISC 嵌入式应用的理想基础平台 PowerPC 芯片凭借其出色的性能和高度整合和技术先进特性在网络通信应用, 工业控制应用, 家用数字化, 网络存储领域, 军工领域和电力系统控制等都具有非常广泛的应用 上海祥佑数码科技有限公司 (MICETEK) 推出 PowerPC 全系列开发板以及仿真器,

More information

1 7 7 15 16 23 26 35 39 40 45 47 49 55 61 61 61 63 69 81 81 83 87 91 91 93 95 97 2 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43

More information

APP APP APP APP APP web APP APP APP...

APP APP APP APP APP web APP APP APP... TP-LINK V2017.1.0 1... 6 1.1 APP... 6 1.2 APP... 7 1.3 APP... 10 1.4 APP... 11 1.5 APP... 13 1.6... 15 1.7... 15 1.8 web... 17 1.9 APP... 20 1.10 APP... 22 1.11 APP... 23 2... 24 2.1... 24 2.2 TP-LINK...

More information

Microsoft Word - 正文.doc

Microsoft Word - 正文.doc 1 2 1 2 3 4 5 6 7 8 9 10 3 1 150 2 150 1 1 1.1 1.1.1 1.2 1.2.1 1.2.2 1.2.3 1.3 1.3.1 1.3.2 1.4 1.4.1 CPU 1.4.2 I/O 1.4.3 I/O 1.5 1.5.1 CISC RISC 1.5.2 1.5.3 1.6 1.6.1 1.6.2 N 1.6.3 2 2.1 2.1.1 2.1.2 2.1.3

More information

网上对外发布资料适用版本

网上对外发布资料适用版本 1 高速同步通信... 2 1.1 SDLC-ETH 高速串口以太网转换器... 2 1.2 SDLC-PCIE 高速同步串口卡 --- PCI Express 总线接口卡... 5 1.3 SDLC-HCM 高速嵌入式通信模块... 7 2 HDLC 通信... 9 2.1 HDLC-ATC 空管数据通信服务器... 9 2.2 HDLC-ETH 串口以太网转换器 --- 双网口协议转换器...

More information

FPGA视频处理开发平台 用户手册

FPGA视频处理开发平台     用户手册 FPGA 视频处理开发平台用户手册 AV6045 REV 1.0 版 芯驿电子科技 ( 上海 ) 有限公司 黑金动力社区 目录 一 简介... 4 二 功能实现... 7 ( 一 ) 视频输入... 7 ( 二 ) 视频输出... 9 三 FPGA 核心板... 10 ( 一 ) 简介... 11 ( 二 ) DDR3 引脚分配... 错误! 未定义书签 ( 三 ) SPI Flash... 错误!

More information

行业周报

行业周报 2016 年 08 月 21 日 行 业 研 究 评 级 : 推 荐 ( 上 调 ) 研 究 所 证 券 分 析 师 : 王 凌 涛 S0350514080002 021-68591558 wanglt01@ghzq.com.cn 联 系 人 : 李 虒 S0350115070033 18901056681 lis03@ghzq.com.cn 联 系 人 : 凌 琳 S0350116080013 18201805368

More information

《將進酒》

《將進酒》 00 年 教 學 設 計 獎 勵 計 劃 將 進 酒 參 選 編 號 :C076 學 科 名 稱 : 適 合 程 度 : 高 一 簡 介 設 計 目 的 1. 此 教 案 之 設 計, 目 的 是 讓 學 生 清 楚 明 白 古 體 詩 與 近 體 詩 之 不 同 處, 並 且 以 多 媒 體 輔 助 教 學, 提 高 學 生 學 習 的 興 趣. 藉 課 件 之 運 用, 促 進 學 生 在 學

More information

河 南 蓝 皮 书 文 化 (2008) 网 络 文 学 主 要 是 指 在 网 上 原 创 的 文 学 作 品 作 为 网 络 文 化 的 主 力 部 分, 网 络 文 学 的 发 展 在 近 年 来 势 不 可 挡 作 为 凭 借 新 兴 媒 介 兴 起 的 文 学, 网 络 文 学 与 传 统

河 南 蓝 皮 书 文 化 (2008) 网 络 文 学 主 要 是 指 在 网 上 原 创 的 文 学 作 品 作 为 网 络 文 化 的 主 力 部 分, 网 络 文 学 的 发 展 在 近 年 来 势 不 可 挡 作 为 凭 借 新 兴 媒 介 兴 起 的 文 学, 网 络 文 学 与 传 统 网络文化的现状与存在问题 网络文化的现状与存在问题 郭海荣 互联网自 20 世纪 90 年代进入普通百姓的视野以来, 迅速得以普及 迅速发 展的网络改变了和正在改变着社会的方方面面, 并产生了全新的文化形式, 即网 络文化 网络文化是随着计算机联网而产生的一种新的文化现象, 它是信息时代 的特殊文化 作为人类社会最新的文化传播工具, 互联网体现了对自由的追求, 开启了新的自由空间, 为文化的平等发展开辟了空间

More information

《计算机应用基础》学习材料(讲义)

《计算机应用基础》学习材料(讲义) 计 算 机 应 用 基 础 学 习 材 料 ( 讲 义 ) Fundamentals of Computer Application 2014-3-22 JIANGSU OPEN UNIVERSITY 第 二 学 习 周 计 算 机 基 础 知 识 ( 一 ) 导 学 在 本 学 习 周, 我 们 主 要 的 任 务 是 认 识 计 算 机 你 将 知 道 计 算 机 是 什 么 时 候 产 生 的,

More information

GPS

GPS GVT800 用 户 手 册 版 本 管 理 表 版 本 修 改 人 更 改 内 容 日 期 备 注 V1.0 StevenLi 创 建 2014.06.23 初 始 版 本 GPS 多 功 能 车 载 定 位 跟 踪 器 用 户 手 册 型 号 :GVT800 1 目 录 1 产 品 概 述...2 2 安 全 须 知...3 3 规 格 参 数...3 4 开 始 使 用...4 4.1 标 准

More information

Microsoft Word - 新建 Microsoft Word 文档.doc

Microsoft Word - 新建 Microsoft Word 文档.doc 变 频 器 知 识 大 全 目 录 基 础 篇 变 频 器 的 基 础 知 识 变 频 器 的 工 作 原 理 变 频 器 控 制 方 式 变 频 器 的 使 用 中 遇 到 的 问 题 和 故 障 防 范 变 频 器 对 周 边 设 备 的 影 响 及 故 障 防 范 变 频 器 技 术 发 展 方 向 预 测 控 制 篇 通 用 变 频 器 中 基 于 DSP 的 数 字 控 制 器 实 现 基

More information

目录 一 产品简介 产品概述 应用领域...4 二 接口描述...5 三 硬件规格...6 四 主板尺寸...7 五 引脚定义...8 六 系统软件 七 电气性能 八 附录 源码获取 联系我们

目录 一 产品简介 产品概述 应用领域...4 二 接口描述...5 三 硬件规格...6 四 主板尺寸...7 五 引脚定义...8 六 系统软件 七 电气性能 八 附录 源码获取 联系我们 ROC-RK3328-CC 产品规格书 作者 天启智能科技有限公司 版本 V1.0 日期 2018-04-02 版本更新日期更新内容 V1.0 2018-04-02 原始版本 - 1 - 目录 一 产品简介...4 1 产品概述...4 2 应用领域...4 二 接口描述...5 三 硬件规格...6 四 主板尺寸...7 五 引脚定义...8 六 系统软件... 10 七 电气性能... 10 八

More information

如 果 此 設 備 對 無 線 電 或 電 視 接 收 造 成 有 害 干 擾 ( 此 干 擾 可 由 開 關 設 備 來 做 確 認 ), 用 戶 可 嘗 試 用 以 下 一 種 或 多 種 方 法 來 消 除 這 個 干 擾 : 重 新 調 整 與 確 定 接 收 天 線 方 向 增 大 此 設

如 果 此 設 備 對 無 線 電 或 電 視 接 收 造 成 有 害 干 擾 ( 此 干 擾 可 由 開 關 設 備 來 做 確 認 ), 用 戶 可 嘗 試 用 以 下 一 種 或 多 種 方 法 來 消 除 這 個 干 擾 : 重 新 調 整 與 確 定 接 收 天 線 方 向 增 大 此 設 版 權 前 言 本 出 版 物, 包 括 所 有 照 片 插 圖 與 軟 體 均 受 國 際 版 權 法 之 保 護, 所 有 權 利 均 被 保 留 此 說 明 書 和 其 中 所 包 含 的 任 何 材 料 都 不 可 以 在 沒 有 作 者 的 書 面 許 可 下 被 複 製 版 本 1.0 免 責 聲 明 製 造 商 不 對 說 明 書 內 容 作 任 何 陳 述 或 擔 保, 基 於 此

More information

第 十 一 期 2015 年 2 月 关 于 车 辆 购 置 税 征 收 管 理 有 关 问 题 的 公 告 ( 点 击 跳 转 至 全 文 ) 国 家 税 务 总 局 公 告 2015 年 第 4 号 关 于 电 池 涂 料 消 费 税 征 收 管 理 有 关 问 题 的 公 告 ( 点 击 跳

第 十 一 期 2015 年 2 月 关 于 车 辆 购 置 税 征 收 管 理 有 关 问 题 的 公 告 ( 点 击 跳 转 至 全 文 ) 国 家 税 务 总 局 公 告 2015 年 第 4 号 关 于 电 池 涂 料 消 费 税 征 收 管 理 有 关 问 题 的 公 告 ( 点 击 跳 第 十 一 期 2015 年 2 月 热 点 政 策 与 问 答 嘉 定 区 税 务 局 纳 税 服 务 中 心 编 第 十 一 期 2015 年 2 月 关 于 车 辆 购 置 税 征 收 管 理 有 关 问 题 的 公 告 ( 点 击 跳 转 至 全 文 ) 国 家 税 务 总 局 公 告 2015 年 第 4 号 关 于 电 池 涂 料 消 费 税 征 收 管 理 有 关 问 题 的 公 告

More information

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0 SH79F6431 1. SH79F6431 1T 8051 FLASH SH79F JET51 Keil µ vision JTAG 1.1. SH79F6431 LQFP64 1.2. (Target Board) SH79F6431 1 V 1.0 1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F6431 1 2 1 B. 1(VCC/GND)

More information

钢铁产业与衡器行业

钢铁产业与衡器行业 2013 2013 4 4.0 PLC CPS Cyber Physical Systems 1.0 1 1.0 2013 MICROSCAN DATALOGIC BANNER SICK OPTEX CMOS CCD 1 / / 2 1 LED 2 2 3 CCD CMOS 4 / A/D FPGA DSP IEEE1394 RS-644 LVDS Channel Link LVDS Camera

More information

MESSAGE LG LG 900 Cleveland Motion HORNER APG % LG ( 900 Cleveland Motion Controls HORNER APG % 2

MESSAGE LG LG 900 Cleveland Motion HORNER APG % LG (  900 Cleveland Motion Controls HORNER APG % 2 http://www.lgis.com.cn 2003.09.15 LG Tel: 010-64623254 Fax: 010-64623236 Tel: 021-62784371 Fax: 021-62784301 Tel: 020-87553412 Fax: 020-87553408 Homepage: Http://www.lgis.com.cn LG MASTER-K120s 2003 04

More information

信息科学与工程学院立项项目简介

信息科学与工程学院立项项目简介 信 息 科 学 与 工 程 学 院 立 项 项 目 简 介 项 目 名 称 : 基 于 FPGA 的 CPU 核 及 其 虚 拟 平 台 的 设 计 与 实 现 项 目 编 号 :08042002 立 项 人 : 赵 宇 ( 学 生 ) 联 系 电 话 :15950467566 电 子 信 箱 :nic_zy@qq.com 科 学 技 术 的 高 度 发 展, 导 致 了 计 算 机 的 诞 生 及

More information

Microsoft Word - PHILIPSµ¥Æ¬»úµÄÏÖ×´¼°Æä·¢Õ¹Ç÷ÊÆ.doc

Microsoft Word - PHILIPSµ¥Æ¬»úµÄÏÖ×´¼°Æä·¢Õ¹Ç÷ÊÆ.doc PHILIPS 单 片 机 的 现 状 及 其 发 展 趋 势 ( 市 场 信 息 2003 年 5 月 23 日 第 一 版 ) 1. 与 众 不 同 的 特 点 (1) P87C51 P89C51 属 于 增 强 型 的 80C51 系 列 单 片 机 而 AT89S51 W78E51 HY97C51 等 单 片 机 则 属 于 MCS-51 系 列 单 片 机 尽 管 两 者 完 全 兼 容

More information

2013 浙 江 宇 视 科 技 有 限 公 司 及 其 许 可 者 保 留 一 切 权 利 未 经 本 公 司 书 面 许 可, 任 何 单 位 和 个 人 不 得 擅 自 摘 抄 复 制 本 书 内 容 的 部 分 或 全 部, 并 不 得 以 任 何 形 式 传 播 为 浙 江 宇 视 科 技

2013 浙 江 宇 视 科 技 有 限 公 司 及 其 许 可 者 保 留 一 切 权 利 未 经 本 公 司 书 面 许 可, 任 何 单 位 和 个 人 不 得 擅 自 摘 抄 复 制 本 书 内 容 的 部 分 或 全 部, 并 不 得 以 任 何 形 式 传 播 为 浙 江 宇 视 科 技 TS8500 转 码 服 务 器 快 速 入 门 浙 江 宇 视 科 技 有 限 公 司 http://www.uniview.com 资 料 版 本 :5PW101-20130911 2013 浙 江 宇 视 科 技 有 限 公 司 及 其 许 可 者 保 留 一 切 权 利 未 经 本 公 司 书 面 许 可, 任 何 单 位 和 个 人 不 得 擅 自 摘 抄 复 制 本 书 内 容 的 部

More information

地 理 志 鏡 止 煞, 來 達 到 安 宅 的 效 果 4. 門 神 符 紙 : 於 門 板 繪 製 門 神, 作 為 宅 第 的 守 護, 民 宅 所 使 用 的 門 神 題 材, 多 為 天 官 賜 福 或 文 武 官 員 符 紙 是 以 畫 了 符 咒 的 紙 懸 掛 室 內, 或 加 框

地 理 志 鏡 止 煞, 來 達 到 安 宅 的 效 果 4. 門 神 符 紙 : 於 門 板 繪 製 門 神, 作 為 宅 第 的 守 護, 民 宅 所 使 用 的 門 神 題 材, 多 為 天 官 賜 福 或 文 武 官 員 符 紙 是 以 畫 了 符 咒 的 紙 懸 掛 室 內, 或 加 框 第 二 篇 - 人 文 地 理 ( 五 ) 民 宅 的 祈 福 辟 邪 物 臺 灣 早 期 移 民, 因 為 離 鄉 背 井, 對 於 新 環 境 的 陌 生, 以 及 生 存 的 不 容 易, 再 加 上 承 襲 閩 粵 地 區 的 習 慣, 所 以 住 屋 講 究 的 是 祈 福 辟 邪 除 了 建 屋 之 前 要 看 地 理 風 水, 在 建 屋 時 更 有 許 多 禁 忌 要 遵 守 另 外,

More information

石油大学(北京)

石油大学(北京) 大 學 生 安 全 教 育 參 考 資 料 1 對 大 學 生 進 行 安 全 教 育 的 必 要 性 第 一 篇 遵 紀 守 法 篇 第 1 章 安 全 教 育 大 學 生 活 對 於 每 一 位 莘 莘 學 子 來 說 都 是 一 段 美 好 而 又 難 忘 的 時 光 而 這 一 切 從 頭 開 始 的 時 候, 不 大 容 易 被 同 學 們 重 視 的 往 往 是 安 全 問 題 安 全

More information

1 非 常 感 谢 您 购 买 我 司 产 品, 如 您 有 任 何 疑 问 或 需 求 请 随 时 联 系 我 们 本 手 册 适 用 于 以 下 产 品 : 产 品 系 列 产 品 型 号 产 品 名 称 DS-9508N-ST DS-9500N-ST 系 列 DS-9516N-ST DS-95

1 非 常 感 谢 您 购 买 我 司 产 品, 如 您 有 任 何 疑 问 或 需 求 请 随 时 联 系 我 们 本 手 册 适 用 于 以 下 产 品 : 产 品 系 列 产 品 型 号 产 品 名 称 DS-9508N-ST DS-9500N-ST 系 列 DS-9516N-ST DS-95 DS-9500/8500N-ST DS-9500N-RT DS-7601N-SE 系 列 NVR 快 速 操 作 指 南 杭 州 海 康 威 视 数 字 技 术 股 份 有 限 公 司 http://www.hikvision.com 技 术 热 线 :400-700-5998 UD.6L0102B0114A01 1 非 常 感 谢 您 购 买 我 司 产 品, 如 您 有 任 何 疑 问 或 需

More information

經 濟 統 計 分 析 與 統 計 圖 表 多 媒 體 設 計 之 創 新 作 法 ( 附 件 ) 經 濟 部 統 計 處 中 華 民 國 103 年 8 月 29 日 內 容 大 綱 壹 效 益 性... 2 一 點 閱 率... 2 二 媒 體 報 導... 4 三 部 務 會 議 裁 示... 7 四 榮 獲 本 部 103 年 網 站 評 比 第 1 名... 9 貳 具 體 作 法...

More information

Microsoft Word - LU-C3000-2100记录仪使用说明书V3.0版.doc

Microsoft Word - LU-C3000-2100记录仪使用说明书V3.0版.doc 前 言 感 谢 您 购 买 使 用 LU-R/C3000 系 列 真 彩 液 晶 显 示 与 R/C2100 单 色 液 晶 显 示 过 程 控 制 无 纸 记 录 仪 本 手 册 是 关 于 LU-R/C3000 与 LU-R/C2100 的 功 能 组 态 设 置 接 线 方 法 和 操 作 方 法 等 的 说 明 书 除 此 手 册 之 外 还 有 安 东 无 纸 记 录 仪 U 盘 采 集

More information

(按 Ctrl + F2 可編輯頁首資料)

(按 Ctrl + F2 可編輯頁首資料) SAWANG 大 華 科 技 大 學 秘 書 室 會 議 議 程 SC0-4-533-01-03, A00 名 稱 :103 學 年 度 第 4 次 專 責 小 組 會 議 時 間 :104 年 3 月 24 日 ( 星 期 二 ) 中 午 12 時 10 分 地 點 : 大 華 樓 5 樓 會 議 室 主 席 : 代 理 校 長 李 右 婷 紀 錄 : 秘 書 室 林 佩 姍 壹 主 席 報 告

More information

Hi3531A Demo单板用户指南

Hi3531A Demo单板用户指南 雅仪科技 嵌入式智能互联设备 软硬件方案供应商 专注 远程网络视频监控系统 研发设计 更多资讯浏览 : http://www.travellinux.com 获取 Hi3531A Demo 单板 用户指南 文档版本 00B01 发布日期 2015-08-20 版权所有 深圳市海思半导体有限公司 2015 保留一切权利 非经本公司书面许可, 任何单位和个人不得擅自摘抄 复制本文档内容的部分或全部, 并不得以任何形式传播

More information

PowerPoint Presentation

PowerPoint Presentation 中 小 IT 企 业 人 力 资 源 管 理 咨 询 简 介 一 背 景 分 析 二 需 求 分 析 三 服 务 内 容 四 操 作 流 程 五 斯 隆 优 势 六 行 业 案 例 七 服 务 理 念 目 录 一 背 景 分 析 -IT 业 现 状 分 析 IT 产 业 的 总 量 水 平 较 低 中 国 IT IT 现 状 总 体 规 模 较 小 技 术 自 主 创 新 能 力 差 对 经 济 的

More information

500 Daniel Danalyzer 500 Rosemount Analytical 500 P/N 3-9000-537 K 2010 7 A.1 A.2 A.2.1 A.2.2 A.2.3 A.2.4 A.3 A.3.1 A.3.2 A.4 A.5 A.6 B.1 B.2 B.3 C.1 C.2 C.3 F.1 F.2 F.3 G.1 G.2 G.3 G.4 G.4.1

More information

主机端

主机端 目 录 第 一 章 产 品 说 明...2 1.1 产 品 概 述...2 1.2 技 术 参 数...2 第 二 章 产 品 外 观 结 构 介 绍...3 2.1 面 板 说 明...3 2.2 产 品 接 口 说 明...4 2.3 遥 控 器 说 明...5 第 三 章 操 作 系 统 说 明...5 3.1 开 / 关 机...5 3.2 预 览...5 3.3 菜 单 基 本 操 作 说

More information

DCU9010及9020硬件使用说明书.doc

DCU9010及9020硬件使用说明书.doc DCU9010/9020 BORIT...2...5...12...19...20...23...33...35 1 BORIT BORIT DCU9020 DCU9010 DCU9020XP DCU9010XP CA-232 SEGUARD Modem DCU9020/XP BORIT RS485 127 DCU9020 485 508 DCU9010/XP DCU9010/9020 DCU9010/XP

More information

<B0B8C0FDCAD6B2E15FD3A1CBA2B0E6>

<B0B8C0FDCAD6B2E15FD3A1CBA2B0E6> WWW.ICPDAS.COM.CN 1 关 于 泓 格 泓 格 科 技 成 立 于 1993 年, 以 基 于 PC 的 数 据 采 集 卡 为 最 初 的 研 发 产 品 线,1998 年 我 们 认 为 嵌 入 式 控 制 器 极 具 未 来 性, 所 以 整 个 研 发 的 重 心 移 到 了 各 种 嵌 入 式 控 制 器 远 程 I/O 模 块 等 产 品 线 经 过 十 多 年 的 努

More information

Support All Industrial Ethernet Standards on Your Next "Drive" Design White Paper

Support All Industrial Ethernet Standards on Your Next Drive Design White Paper FPGA WP-01191-1.0 Coal 18.33 Fossil Fuels 26.10 Conversion Losses 24.61 Petroleum 0.40 Natural Gas 7.29 Other Gases 0.09 Nuclear Electric Power 8.35 Renewable Energy 4.28 Other 0.16 Energy Consumed to

More information

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica CP Chip Power ARM Cortex-M3 (STM32F) ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplication and hardware

More information