Presentation Title

Size: px
Start display at page:

Download "Presentation Title"

Transcription

1 嵌入式代码自动生成 周玲 / Ling.Zhou@mathworks.cn 应用工程师 The MathWorks, Inc. 1

2 目录 代码生成概述 代码结构控制 模型数据管理 代码生成的优化 代码生成相关 2

3 目录 代码生成概述 代码结构控制 模型数据管理 代码生成的优化 代码生成相关 3

4 BLACK BOX P O W E R V R C S I N P U T B L U E G R E E N R E D 核心算法的代码自动生成 Communication Interfaces Comm Drivers Core Software Algorithms and Logic Output Drivers M Actuators Sensors A B C Input Drivers Special Device Drivers RGBSplit-4 Special Interfaces CCP ASAP2 Most Development is on Core Software Algorithms Scheduler/Operating System And Support Utilities 4

5 代码量的爆炸式增长 Estimated Source Lines of Code (LOC) Today s powertrain: 500,000 LOC Today s vehicles: 1,000,000 LOC 2015 vehicles: 100,000,000 LOC Management trends: High-level architectural planning Algorithm development with models Automatic generation of code from models Industry-wide standardization of interfaces and common functionality Growth of top end automotive embedded software has been exponential. Robert Gee Director of Strategy for Motorola Automotive No longer possible to validate and verify functionality by brute force testing alone. Jim Kolhoff Director of Software Engineering at GM Powertrain Automotive Engineering, Managing for Software Success Aug 2006 sae.org/automag/electronics/ / pdf 5

6 使用更高效的算法开发平台 6

7 代码自动生成 7

8 代码自动生成工具 Embedded Coder Embedded Coder Generate C and C++ from MATLAB and Simulink optimized for embedded systems Simulink Coder Simulink Coder Generate C and C++ from Simulink and Stateflow MATLAB Coder MATLAB Coder Generate C and C++ from MATLAB 8

9 代码自动生成已成为行业趋势 Click for User Story 9

10 代码自动生成基本流程 模型被充分测试验证以后 : 运行 Model Advisor 配置代码生成选项 生成代码 查看代码报告 代码测试 10

11 示例 - 简单滤波器算法的代码生成 11

12 示例 - 代码生成报告 Hyperlink between code and model. Hyperlink within C code files. 12

13 示例 - 可配置的代码生成 13

14 目录 代码生成概述 代码结构控制 模型数据管理 代码生成的优化 代码生成相关 14

15 默认入口函数原型 <model>_initialize() initialize signals, states and parameters prior to first time step <model>_step() execute one time step <model>_terminate() Optional. Cleanup after last simulation step. 15

16 可配置入口函数原型 16

17 子系统生成单独函数 Virtual Atomic 17

18 被多次引用的子系统代码重用 18

19 参数化调用被引用模型 19

20 代码生成文件控制 20

21 目录 代码生成概述 代码结构控制 模型数据管理 代码生成的优化 代码生成相关 21

22 Simulink 里的数据 Data in Simulink Data characteristics Signal Parameter State Memory size Storage class Organization Data type External Internal Scalar Array Bus Built-in Local Global Reusability Format exportability Float Integer Fixed-point Precision Word size Signed/unsigned Word size Signed/unsigned Scaling 22

23 定义数据类型 23

24 定义存储类型 model.c model_private.h 24

25 算法模型与数据保持独立 Description Name Value Data type Storage class Rest of model (algorithm, system dynamics, etc.) 25

26 定义数据对象关联模型数据 Simulink Data Objects which specify characteristics data type, dimensions Signals States parameters Source of data (M-files or MAT-files) Data Algorithm 26

27 自定义信号的存储类型 Create a signal data object. Use the object name to label a signal in the model. Indicate the signal must resolve to a signal data object. 27

28 自定义参数的存储类型 Create a parameter data object. Use the parameter as a block parameter in the model. Enable Inline parameters in the model s configuration parameters. 28

29 目录 代码生成概述 代码结构控制 模型数据管理 代码生成的优化 代码生成相关 29

30 代码生成统计报告 30

31 移除不需要的代码或数据支持 Removing initialization code (Optimization pane) Removing termination code (Interface pane) Removing data support (Interface pane) Disabling MAT-File Logging (Interface pane) Conditional Input Branch Execution (Optimization pane) No termination function 31

32 优化数据存储结构 Signal Storage Reuse (Signals and Parameters pane) Enabling Local Block Outputs (Signals and Parameters pane) Reusing Block Outputs (Signals and Parameters pane) Expression Folding (Signals and Parameters pane) Reusing Global Variables (Signals and Parameters pane) Inlining Parameters (Signals and Parameters pane) Block Reduction (Optimization pane) Boolean Logic (Optimization pane) 32

33 代码优化工具 33

34 目录 代码生成概述 代码结构控制 模型数据管理 代码生成的优化 代码生成相关 34

35 其它话题 Scheduler Multi-rate Multi-tasking Optimizing Code Customer Code Integration Customer Storage Class Target Language Compiler AUTOSAR Support Learn more from MathWorks Training Service - Embedded Coder for Production Code Generation (3 days) 35

36 MathWorks 在中国的技术支持体系 在中国提供多层次的技术支持 应用工程师 : 负责产品层面的支持, 有丰富产品和行业知识 Pilot 工程师 : 结合用户实际项目, 提供指导支持, 有实际项目经验同时对工具链熟悉 技术咨询顾问团队 : 全部是行业和产品专家, 有着丰富的实际项目经验, 目前主要在北美和欧洲, 服务于全球, 在中国已经开始建立团队 培训服务 : 提供公开课和现场的收费培训, 可以针对行业定制 技术支持热线 : 对服务期内正版用户提供 5 8 的电话技术支持 36

37 联系我们 官方网站 : 官方微博 : MATLAB 官方社区 ( 英文 ): 中文社区 : 联系电话 ( 总机 ): 联系地址 : 迈斯沃克软件 ( 北京 ) 有限公司北京市海淀区科学院南路 2 号融科资讯中心 C 座南楼 3 层 301 迈斯沃克软件 ( 北京 ) 有限公司上海分公司上海市浦东新区竹林路 101 号陆家嘴基金大厦 1702 单元 37

2/80 2

2/80 2 2/80 2 3/80 3 DSP2400 is a high performance Digital Signal Processor (DSP) designed and developed by author s laboratory. It is designed for multimedia and wireless application. To develop application

More information

Value Chain ~ (E-Business RD / Pre-Sales / Consultant) APS, Advanc

Value Chain ~ (E-Business RD / Pre-Sales / Consultant) APS, Advanc Key @ Value Chain fanchihmin@yahoo.com.tw 1 Key@ValueChain 1994.6 1996.6 2000.6 2000.10 ~ 2004.10 (E- RD / Pre-Sales / Consultant) APS, Advanced Planning & Scheduling CDP, Collaborative Demand Planning

More information

使用基于模型的设计 进行产品化控制算法开发(II)

使用基于模型的设计 进行产品化控制算法开发(II) 2015 The MathWorks, Inc. 1 使用基于模型的设计进行产品化控制算法开发 (II) 李春彦 应用工程师 MathWorks China 2015 The MathWorks, Inc. 2 基于模型的设计 Concept Requirements Control Electrical, Hydraulic Mechanical Target System Deploy Complete

More information

Microsoft PowerPoint ARIS_Platform_en.ppt

Microsoft PowerPoint ARIS_Platform_en.ppt ARIS Platform www.ixon.com.tw ARIS ARIS Architecture of Integrated Information System Prof. Dr. Dr. h.c. mult. August-Wilhelm Scheer ARIS () 2 IDS Scheer AG International Presence >> Partners and subsidiaries

More information

D C 93 2

D C 93 2 D9223468 3C 93 2 Java Java -- Java UML Java API UML MVC Eclipse API JavadocUML Omendo PSPPersonal Software Programming [6] 56 8 2587 56% Java 1 epaper(2005 ) Java C C (function) C (reusability) eat(chess1,

More information

rz_Newsletter2016_en_160111.indd

rz_Newsletter2016_en_160111.indd 2016 Android AUTOSAR Linux AUTOSARAU Reverse ging Target Communication Framework ARM CoreSight TM Requirements Analysis Nexus Timing Tools Intel Trace Hub GDB Infineon MCDS Unit Testing PIL Simulation

More information

邏輯分析儀的概念與原理-展示版

邏輯分析儀的概念與原理-展示版 PC Base Standalone LA-100 Q&A - - - - - - - SCOPE - - LA - - ( Embedded ) ( Skew ) - Data In External CLK Internal CLK Display Buffer ASIC CPU Memory Trigger Level - - Clock BUS Timing State - ( Timing

More information

Presentation Title

Presentation Title 基于模型的可编程 SoC 设计与调试 MathWorks China Tom Shan Application Engineer 2015 The MathWorks, Inc. 1 主要内容 介绍 什么是 Zynq? 设计挑战 Zynq 设计 基于 MBD 的可编程 SoC 设计 代码生成 流程 验证和软硬件划分 UDP 接口 Processor In the Loop(PIL) 验证 新增功能

More information

XXX专业本科人才培养方案

XXX专业本科人才培养方案 计 算 机 科 学 与 技 术 专 业 本 科 人 才 培 养 方 案 (Computer Science and Technology 080901) 一 培 养 目 标 本 专 业 培 养 德 智 体 美 全 面 发 展, 具 有 良 好 的 科 学 与 人 文 素 养, 熟 悉 经 济 管 理 法 律 等 相 关 基 础 知 识, 系 统 地 掌 握 计 算 机 硬 件 软 件 方 面 的 基

More information

Guide to Install SATA Hard Disks

Guide to Install SATA Hard Disks SATA RAID 1. SATA. 2 1.1 SATA. 2 1.2 SATA 2 2. RAID (RAID 0 / RAID 1 / JBOD).. 4 2.1 RAID. 4 2.2 RAID 5 2.3 RAID 0 6 2.4 RAID 1.. 10 2.5 JBOD.. 16 3. Windows 2000 / Windows XP 20 1. SATA 1.1 SATA Serial

More information

会 议 组 织 研 讨 会 主 席 : 周 巢 尘 院 士 ( 中 国 科 学 院 软 件 研 究 所 ) 程 序 委 员 会 主 席 : 段 振 华 教 授 ( 西 安 电 子 科 技 大 学 ) 董 云 卫 教 授 ( 西 北 工 业 大 学 ) 工 业 专 题 主 席 : 叶 宏 研 究 员

会 议 组 织 研 讨 会 主 席 : 周 巢 尘 院 士 ( 中 国 科 学 院 软 件 研 究 所 ) 程 序 委 员 会 主 席 : 段 振 华 教 授 ( 西 安 电 子 科 技 大 学 ) 董 云 卫 教 授 ( 西 北 工 业 大 学 ) 工 业 专 题 主 席 : 叶 宏 研 究 员 可信软件工程中的逻辑方法研讨会 LEDS2015 会 议 组 织 研 讨 会 主 席 : 周 巢 尘 院 士 ( 中 国 科 学 院 软 件 研 究 所 ) 程 序 委 员 会 主 席 : 段 振 华 教 授 ( 西 安 电 子 科 技 大 学 ) 董 云 卫 教 授 ( 西 北 工 业 大 学 ) 工 业 专 题 主 席 : 叶 宏 研 究 员 ( 中 航 工 业 集 团 631 研 究 所 )

More information

untitled

untitled 51Testing Diana LI Xbox Xbox Live Fidelity Investments Office Server group Xbox Expedia Inc ( elong ) 1996 1996. bug break - 5Ws bug. Trust No One) QA Function Assignment Checking Timing Build/Package/Merge

More information

目次 

目次  軟 體 工 程 期 末 報 告 網 路 麻 將 91703014 資 科 三 黃 偉 嘉 91703024 資 科 三 丘 祐 瑋 91703030 資 科 三 江 致 廣 1 目 次 壹 前 言 (Preface) P.4 貳 計 畫 簡 述 及 預 期 效 益 (Project Description and Expected Results) P.4 參 系 統 開 發 需 求 (System

More information

Microsoft PowerPoint - ch6 [相容模式]

Microsoft PowerPoint - ch6 [相容模式] UiBinder wzyang@asia.edu.tw UiBinder Java GWT UiBinder XML UI i18n (widget) 1 2 UiBinder HelloWidget.ui.xml: UI HelloWidgetBinder HelloWidget.java XML UI Owner class ( Composite ) UI XML UiBinder: Owner

More information

第 15 章 程 式 編 写 語 言 15.1 程 式 編 写 語 言 的 角 色 程 式 編 寫 語 言 是 程 式 編 寫 員 與 電 腦 溝 通 的 界 面 語 法 是 一 組 規 則 讓 程 式 編 寫 員 將 字 詞 集 合 起 來 電 腦 是 處 理 位 元 和 字 節 的 機 器, 與

第 15 章 程 式 編 写 語 言 15.1 程 式 編 写 語 言 的 角 色 程 式 編 寫 語 言 是 程 式 編 寫 員 與 電 腦 溝 通 的 界 面 語 法 是 一 組 規 則 讓 程 式 編 寫 員 將 字 詞 集 合 起 來 電 腦 是 處 理 位 元 和 字 節 的 機 器, 與 程 式 編 写 語 言 在 完 成 這 章 後, 你 將 能 夠 了 解 程 式 編 写 語 言 的 功 能 了 解 高 階 語 言 和 低 階 語 言 之 間 的 分 別 知 道 翻 譯 程 式 的 意 義 和 能 夠 把 翻 譯 程 式 分 類 為 : 匯 編 程 式 編 譯 程 式 和 解 譯 程 式 認 識 不 同 翻 譯 程 式 的 優 點 和 缺 點 程 式 是 指 揮 電 腦 的 指

More information

Microsoft PowerPoint - Aqua-Sim.pptx

Microsoft PowerPoint - Aqua-Sim.pptx Peng Xie, Zhong Zhou, Zheng Peng, Hai Yan, Tiansi Hu, Jun-Hong Cui, Zhijie Shi, Yunsi Fei, Shengli Zhou Underwater Sensor Network Lab 1 Outline Motivations System Overview Aqua-Sim Components Experimental

More information

Microsoft PowerPoint - ARC110_栾跃.ppt

Microsoft PowerPoint - ARC110_栾跃.ppt ARC110 软 件 构 架 设 计 的 原 则 和 指 南 课 程 内 容 概 述 介 绍 和 引 言 软 件 构 架 和 构 架 师 软 件 构 架 的 设 计 模 式 框 架 和 参 照 设 计 自 我 介 绍 第 一 代 自 费 留 学 生 : 美 国 南 伊 利 诺 州 立 大 学 (SIUE) 电 机 工 程 学 士 (1984) 及 硕 士 学 位 (1985) 历 任 OwensIllinois,

More information

Computer Architecture

Computer Architecture ECE 3120 Computer Systems Assembly Programming Manjeera Jeedigunta http://blogs.cae.tntech.edu/msjeedigun21 Email: msjeedigun21@tntech.edu Tel: 931-372-6181, Prescott Hall 120 Prev: Basic computer concepts

More information

IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I

IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I 2004 5 IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I Abstract The techniques of digital video processing, transferring

More information

IEEE/EIA 12207 0910023766 ??? The Frameworks Quagmire (http://www.software.org/quagmire/) () ISO 9001 ISO/IEC 12207 ISO/IEC 15504 CMM Quality System Requirements Life Cycle Processes Process Assessment

More information

前言 C# C# C# C C# C# C# C# C# microservices C# More Effective C# More Effective C# C# C# C# Effective C# 50 C# C# 7 Effective vii

前言 C# C# C# C C# C# C# C# C# microservices C# More Effective C# More Effective C# C# C# C# Effective C# 50 C# C# 7 Effective vii 前言 C# C# C# C C# C# C# C# C# microservices C# More Effective C# More Effective C# C# C# C# Effective C# 50 C# C# 7 Effective vii C# 7 More Effective C# C# C# C# C# C# Common Language Runtime CLR just-in-time

More information

Microsoft PowerPoint - TTCN-Introduction-v5.ppt

Microsoft PowerPoint - TTCN-Introduction-v5.ppt Conformance Testing and TTCN 工研院無線通訊技術部林牧台 / Morton Lin 03-5912360 mtlin@itri.org.tw 1 Outline Introduction and Terminology Conformance Testing Process 3GPP conformance testing and test cases A real world

More information

CH01.indd

CH01.indd 3D ios Android Windows 10 App Apple icloud Google Wi-Fi 4G 1 ( 3D ) 2 3 4 5 CPU / / 2 6 App UNIX OS X Windows Linux (ios Android Windows 8/8.1/10 BlackBerry OS) 7 ( ZigBee UWB) (IEEE 802.11/a/b/g/n/ad/ac

More information

untitled

untitled 2006 6 Geoframe Geoframe 4.0.3 Geoframe 1.2 1 Project Manager Project Management Create a new project Create a new project ( ) OK storage setting OK (Create charisma project extension) NO OK 2 Edit project

More information

A Preliminary Implementation of Linux Kernel Virus and Process Hiding

A Preliminary Implementation of Linux Kernel Virus and Process Hiding 邵 俊 儒 翁 健 吉 妍 年 月 日 学 号 学 号 学 号 摘 要 结 合 课 堂 知 识 我 们 设 计 了 一 个 内 核 病 毒 该 病 毒 同 时 具 有 木 马 的 自 动 性 的 隐 蔽 性 和 蠕 虫 的 感 染 能 力 该 病 毒 获 得 权 限 后 会 自 动 将 自 身 加 入 内 核 模 块 中 劫 持 的 系 统 调 用 并 通 过 简 单 的 方 法 实 现 自 身 的

More information

GH1220 Hall Switch

GH1220 Hall Switch Unipolar Hall Switch - Medium Sensitivity Product Description The DH220 is a unipolar h all switch designed in CMOS technology. The IC internally includes a voltage regulator, Hall sensor with dynamic

More information

K7VT2_QIG_v3

K7VT2_QIG_v3 ............ 1 2 3 4 5 [R] : Enter Raid setup utility 6 Press[A]keytocreateRAID RAID Type: JBOD RAID 0 RAID 1: 2 7 RAID 0 Auto Create Manual Create: 2 RAID 0 Block Size: 16K 32K

More information

(baking powder) 1 ( ) ( ) 1 10g g (two level design, D-optimal) 32 1/2 fraction Two Level Fractional Factorial Design D-Optimal D

(baking powder) 1 ( ) ( ) 1 10g g (two level design, D-optimal) 32 1/2 fraction Two Level Fractional Factorial Design D-Optimal D ( ) 4 1 1 1 145 1 110 1 (baking powder) 1 ( ) ( ) 1 10g 1 1 2.5g 1 1 1 1 60 10 (two level design, D-optimal) 32 1/2 fraction Two Level Fractional Factorial Design D-Optimal Design 1. 60 120 2. 3. 40 10

More information

untitled

untitled LBS Research and Application of Location Information Management Technology in LBS TP319 10290 UDC LBS Research and Application of Location Information Management Technology in LBS , LBS PDA LBS

More information

Serial ATA ( Silicon Image SiI3114)...2 (1) SATA... 2 (2) B I O S S A T A... 3 (3) RAID BIOS RAID... 5 (4) S A T A... 8 (5) S A T A... 10

Serial ATA ( Silicon Image SiI3114)...2 (1) SATA... 2 (2) B I O S S A T A... 3 (3) RAID BIOS RAID... 5 (4) S A T A... 8 (5) S A T A... 10 Serial ATA ( Silicon Image SiI3114)...2 (1) SATA... 2 (2) B I O S S A T A... 3 (3) RAID BIOS RAID... 5 (4) S A T A... 8 (5) S A T A... 10 Ác Åé å Serial ATA ( Silicon Image SiI3114) S A T A (1) SATA (2)

More information

~ Capability Maturity Model Integration, CMMI CMMI

~ Capability Maturity Model Integration, CMMI CMMI 2008 11 331~350 1 2 3 1 2 3 Capability Maturity Model Integration, CMMI CMMI 360 037 381274 e-mail bcdefg@nuu.edu.tw 331 Interpreting the software-development framework stemming from the gradual hexagram

More information

EK-STM32F

EK-STM32F STMEVKIT-STM32F10xx8 软 件 开 发 入 门 指 南 目 录 1 EWARM 安 装... 1 1.1 第 一 步 : 在 线 注 册... 1 1.2 第 二 步 : 下 载 软 件... 2 1.3 第 三 步 : 安 装 EWARM... 3 2 基 于 STMEVKIT-STM32F10xx8 的 示 例 代 码 运 行... 6 2.1 GPIO Demo... 6 2.2

More information

1-2

1-2 1-1 1-2 1-3 1-4 1-5 1-6 1-7 (1992 1-8 1992 1-9 1-10 1995 1 Mutable 2 Comprehensive 3 Divergent 4 Transitive 5 Complex (1979) 1999 performance construct concept logical inference Campbell, 1977 1990 1999

More information

Microsoft Word - Datastream5.1_使用說明201110

Microsoft Word - Datastream5.1_使用說明201110 Datastream 5.1 操 作 手 冊 政 大 圖 書 館 商 圖 分 館 編 製 2011.10 版 權 屬 於 國 立 政 治 大 學 圖 書 館. 請 勿 侵 權 1 目 錄 前 言 -------------------------------------------------------------2 第 壹 章 Datastream advanced -----------------------------------2

More information

/3 CAD JPG GIS CAD GIS GIS 1 a CAD CAD CAD GIS GIS ArcGIS 9. x 10 1 b 1112 CAD GIS 1 c R2VArcscan CAD MapGIS CAD 1 d CAD U

/3 CAD JPG GIS CAD GIS GIS 1 a CAD CAD CAD GIS GIS ArcGIS 9. x 10 1 b 1112 CAD GIS 1 c R2VArcscan CAD MapGIS CAD 1 d CAD U 1006-3862 2010 05-0059 - 07 361005 1 GIS 2 3 What if 2. 0 1 2 3 4 GIS TU984. 11 A 1 Planning Support System MIS PSS 1989 1 90 23 4-7 GIS Planning Support GIS System SDSS PSS GIS GIS CAD GIS SDSS CAD CAD

More information

藍牙網路在資訊家電的應用

藍牙網路在資訊家電的應用 崑 山 科 技 大 學 資 訊 工 程 系 專 題 製 作 報 告 ANDROID 3D 推 箱 子 遊 戲 ANDROID 3D - BOXGAME 學 生 : 黃 咸 嘉 陳 政 男 郭 撫 龍 指 導 老 師 : 李 宗 儒 中 華 民 國 一 百 一 年 五 月 i ANDROID 3D 推 箱 子 遊 戲 ANDROID 3D - BOXGAME 學 生 : 黃 咸 嘉 Student:

More information

WWW PHP

WWW PHP WWW PHP 2003 1 2 function function_name (parameter 1, parameter 2, parameter n ) statement list function_name sin, Sin, SIN parameter 1, parameter 2, parameter n 0 1 1 PHP HTML 3 function strcat ($left,

More information

Improved Preimage Attacks on AES-like Hash Functions: Applications to Whirlpool and Grøstl

Improved Preimage Attacks on AES-like Hash Functions: Applications to Whirlpool and Grøstl SKLOIS (Pseudo) Preimage Attack on Reduced-Round Grøstl Hash Function and Others Shuang Wu, Dengguo Feng, Wenling Wu, Jian Guo, Le Dong, Jian Zou March 20, 2012 Institute. of Software, Chinese Academy

More information

6-7 6-8 6-9 Process Data flow Data store External entity 6-10 Context diagram Level 0 diagram Level 1 diagram Level 2 diagram 6-11 6-12

6-7 6-8 6-9 Process Data flow Data store External entity 6-10 Context diagram Level 0 diagram Level 1 diagram Level 2 diagram 6-11 6-12 6-1 6-2 6-3 6-4 6-5 6-6 6-7 6-8 6-9 Process Data flow Data store External entity 6-10 Context diagram Level 0 diagram Level 1 diagram Level 2 diagram 6-11 6-12 6-13 6-14 6-15 6-16 6-17 6-18 6-19 6-20 6-21

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 Enabling Technologies Safety Assurance 2015 2016 2017 2018 2019 Future Forecast of Enabling Technologies Driver Acceptance of Automated Vehicle Systems

More information

untitled

untitled niosii H:\DB2005\project\niosDK\Example\NiosSmall QuartusII4.2 File -> New Project Wizard Diectory,Name,Top-Level Entity Add Files EDA Tools Setting Finish, OK H:\DB2005\project\niosDK\Example\NiosSmall

More information

甄試報告1125.PDF

甄試報告1125.PDF LabVIEW LabVIEW Laboratory Virtual Instrument Engineering Workbench G LabVIEW DAQ LabVIEW LabVIEW LabVIEW LabVIEW ph LabVIEW DAQ LabVIEW PZT LabVIEW / =2 10-8 1 LabVIEW DAQ LabVIEW DAQ DAQ LabVIEW DAQ

More information

热设计网

热设计网 例 例 Agenda Popular Simulation software in PC industry * CFD software -- Flotherm * Advantage of Flotherm Flotherm apply to Cooler design * How to build up the model * Optimal parameter in cooler design

More information

Epson

Epson WH / MS CMP0087-00 TC WH/MS EPSON EPSON EXCEED YOUR VISION EXCEED YOUR VISION Seiko Corporation Microsoft and Windows are registered trademarks of Microsoft Corporation. Mac and Mac OS are registered trademarks

More information

Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provided by SUNPLUS TECHNOLO

Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provided by SUNPLUS TECHNOLO Car DVD New GUI IR Flow User Manual V0.1 Jan 25, 2008 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com Important Notice SUNPLUS

More information

epub83-1

epub83-1 C++Builder 1 C + + B u i l d e r C + + B u i l d e r C + + B u i l d e r C + + B u i l d e r 1.1 1.1.1 1-1 1. 1-1 1 2. 1-1 2 A c c e s s P a r a d o x Visual FoxPro 3. / C / S 2 C + + B u i l d e r / C

More information

國家圖書館典藏電子全文

國家圖書館典藏電子全文 EAI EAI Middleware EAI 3.1 EAI EAI Client/Server Internet,www,Jav a 3.1 EAI Message Brokers -Data Transformation Business Rule XML XML 37 3.1 XML XML XML EAI XML 1. XML XML Java Script VB Script Active

More information

Microsoft Word - 0000000673_4.doc

Microsoft Word - 0000000673_4.doc 香 港 特 別 行 政 區 政 府 知 識 產 權 署 商 標 註 冊 處 Trade Marks Registry, Intellectual Property Department The Government of the Hong Kong Special Administrative Region 在 註 冊 申 請 詳 情 公 布 後 要 求 修 訂 貨 品 / 服 務 說 明 商 標

More information

國立中山大學學位論文典藏.PDF

國立中山大學學位論文典藏.PDF 國 立 中 山 大 學 企 業 管 理 學 系 碩 士 論 文 以 系 統 動 力 學 建 構 美 食 餐 廳 異 國 麵 坊 之 管 理 飛 行 模 擬 器 研 究 生 : 簡 蓮 因 撰 指 導 教 授 : 楊 碩 英 博 士 中 華 民 國 九 十 七 年 七 月 致 謝 詞 寫 作 論 文 的 過 程 是 一 段 充 滿 艱 辛 與 淚 水 感 動 與 窩 心 的 歷 程, 感 謝 這 一

More information

Microsoft Word - bxyj2007_01_zongdi225.doc

Microsoft Word - bxyj2007_01_zongdi225.doc 以 科 学 发 展 观 为 统 领 深 入 贯 彻 落 实 国 务 院 23 号 文 件 全 面 提 高 保 险 业 服 务 社 会 主 义 和 谐 社 会 的 能 力 吴 定 富 ( 中 国 保 险 监 督 管 理 委 员 会, 北 京 100032) [ 摘 要 ]2006 年, 我 国 保 险 业 社 会 地 位 稳 步 提 高, 国 际 影 响 力 不 断 扩 大, 发 展 环 境 日 益

More information

1.3

1.3 Software Engineering 软 件 工 程 Prof. Mei Hong 洪 玫 College of Computer Science and Software Engineering 四 川 大 学 计 算 机 ( 软 件 学 院 ) E-Mail: hongmei@scu.edu.cn Office: B502, Second Laboratory Building, Jiangan

More information

6-1 Table Column Data Type Row Record 1. DBMS 2. DBMS MySQL Microsoft Access SQL Server Oracle 3. ODBC SQL 1. Structured Query Language 2. IBM

6-1 Table Column Data Type Row Record 1. DBMS 2. DBMS MySQL Microsoft Access SQL Server Oracle 3. ODBC SQL 1. Structured Query Language 2. IBM CHAPTER 6 SQL SQL SQL 6-1 Table Column Data Type Row Record 1. DBMS 2. DBMS MySQL Microsoft Access SQL Server Oracle 3. ODBC SQL 1. Structured Query Language 2. IBM 3. 1986 10 ANSI SQL ANSI X3. 135-1986

More information

Chapter 2

Chapter 2 2 (Setup) ETAP PowerStation ETAP ETAP PowerStation PowerStation PowerPlot ODBC SQL Server Oracle SQL Server Oracle Windows SQL Server Oracle PowerStation PowerStation PowerStation PowerStation ETAP PowerStation

More information

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family small, speedy, safe Eextremely modular Up to 64 modules per bus de Quick reaction time: up to 20 µs A new Member of the Cube Family Murrelektronik s modular I/O system expands the field-tested Cube family

More information

BYOD IP+Optical (IP NGN) API 4. End-to-End (Service Aware) 5. IP NGN (IP Next Generation Network) ( ) Prime Carrier Management Access Edge Co

BYOD IP+Optical (IP NGN) API 4. End-to-End (Service Aware) 5. IP NGN (IP Next Generation Network) ( ) Prime Carrier Management Access Edge Co BYOD 228 2015 IT open source DIY ( ) Up/Down HP NNMi WhatsUp Gold Nagios HP SiteScope WhatsUp Gold HP NNMi WhatsUp Gold Cacti MRTG HP ispi Performance for Metrics WhatsUp Gold ( ) Open source Agent End-to-End

More information

Olav Lundström MicroSCADA Pro Marketing & Sales 2005 ABB - 1-1MRS755673

Olav Lundström MicroSCADA Pro Marketing & Sales 2005 ABB - 1-1MRS755673 Olav Lundström MicroSCADA Pro Marketing & Sales 2005 ABB - 1 - Contents MicroSCADA Pro Portal Marketing and sales Ordering MicroSCADA Pro Partners Club 2005 ABB - 2 - MicroSCADA Pro - Portal Imagine that

More information

Ác Åé å Serial ATA ( Sil3132) S A T A (1) SATA (2) BIOS SATA (3)* RAID BIOS RAID (4) SATA (5) SATA (a) S A T A ( S A T A R A I D ) (b) (c) Windows XP

Ác Åé å Serial ATA ( Sil3132) S A T A (1) SATA (2) BIOS SATA (3)* RAID BIOS RAID (4) SATA (5) SATA (a) S A T A ( S A T A R A I D ) (b) (c) Windows XP Serial ATA ( Sil3132)...2 (1) SATA... 2 (2) B I O S S A T A... 3 (3) RAID BIOS RAID... 6 (4) S A T A... 10 (5) S A T A... 12 Ác Åé å Serial ATA ( Sil3132) S A T A (1) SATA (2) BIOS SATA (3)* RAID BIOS

More information

coverage2.ppt

coverage2.ppt Satellite Tool Kit STK/Coverage STK 82 0715 010-68745117 1 Coverage Definition Figure of Merit 2 STK Basic Grid Assets Interval Description 3 Grid Global Latitude Bounds Longitude Lines Custom Regions

More information

投影片 1

投影片 1 鐵 路 運 輸 之 行 車 制 度 與 運 輸 能 力 前 言 鐵 路 行 車 制 度 之 發 展 過 程 與 分 類 列 車 自 動 控 制 系 統 的 發 展 鐵 路 之 運 輸 能 力 與 路 線 容 量 結 論 與 建 議 現 代 運 輸 學 Chapter 05 鐵 路 運 輸 之 行 車 制 度 與 運 輸 能 力 5-2 前 言 本 章 前 半 部 將 對 於 鐵 路 行 車 制 度

More information

Windows RTEMS 1 Danilliu MMI TCP/IP QEMU i386 QEMU ARM POWERPC i386 IPC PC104 uc/os-ii uc/os MMI TCP/IP i386 PORT Linux ecos Linux ecos ecos eco

Windows RTEMS 1 Danilliu MMI TCP/IP QEMU i386 QEMU ARM POWERPC i386 IPC PC104 uc/os-ii uc/os MMI TCP/IP i386 PORT Linux ecos Linux ecos ecos eco Windows RTEMS 1 Danilliu MMI TCP/IP 80486 QEMU i386 QEMU ARM POWERPC i386 IPC PC104 uc/os-ii uc/os MMI TCP/IP i386 PORT Linux ecos Linux ecos ecos ecos Email www.rtems.com RTEMS ecos RTEMS RTEMS Windows

More information

Chapter 24 DC Battery Sizing

Chapter 24  DC Battery Sizing 26 (Battery Sizing & Discharge Analysis) - 1. 2. 3. ETAP PowerStation IEEE 485 26-1 ETAP PowerStation 4.7 IEEE 485 ETAP PowerStation 26-2 ETAP PowerStation 4.7 26.1 (Study Toolbar) / (Run Battery Sizing

More information

CDWA Mapping. 22 Dublin Core Mapping

CDWA Mapping. 22 Dublin Core Mapping (version 0.23) 1 3... 3 3 3 5 7 10 22 CDWA Mapping. 22 Dublin Core Mapping. 24 26 28 30 33 2 3 X version 0.2 ( ) 4 Int VarcharText byte byte byte Id Int 10 Management Main Code Varchar 30 Code Original

More information

OOAD PowerDesigner OOAD Applying PowerDesigner CASE Tool in OOAD PowerDesigner CASE Tool PowerDesigner PowerDesigner CASE To

OOAD PowerDesigner OOAD Applying PowerDesigner CASE Tool in OOAD PowerDesigner CASE Tool PowerDesigner PowerDesigner CASE To PowerDesigner Applying PowerDesigner CASE Tool in OOAD albertchung@mpinfo.com.tw PowerDesigner CASE Tool PowerDesigner PowerDesigner CASE Tool PowerDesigner CASE Tool CASE Tool PowerDesignerUnified ProcessUMLing

More information

投影片 1

投影片 1 9 1 9-1 Windows XP Windows Server 2003 Mac OS Linux, 都 (OS, Operating System ) 2 3 , 來, 行 3 理 行 4 ,, (UI, User Interface), 滑, 令 列 (CLI, Command-Line Interface) (GUI, Graphical User Interface) 2 5 令 列,

More information

mvc

mvc Build an application Tutor : Michael Pan Application Source codes - - Frameworks Xib files - - Resources - ( ) info.plist - UIKit Framework UIApplication Event status bar, icon... delegation [UIApplication

More information

Designing a Custom AXI Peripheral

Designing a Custom AXI Peripheral 1 2014 Xilinx All Programmable 客户技术培训 1Designing a Custom AXI Peripheral 2014.1 2 16252**slide Introduction What AXI signals do I need and what are their names? How do I design an AXI peripheral? How does

More information

附 件 一 :201 2013IBM 暑 期 实 习 生 项 目 介 绍 2013 蓝 色 之 路 暑 期 实 习 计 划 是 为 即 将 毕 业 于 2014 年 的 本 科 硕 士 博 士 和 MBA 学 生 量 身 打 造 的 暑 期 实 习 计 划 招 聘 几 乎 涵 盖 IBM 所 有 的

附 件 一 :201 2013IBM 暑 期 实 习 生 项 目 介 绍 2013 蓝 色 之 路 暑 期 实 习 计 划 是 为 即 将 毕 业 于 2014 年 的 本 科 硕 士 博 士 和 MBA 学 生 量 身 打 造 的 暑 期 实 习 计 划 招 聘 几 乎 涵 盖 IBM 所 有 的 IBM 2013 年 蓝 色 之 路 暑 期 实 习 生 招 募 计 划 IBM 2013 年 暑 期 实 习 生 项 目 蓝 色 之 路 于 4 月 22 日 正 式 启 动 了! 今 年 的 实 习 生 项 目 将 为 2014 年 应 届 毕 业 本 科 生 研 究 生 博 士 生 MBA 提 供 近 600 余 个 职 位 欢 迎 2014 年 毕 业 的 计 算 机 及 其 相 关 专 业

More information

三維空間之機械手臂虛擬實境模擬

三維空間之機械手臂虛擬實境模擬 VRML Model of 3-D Robot Arm VRML Model of 3-D Robot Arm MATLAB VRML MATLAB Simulink i MATLAB Simulink V-Realm Build Joystick ii Abstract The major purpose of this thesis presents the procedure of VRML

More information

13 A DSS B DSS C DSS D DSS A. B. C. CPU D. 15 A B Cache C Cache D L0 L1 L2 Cache 16 SMP A B. C D 17 A B. C D A B - C - D

13 A DSS B DSS C DSS D DSS A. B. C. CPU D. 15 A B Cache C Cache D L0 L1 L2 Cache 16 SMP A B. C D 17 A B. C D A B - C - D 2008 1 1 A. B. C. D. UML 2 3 2 A. B. C. D. 3 A. B. C. D. UML 4 5 4 A. B. C. D. 5 A. B. C. D. 6 6 A. DES B. RC-5 C. IDEA D. RSA 7 7 A. B. C. D. TCP/IP SSL(Security Socket Layer) 8 8 A. B. C. D. 9 9 A. SET

More information

RunPC2_.doc

RunPC2_.doc PowerBuilder 8 (5) PowerBuilder Client/Server Jaguar Server Jaguar Server Connection Cache Thin Client Internet Connection Pooling EAServer Connection Cache Connection Cache Connection Cache Connection

More information

Our Mission ICAPlants has been working since a long time in industrial automation, developing specific solutions for many industrial purposes to satis

Our Mission ICAPlants has been working since a long time in industrial automation, developing specific solutions for many industrial purposes to satis Tyres Assembly Systems Our Mission ICAPlants has been working since a long time in industrial automation, developing specific solutions for many industrial purposes to satisfy Customers worldwide. Our

More information

USB - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - DES Module FSM CONTROLLER 8 6 8 Key ROM 8 8 Data_in RAM Data_out RAM 8 USB Board - 8 - - 9 - - 10 - - 11 - - 12 - USB device INF Windows INF Device Function

More information

VIDEOJET connect 7000 VJC-7000-90 zh- CHS Operation Manual VIDEOJET connect 7000 zh-chs 3 目 录 1 浏 览 器 连 接 7 1.1 系 统 要 求 7 1.2 建 立 连 接 7 1.2.1 摄 像 机 中 的 密 码 保 护 7 1.3 受 保 护 的 网 络 7 2 系 统 概 述 8 2.1 实 况

More information

(Electronic Data Interchange) (Executive Information System) (Economic Order Quantity) (Enterprise Resource Planning) (Flexible Manufacture System) (F

(Electronic Data Interchange) (Executive Information System) (Economic Order Quantity) (Enterprise Resource Planning) (Flexible Manufacture System) (F (Activity-Based Costing) (Activity-Based Budgeting) (Activity-Base Management) (Advanced Planning and Scheduling) Application Service Provider (Available To Promise) (Bill Of Material) (Business Process

More information

<4D6963726F736F667420576F7264202D20C9CFBAA3BFC6BCBCB4F3D1A7D0C5CFA2D1A7D4BA32303136C4EAC7EFBCBEC8EBD1A7B2A9CABFD7CAB8F1BFBCCAD4CAB5CAA9CFB8D4F22D30343036C8B7B6A8B8E5>

<4D6963726F736F667420576F7264202D20C9CFBAA3BFC6BCBCB4F3D1A7D0C5CFA2D1A7D4BA32303136C4EAC7EFBCBEC8EBD1A7B2A9CABFD7CAB8F1BFBCCAD4CAB5CAA9CFB8D4F22D30343036C8B7B6A8B8E5> 上 海 科 技 大 学 信 息 科 学 与 技 术 学 院 年 度 博 士 资 格 考 试 实 施 细 则 一 总 则 本 细 则 由 上 海 科 技 大 学 信 息 科 学 与 技 术 学 院 ( 以 下 简 称 信 息 学 院 ) 教 学 指 导 委 员 会 讨 论 制 定, 适 用 于 信 息 学 院 2014 级 硕 士 研 究 生 的 年 度 博 士 资 格 考 试 信 息 学 院 2014

More information

案例正文:(幼圆、小三、加粗)(全文段前与段后0

案例正文:(幼圆、小三、加粗)(全文段前与段后0 案 例 正 文 : 1 中 国 农 业 银 行 FMIS 系 统 开 发 摘 要 : 本 案 例 描 述 一 家 大 型 商 业 银 行 自 主 开 发 战 略 性 管 理 信 息 系 统 的 过 程 该 系 统 不 仅 规 模 大, 而 且 业 务 类 型 复 杂, 项 目 启 动 时 的 系 统 目 标 具 有 高 度 不 确 定 性 项 目 独 特 之 处 是 业 务 专 家 在 项 目 中

More information

FAQ -PowerDesigner9.5.DOC

FAQ -PowerDesigner9.5.DOC PowerDesigner 9.5 FAQ 1. PowerDesigner PowerDesigner PowerDesigner (CASE Tool,Computer Aided Software Engineering) PowerDesigner 1989 9.5 2. PowerDesigner PowerDesigner Internet ( Java) PowerDesigner 7.0

More information

PTS7_Manual.PDF

PTS7_Manual.PDF User Manual Soliton Technologies CO., LTD www.soliton.com.tw - PCI V2.2. - PCI 32-bit / 33MHz * 2 - Zero Skew CLK Signal Generator. - (each Slot). -. - PCI. - Hot-Swap - DOS, Windows 98/2000/XP, Linux

More information

Multi-national Company Operation and Public...

Multi-national Company Operation and Public... Multi-national company operation and Information platform 跨 国 企 业 运 营 模 对 公 共 信 息 平 台 的 需 求 1 运 营 管 理 的 范 围 : 运 营 战 略 运 营 结 构 框 架 企 业 核 心 竞 争 力 和 挑 战 运 营 绩 效 评 估 及 市 场 标 竿 分 析 总 计 划 流 程 总 产 量 计 划 设 计 销

More information

Oracle 4

Oracle 4 Oracle 4 01 04 Oracle 07 Oracle Oracle Instance Oracle Instance Oracle Instance Oracle Database Oracle Database Instance Parameter File Pfile Instance Instance Instance Instance Oracle Instance System

More information

<4D6963726F736F667420506F776572506F696E74202D20C8EDBCFEBCDCB9B9CAA6D1D0D0DEBDB2D7F92E707074>

<4D6963726F736F667420506F776572506F696E74202D20C8EDBCFEBCDCB9B9CAA6D1D0D0DEBDB2D7F92E707074> 软 件 架 构 师 研 修 讲 座 胡 协 刚 软 件 架 构 师 UML/RUP 专 家 szjinco@public.szptt.net.cn 中 国 软 件 架 构 师 网 东 软 培 训 中 心 小 故 事 : 七 人 分 粥 当 前 软 件 团 队 的 开 发 现 状 和 面 临 的 问 题 软 件 项 目 的 特 点 解 决 之 道 : 从 瀑 布 模 型 到 迭 代 模 型 解 决 项

More information

Master Thesis_專門用來製作目錄.doc

Master Thesis_專門用來製作目錄.doc Introduction All-IP [1-4] All-IP packet switching long delay time, jitter packet loss All-IP Budget-Based QoS End-to-End QoS (real time on demand) 1 1.1 Circuit Switching Packet Switching DWDM IP VoIP

More information

EJB-Programming-3.PDF

EJB-Programming-3.PDF :, JBuilder EJB 2.x CMP EJB Relationships JBuilder EJB Test Client EJB EJB Seminar CMP Entity Beans Value Object Design Pattern J2EE Design Patterns Value Object Value Object Factory J2EE EJB Test Client

More information

ERP-1

ERP-1 IEEM 3041 ERP Enterprise Resource Planning ERP The Chain from Original Supply to Final Consumption INFORMATION FLOW Transfer Transfer Transfer Transfer Supplier Manufacturing Distribution Retail Outlet

More information

第二十四屆全國學術研討會論文中文格式摘要

第二十四屆全國學術研討會論文中文格式摘要 以 田 口 動 態 法 設 計 物 理 治 療 用 牽 引 機 與 機 構 改 善 1, 2 簡 志 達 馮 榮 豐 1 國 立 高 雄 第 一 科 技 大 學 機 械 與 自 動 化 工 程 系 2 傑 邁 電 子 股 份 有 限 公 司 1 摘 要 物 理 治 療 用 牽 引 機 的 主 要 功 能 是 將 兩 脊 椎 骨 之 距 離 拉 開, 使 神 經 根 不 致 受 到 壓 迫 該 類 牽

More information

致 谢 本 论 文 能 得 以 完 成, 首 先 要 感 谢 我 的 导 师 胡 曙 中 教 授 正 是 他 的 悉 心 指 导 和 关 怀 下, 我 才 能 够 最 终 选 定 了 研 究 方 向, 确 定 了 论 文 题 目, 并 逐 步 深 化 了 对 研 究 课 题 的 认 识, 从 而 一

致 谢 本 论 文 能 得 以 完 成, 首 先 要 感 谢 我 的 导 师 胡 曙 中 教 授 正 是 他 的 悉 心 指 导 和 关 怀 下, 我 才 能 够 最 终 选 定 了 研 究 方 向, 确 定 了 论 文 题 目, 并 逐 步 深 化 了 对 研 究 课 题 的 认 识, 从 而 一 中 美 国 际 新 闻 的 叙 事 学 比 较 分 析 以 英 伊 水 兵 事 件 为 例 A Comparative Analysis on Narration of Sino-US International News Case Study:UK-Iran Marine Issue 姓 名 : 李 英 专 业 : 新 闻 学 学 号 : 05390 指 导 老 师 : 胡 曙 中 教 授 上 海

More information

Cadence Poqi

Cadence Poqi Cadence Poqi055 2002-7-10 1 Allegro SI PCB 1 Cadence SI Allegro PCB *.brd SpecctreQuest *.brd SigXplore SigXplore 2 PowerPCB Aleegro PowerPCb PCB Export File Export ASCII *.asc 1.1 1.1 PowerPCB ASC 1.2

More information

Microsoft Word - 103-4 記錄附件

Microsoft Word - 103-4 記錄附件 國 立 虎 尾 技 大 103 年 度 第 4 次 教 務 會 議 記 錄 附 件 中 華 民 國 104 年 6 月 16 日 受 文 者 : 國 立 虎 尾 技 大 發 文 日 期 : 中 華 民 國 104 年 5 月 28 日 發 文 字 號 : 臺 教 技 ( 二 ) 字 第 1040058590 號 速 別 : 最 速 件 密 等 及 解 密 條 件 或 保 密 期 限 : 附 件 :

More information

内容 Outline 1 中国机动化进程及其影响 Motorization and its effects 2 中国道路交通安全发展及形势 Road traffic development and trends 3 中国客车特大事故特征及政策回顾 Fatal crash analysis and s

内容 Outline 1 中国机动化进程及其影响 Motorization and its effects 2 中国道路交通安全发展及形势 Road traffic development and trends 3 中国客车特大事故特征及政策回顾 Fatal crash analysis and s 城市交通发展中的道路安全研讨会 唐琤琤博士/研究员 张建军副研究员 胡晗副研究员 交通运输部公路科学研究院 交通运输部公路交通安全工程研究中心 交通运输部公路交通安全技术行业重点实验室 2013年12月19日 内容 Outline 1 中国机动化进程及其影响 Motorization and its effects 2 中国道路交通安全发展及形势 Road traffic development and

More information

<B7E2C3E6B7E2B5D72E6169>

<B7E2C3E6B7E2B5D72E6169> AS-INTERFACE AS-INTERFACE PROVEN IN USE AS-INTERFACE AS-INTERFACE System configuration and product overview AS-Interface AS-Interface AS-Interface AS-Interface Safety AS-Interface IEC61508 EN954-1 TUV

More information

PROFIBUS3.doc

PROFIBUS3.doc PLC PLC ProfiBus 3. PROFIBUS-DP PROFIBUS-DP PROFIBUS-DP PROFIBUS S7 STEP7 SIMATIC NET S5 COM PROFIBUS COM5431 PROFIBUS-DP GSD GSD *.GSD *. GSE GSD S7 STEP7 PROFIBUS DP S7-400 CPU416-2DP S7-200 PROFIBUS

More information

南華大學數位論文

南華大學數位論文 A THESIS FOR THE DEGREE OF MASTER OF BUSINESS ADMINISTRATION GRADUATE INSTITUTE IN PUBLISHING NAN HUA UNIVERSITY THE OPERATION MODELS OF WRITERS PRESSES IN TAIWAN ADVISOR: PH.D. CHEN CHUN-JUNG GRADUATE

More information

OSWorkflow Documentation

OSWorkflow Documentation OSWorkflow Documentation Update Time: 05/09/15 OSWorkflow Java workflow engine API 理 flow 行 XML 來 流 Database UI 不 流 GUI Designer end user 行 JSP+Servlet 行 OSWorkflow 2.8 說 2.7 2.7 了 OSWorkflow library library

More information

untitled

untitled rxli@public.wh.hb.cn http://idc.hust.edu.cn/~rxli/ 2 3 ( ) (Distributed System) Integrated System () 4 5 6 System Integration 7 8 Integrated System 9 1.1 CIMS IDEF CSCW STEP MIS MRPII ERP CRM SCM MIS:

More information

FEJC **.pdf

FEJC **.pdf 1 2 6 12 17 21 25 31 36 37 1 Vol.C3 No.1 2011 Present Status and Future Outlook for Smart Communities Kenzo Sugai Naoto Kobayashi Jimpei Kuwayama 2 Vol.C3 No.1 2011 3 Vol.C3 No.1 20114 Vol.C3 No.1 2011

More information

Chinese oil import policies and reforms 随 着 经 济 的 发 展, 目 前 中 国 石 油 消 费 总 量 已 经 跃 居 世 界 第 二 作 为 一 个 负 责 任 的 大 国, 中 国 正 在 积 极 推 进 能 源 进 口 多 元 化, 鼓 励 替 代

Chinese oil import policies and reforms 随 着 经 济 的 发 展, 目 前 中 国 石 油 消 费 总 量 已 经 跃 居 世 界 第 二 作 为 一 个 负 责 任 的 大 国, 中 国 正 在 积 极 推 进 能 源 进 口 多 元 化, 鼓 励 替 代 Chinese oil import policies and reforms SINOPEC EDRI 2014.8 Chinese oil import policies and reforms 随 着 经 济 的 发 展, 目 前 中 国 石 油 消 费 总 量 已 经 跃 居 世 界 第 二 作 为 一 个 负 责 任 的 大 国, 中 国 正 在 积 极 推 进 能 源 进 口 多 元 化,

More information

ebook140-9

ebook140-9 9 VPN VPN Novell BorderManager Windows NT PPTP V P N L A V P N V N P I n t e r n e t V P N 9.1 V P N Windows 98 Windows PPTP VPN Novell BorderManager T M I P s e c Wi n d o w s I n t e r n e t I S P I

More information

Microsoft Word - 刘 慧 板.doc

Microsoft Word - 刘  慧 板.doc 中 国 环 境 科 学 2012,32(5):933~941 China Environmental Science 系 统 动 力 学 在 空 港 区 域 规 划 环 境 影 响 评 价 中 的 应 用 刘 慧 1,2, 郭 怀 成 1*, 盛 虎 1, 都 小 尚 1,3, 李 娜 1 1, 杨 永 辉 (1. 北 京 大 学 环 境 科 学 与 工 程 学 院, 北 京 100871; 2.

More information

UDC 厦门大学博硕士论文摘要库

UDC 厦门大学博硕士论文摘要库 10384 9924012 UDC 2002 5 2002 2002 2002 5 1 Study on High Speed Switch System and Their ASIC Frontend Design Thesis for MS By Shuicheng Cai Supervisor: Prof. Donghui Guo Department of Physics Xiamen Unviersity

More information

ERP ERP ERP ERP ERP 13

ERP ERP ERP ERP ERP 13 20081521051 08 ) ERP 2012 05 06 ERP ERP ERP ERP ERP 13 Title Comprehensive Budget Management Related Issues Under the ERP Environment Research Abstract The overall budget management has been introduced

More information