Huawei Technologies Co

Similar documents
ebook122-11

2. initial always initial always 0 always initial always fork module initial always 2 module clk_gen_demo(clock1,clock2); output clock1,clock2; reg cl

z x / +/- < >< >< >< >< > 3 b10x b10x 0~9,a~f,A~F, 0~9,a~f,A~F, x,x,z,z,?,_ x,x,z,z,?,_ h H 0~9,_ 0~9,_ d D 0~7,x,X,z,Z

第一章.doc

untitled

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如

2

untitled

邏輯分析儀的概念與原理-展示版

a b c d e f g C2 C1 2

Microsoft Word - FPGA的学习流程.doc

ebook122-3

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

IC芯片自主创新设计实验

7. 小 星 星 一 閃 一 閃 亮 晶 晶, 滿 天 都 是 小 星 星 ; 掛 在 天 空 放 光 明, 好 像 許 多 小 眼 睛 ; 一 閃 一 閃 亮 晶 晶, 滿 天 都 是 小 星 星

第一章

untitled

untitled

PowerPoint Presentation

穨R _report.PDF

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x

【主持人】:给大家介绍一下,这次的培训是我们画刊部的第三次培训,当然今天特别有幸请来著吊的摄影家李少白老师给我们讲课


了 波 涛 和 号 声 袁 读 者 很 容 易 就 进 入 广 州 城 的 水 上 旅 途 袁 进 入 一 座 野 水 上 名 城 冶 的 传 说 中 去 遥 于 是 袁 一 座 名 城 往 事 充 满 了 漂 流 感 袁 旋 律 自 水 上 而 来 袁 我 们 就 这 样 来 到 了 往 事 的

壹、摘 要

B 6 A A N A S A +V B B B +V 2

"#$% & ( )*+,,, -+./01 234,+536,, : 3 ; 33 < =>5+ +,,,%B?B6B B? )-,,,>-% ) ) ) ) ) C C )>4,D--?> -&6+ )5 +4 )+B, +,,-- +,,-- )-(4,,, )

Tel:

由社會發展趨勢探討國人睡眠品質

DPJJX1.DOC

Microsoft Word 養生與保健_中山大學_講義


萬里社區老人健康照護手冊

Microsoft Word - 強制汽車責任保險承保及理賠作業處理辦法 doc

Microsoft Word - 06.Understanding of Pregnancy and Birth.doc

(➂)11. 炎 炎 夏 日, 即 使 下 起 滂 沱 大 雨, 都 消 除 不 了 令 人 心 煩 的 暑 氣 這 句 話 主 要 想 表 達 什 麼? ➀ 夏 日 裡 經 常 下 著 滂 沱 大 雨, 令 人 心 煩 ➁ 下 著 滂 沱 大 雨 的 日 子, 可 以 消 除 暑 氣 ➂ 夏 日

範本檔

附 件 一 : 办 理 集 中 式 银 期 转 账 业 务 网 点 名 单 序 号 地 区 网 点 名 称 地 址 联 系 人 电 话 23 工 商 银 行 安 徽 省 铜 陵 百 大 支 行 铜 陵 市 长 江 东 路 50 号 鲁 桂 珍 工 商 银 行 安 徽

2. 二 年 級 吳 毓 秀 老 師 : 感 謝 午 餐 公 司 平 時 均 能 準 時 送 餐, 但 希 望 能 不 要 使 用 加 工 品, 且 學 生 反 映 希 望 能 多 加 蛋 品 的 食 物 3. 三 年 級 柯 阿 青 老 師 : 雞 肉 有 血 水 味, 請 午 餐 公 司 能 調

高雄市立五福國民中學九十四學年度第一學期第三次段考二年級本國語文學習領域試題卷

人 物 春 秋 杨 永 泰 将 其 削 藩 策 略 概 括 为 : 以 经 济 方 法 瓦 解 冯 玉 祥 的 第 二 集 团 军, 以 政 治 方 法 解 决 阎 锡 山 的 第 3 集 团 军, 以 军 事 方 法 解 决 李 宗 仁 的 第 四 集 团 军, 以 外 交 方 法 对 付 张 学

台北老爺校外實地參訪結案報告


糖尿病食譜



,,,,,,, (,, ),,,,,,,,,,,,,,, ,,, 4 11,, ( ),,,, ( ), :, ( ),,, 1995, 66 ; ( ),, 1996, , 3-4,,


2002 4,,, 1941,,,,,,,,,,,,,,,,,, : ;:, 1991,

untitled

<4D F736F F D20D0C5CFA2BBAFB7A2D5B9D6D8B5E3D7A8CFEEB9E6BBAE2E646F63>

? 這 全 都 是 市 政 府 提 供 給 我 的 資 料 低 底 盤 公 車 計 畫 96 年 預 算 新 台 幣 4,500 萬 元 97 年 預 算 新 台 幣 1 億 6,500 萬 元 98 年 預 算 新 台 幣 3 億 2,300 萬 元, 共 有 307 台 低 底 盤 公 車,99

关于建立境内违法互联网站黑名单管理制度的通知

PTS7_Manual.PDF

CC213

行业

audiogram3 Owners Manual

!"#!$ %!$"" %%$&&''!(!)!*+,,!%*& -./ *##)' * %$" ',!*+/!*+ / - 0,1 #$*#2 #$*#2'' *($#3 *($#3'' -. 45,67!&$#6)*$ , ' 189


untitled

JLX

T

untitled

姓名

384 : FPGA O-QPSK O-QPSK Fig.1 ProcessofO-QPSK modulationanddemodulation 3 O-QPSK FPGA d Iout d Q Indarrange clk d arrange 20 nsclr

逢甲大學

程式人雜誌

目次 

行业

行业

untitled

則 此 圖 片 約 需 佔 用 多 少 儲 存 空 間? M B y t e s M B y t e s M B y t e s M B y t e s 9. ( 3 ) 在 M i c r o s o f t E x c e


RS-232C [11-13] 1 1 (PLC) (HMI) Visual Basic (PLC) 402

C/C++ - 文件IO

1 CPU

行业

untitled

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi

LK110_ck

行业

《手机维修原理及维修实例详解》目录

untitled

科学计算的语言-FORTRAN95

逢 甲 大 學

epub 61-2

Microsoft Word - GTC doc

D/A DAC ( 1us) (10~20 ) DAC0832 1

附件1:

Quality of Life 1 TEIJIN CSR Report 2012

___证券投资基金招募说明书1

实 施 其 他 法 律 行 为 ; (15) 选 择 更 换 律 师 事 务 所 会 计 师 事 务 所 证 券 经 纪 商 或 其 他 为 基 金 提 供 服 务 的 外 部 机 构 ; (16) 在 符 合 有 关 法 律 法 规 的 前 提 下, 制 订 和 调 整 有 关 基 金 认 购 申

<4D F736F F D20B9F9B0EABBCDBBAFAB48DEB3B4C1A5BDB3F8A7692E646F63>

(Microsoft Word - \256g\275b\252\354\305\351\305\347.doc)

计算机网络

untitled

untitled

untitled

Microsoft Word SRS - 軟體需求規格.doc

生产工艺难突破制约草铵膦行业发展

Transcription:

Testbench Preliminary itator

1 TESTBENCH... 3 2 TESTBENCH... 3 2.1 Testbench... 3 2.2... 4 2.2.1 HDL... 4 2.2.2... 5 2.2.3 PLI... 5 2.3... 6 2.4... 6 2.4.1... 6 2.4.2... 7 3 TESTBENCH... 9 3.1 2-4... 9 3.2... 10 4 testbench... 12 4.1 BFM... 13 2

Testbench_Preliminary Testbench TESTBENCH 1 TESTBENCH TESTBENCH HDL TESTBENCH 1 2 3 2 TESTBENCH 2.1 Testbench module test_bench ; // Testbench always module Testbench NOT U1(. I ( in_ ),. O ( out_ ) ) ; I O in_ out_ 3

NOT U2 ( in_, out_ ); in_ out_ NOT 2.2 2.2.1 HDL HDL HDL CPU / / CPU cs_n = 1 ; // CPU wr_n = 1 ; rd_n = 1 ; addr = 8'hxx ; data = 8'hzz ; # 1000 ; // cs_n = 0 ; wr_n = 0 ; addr = 8'h80 ; data = 8'h00 ; # 100 ; cs_n = 1 ; wr_n = 1 ; 10 addr = 8'hxx ; data = 8'hzz ; 4

2.2.2 HDL IP HDLHDL C/C verilog $readmemb/$readmemh verilog memory verilog memory C verilog 2.2.3 PLI C C PLI program language interface ) PLI C HDL C HDL PLI C HDL PLI 5

2.3 LOG LOG LOG 2.4 2.4.1 1 50 Clk = 0 ; # delay ; forever #(period/2) Clk = ~ Clk ; z z z 2 always Clk = 0 ; always # (period/2) Clk = ~ Clk ; 6

3 repeat Clk = 0 ; repeat ( 6 ) #(period/2) Clk = ~ Clk ; repeat 3 4 50% Clk = 0 ; always # 3 Clk = ~ Clk ; # 2 Clk = ~ Clk ; 2.4.2 1 Rst = 1 ; # 100 ; Rst = 0 ; # 500 ; Rst 1 7

2 1 Rst = 1 ; @( negedge Clk) ; Rst = 0 ; # 30 ; @( negedge Clk) ; Rst = 1 ; // // 2 2 Rst = 1 ; @( negedge Clk) ; // repeat ( 3 ) @( negedge Clk) ; Rst = 1 ; // 3 8

3 TESTBENCH 3.1 2-4 2-4 TESTBENCH `timescale 1ns/100ps module dec2x4 ( A, B, Enable, Z ) ; input A, B, Enable ; output [3:0] Z ; always @( A or B or Enable ) if ( Enable == 1'b0 ) Z = 4'b1111 ; else case ( A, B ) 2'b00 : Z = 4'b1110 ; 2'b01 : Z = 4'b1101 ; 2'b10 : Z = 4'b1011 ; 2'b11 : Z = 4'b0111 ; default : Z = 4'b1111 case module module testbench ; reg a, b, en ; wire [3:0] z ; // dec2x4 DUT (. A ( a ),. B ( b ),. Enable ( en ),. Z ( z ) 9

); // en = 0 ; a = 0 ; b = 0 ; #10 en = 1 ; #10 b = 1 ; #10 a = 1 ; #10 b = 0 ; #10 a = 0 ; #10 $stop ; // always @(en or a or b or z) $display ("At time %t, input is %b%b%b, output is %b", $time, a, b, en, z ) ; module At time 0, input is 000, output is 1111 At time 10, input is 001, output is 1110 At time 20, input is 011, output is 1101 At time 30, input is 111, output is 0111 At time 40, input is 101, output is 1011 At time 50, input is 001, output is 1110 3.2 1 module Count3_ls (Data, Clock, Detect3_ls ) ; input Data, Clock; output Detect3_ ls ; integer Count ; 10

reg Detect3_1s ; Count = 0; Detect3_ls = 0; always @(posedge Clock) if ( Data == 1) Count = Count + 1; else Count = 0; if (Count >= 3) Detect3_ls = 1 ; else Detect3_ls = 0 ; module module test ; reg Data, Clock, Detect ; integer Out_File ; / / Count3_ls DUT (Data, Clock, Detect ) ; Clock = 0 ; forever #5 Clock = ~ Clock ; Data = 0 ; #5 Data = 1; #40 Data = 0; #10 Data = 1; #40 Data = 0; 11

#20 $stop; // // Out_file = $fopen ( "results.txt"); // always @(posedge Clock) if ( Detect == 1'b1 ) $fwrite ( Out_file, "At time %t, Detect out is 1\n"); module 4 testbench testbench testbench testbench harness testcase Testcase Reusable Verification Components Harness Bus Funct. Model DUV Bus Funct. Model RAM harness module testcase testcase harness Testbench 12

Testbench testbench 4.1 BFM BFM Bus functional model BFM testcase C++ C++ read() write() Bus Functional Model addr data cs rd wr CPU CPU CPU 13