Microsoft PowerPoint - chap05.ppt

Similar documents
untitled

Edge-Triggered Rising Edge-Triggered ( Falling Edge-Triggered ( Unit 11 Latches and Flip-Flops 3 Timing for D Flip-Flop (Falling-Edge Trigger) Unit 11

2. initial always initial always 0 always initial always fork module initial always 2 module clk_gen_demo(clock1,clock2); output clock1,clock2; reg cl

untitled

z x / +/- < >< >< >< >< > 3 b10x b10x 0~9,a~f,A~F, 0~9,a~f,A~F, x,x,z,z,?,_ x,x,z,z,?,_ h H 0~9,_ 0~9,_ d D 0~7,x,X,z,Z

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如

Huawei Technologies Co

Microsoft Word - FPGA的学习流程.doc

0 0 = 1 0 = 0 1 = = 1 1 = 0 0 = 1

Microsoft PowerPoint - chap04.ppt

untitled

第一章.doc

Microsoft PowerPoint - STU_EC_Ch08.ppt

D-Type entity D_FF is D :in std_logic; CLK :in std_logic; Q :out std_logic); end D_FF; architecture a of D_FF is process(clk,d) if CLK'EVENT and CLK =

1 1

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

Microsoft Word 职称安排修改 于.docx

程式人雜誌

論鄭玄對《禮記‧月令》的考辨

穨R _report.PDF

A 1 (T65C 1) ; (T65C 1) A (T65C 1) ( ~ 1) A (T65C 1) A (T96B 3) A (T96B 3) 1 (T96B 3) 0.8

ebook122-11

IC芯片自主创新设计实验

Microsoft PowerPoint - LD_LAB_intro

,,,,,,,,,,,,, :,, ;,,,,, ( ),,,, : ( ) ; ( ) ; ( ) ( ) ; ( ) ( A ) ; ( ) ( ),,,,,,, 80

a b c d e f g C2 C1 2

成 都 诗 词 田 正 中 水 调 歌 头 感 丙 戌 金 秋 风 树 生 凉 意, 胸 次 觉 清 新 园 中 丹 桂 撑 月, 雏 菊 傲 霜 芬 情 系 南 飞 北 雁, 坐 爱 枫 林 醉 染, 秋 色 更 迷 人 歌 故 早 相 约, 览 胜 宝 宾 村 巨 龙 腾, 金 风 翥, 气 凌

ebook122-3

PowerPoint Presentation

<4D F736F F D C4EAB9FABCD2B9ABCEF1D4B1D0D0D5FEC4DCC1A6B2E2D1E9A3A841C0E0A3A92E646F63>

組合邏輯的設計

VHDL(Statements) (Sequential Statement) (Concurrent Statement) VHDL (Architecture)VHDL (PROCESS)(Sub-program) 2

7. 小 星 星 一 閃 一 閃 亮 晶 晶, 滿 天 都 是 小 星 星 ; 掛 在 天 空 放 光 明, 好 像 許 多 小 眼 睛 ; 一 閃 一 閃 亮 晶 晶, 滿 天 都 是 小 星 星


untitled

第一章

目 录 前 言 3 第 一 部 分 学 院 基 本 情 况... 4 一 学 院 简 介... 4 二 2015 届 毕 业 生 基 本 情 况... 6 第 二 部 分 毕 业 生 就 业 创 业 工 作 开 展 情 况... 9 一 领 导 高 度 重 视, 健 全 机 制... 9 二 多 方

VN-Cover

題目預覽~教師專用

FSM Pandeng



2006年国家公务员招录考试行测真题(A)

untitled

User

untitled

标题


馬偕醫學院 學生事務工作簡報

2016 年 地 质 工 程 系 教 学 工 作 安 排 2016 学 年 我 系 将 在 总 结 过 去 工 作 的 基 础 上, 结 合 今 年 学 院 以 抓 质 量 强 内 涵 促 改 革 调 结 构 建 品 牌 细 管 理 重 过 程 为 宗 旨, 以 规 范 管 理 深 化 内 涵 为

<4D F736F F D203136BCADBBD8D2E4D3EBD1D0BEBF2E646F63>

萧山中学课程建设方案.doc


Microsoft Word - 9pinggb_A4.doc

Microsoft Word - 9pinggb_A4-f4.doc

理 论 探 索 事 业 单 位 改 革 的 五 点 思 考 余 路 [ 摘 要 ] 事 业 单 位 改 革 是 中 国 改 革 的 重 要 环 节, 其 影 响 力 和 难 度 不 亚 于 国 有 企 业 改 革 本 文 着 重 围 绕 推 进 事 业 单 位 改 革 应 考 虑 的 五 个 方 面

日 本 位 于 亚 洲 东 部, 太 平 洋 西 北 角, 是 我 国 东 方 的 一 个 岛 国 在 洪 积 世 ( 注 1) 的 大 部 分 时 期 内, 日 本 与 大 陆 相 连 大 约 在 洪 积 世 晚 期 至 冲 积 世 ( 注 2) 初 期, 日 本 各 地 发 生 海 进, 出 现

2深化教育教学改革、创新人才培养模式


Microsoft Word - 9pinggb_let.doc

实 习 上 下 点 表 格 解 释 和 相 关 纪 律 要 求 : 1 表 格 中 所 有 名 词 都 为 简 称, 包 括 医 院 名 称 四 年 级 五 年 级 各 专 业 名 称 等 所 有 时 间 都 为 学 生 装 好 行 李 出 发 时 间, 请 提 前 0 分 钟 将 行 李 运 到

3 基 金 杠 杆 从 分 级 基 金 的 概 念, 我 们 知 道 了 分 级 基 金 的 A 份 额 是 每 年 获 得 固 定 收 益 的 稳 健 份 额,B 份 额 是 具 有 杠 杆 效 应 的 激 进 份 额 分 级 基 金 中 的 杠 杆 一 般 有 三 类 : 份 额 杠 杆 =(A

简报158期.doc

Microsoft Word - 9pingb5_let.doc

退休權益.ppt [相容模式]

Microsoft Word - 1.《國文》試題評析.doc

Ps22Pdf

$%%& ()*+, %&, %-&&%%,. $ %,, $,, & /$- 0(1 $%%& %& 234 %-%, 5&%6&633 & 3%%, 3-%, %643 -%%% :::; 7<9; %-%, 3$%$ :::;

# $# #!# # # # # # # %# # # &# # # # #! "

zt

Microsoft Word - ACI chapter00-1ed.docx

!""#!$% & # &((! $% ) &((! %" & $!""# & # &((( )# &( &((! # &(((!*+ % *

#$%&% () % ()*% +,-. /01 % + (/) " " " 2- %** -340 $%&% 5!$%&% () % ()*% +,-. /01 % + (/) " " " 2- %** -340 /64 7%,(8(, *--9( ()6 /-,%/,65 :$%&


2013年全国农村妇女科学素质网络竞赛活动总结

目 录 一 概 况... 3 二 针 对 2015 届 毕 业 生 开 展 的 就 业 工 作... 5 三 2015 届 毕 业 生 就 业 情 况 抽 样 调 查 分 析 ( 一 ) 用 人 单 位 类 型 情 况 ( 二 ) 专 业 对 口 率 ( 三 )

Microsoft Word - 梁斌言:2016年度全省职业教育工作会议总结讲话提纲.doc

中 共 广 元 市 食 品 药 品 监 督 管 理 局 党 组 2016 年 机 关 党 的 工 作 要 点 2016 年 是 实 施 十 三 五 规 划 的 开 局 之 年, 是 推 进 全 面 从 严 治 党 的 深 化 之 年, 是 决 胜 脱 贫 攻 坚 的 关 键 之 年 机 关 党 的

“秦火火”玩“火”自焚

简 讯 : 庐 江 县 气 象 监 测 预 警 中 心 主 体 结 构 顺 利 封 顶 肥 西 县 政 府 出 台 乡 镇 气 象 工 作 目 标 管 理 考 核 细 则 庐 江 县 组 织 召 开 乡 镇 气 象 灾 害 防 御 工 作 会 议 长 丰 县 局 积 极 组 织 开 展 无 偿 献

目 录 第 1 章 毕 业 生 就 业 基 本 情 况 沈 阳 化 工 大 学 科 亚 学 院 概 况 毕 业 生 规 模 毕 业 生 结 构 毕 业 生 院 系 分 布 毕 业 生 专 业 分 布

0卷首语.FIT)

版块一 研究生学长对《自然地理学》科目的总结

北 京 化 工 大 学 2014 年 毕 业 生 就 业 质 量 年 度 报 告 高 校 毕 业 生 就 业 工 作 是 教 育 领 域 重 要 的 民 生 工 程, 涉 及 人 民 群 众 切 身 利 益, 关 乎 社 会 和 谐 稳 定 北 京 化 工 大 学 高 度 重 视 毕 业 生 就 业

2014年9月月讯

( 一 ) 毕 业 生 规 模 和 就 业 率 浙 江 警 察 学 院 2014 届 毕 业 生 共 计 542 人, 均 为 本 科 毕 业 生, 其 中 浙 江 省 内 生 源 毕 业 生 516 人, 西 藏 自 治 区 生 源 毕 业 生 26 人 截 至 2014 年 12 月 10 日,

1

就业质量报告工作方案

内 蒙 古 大 学 创 建 于 1957 年, 是 新 中 国 成 立 后 党 和 国 家 在 少 数 民 族 地 区 创 建 最 早 的 综 合 大 学 学 校 1962 年 招 收 研 究 生,1978 年 被 确 定 为 全 国 重 点 大 学,1984 年 获 博 士 学 位 授 权,199

目 录 学 校 概 况... 1 报 告 说 明... 1 第 一 章 毕 业 生 就 业 基 本 情 况... 2 一 毕 业 生 的 觃 模 和 结 构... 2 ( 一 ) 毕 业 生 的 觃 模... 2 ( 二 ) 毕 业 生 结 构... 2 二 就 业 率... 4 ( 一 ) 总 体

目 录 学 校 概 况... 1 报 告 说 明... 1 第 一 章 毕 业 生 就 业 基 本 情 况... 3 一 毕 业 生 的 规 模 和 结 构... 3 ( 一 ) 毕 业 生 的 规 模... 3 ( 二 ) 毕 业 生 结 构... 4 二 就 业 率... 5 ( 一 ) 总 体

南昌职~1

的 通 知 (30) 安 阳 市 人 民 政 府 办 公 室 关 于 印 发 代 市 长 王 新 伟 在 市 长 办 公 会 议 上 讲 话 的 通 知 (33) 大 事 记 安 阳 市 人 民 政 府 大 事 记 (2015 年 11 月 ) (38) 安 阳 市 人 民 政 府 大 事 记 (2

关于成立化学化工学院石油炼制系和应用化学系的通知

<4D F736F F D C4EAD6D0BFBCD3EFCEC4C6C0BCDBD6B8C4CFA3A8B6A8B8E5A3A92E646F63>

中机质协[2016]2

前 言 厦 门 南 洋 职 业 学 院 是 经 福 建 省 人 民 政 府 批 准 正 式 设 立 国 家 教 育 部 备 案 具 有 独 立 颁 发 国 家 承 认 学 历 文 凭 资 格 的 全 日 制 综 合 性 普 通 高 等 院 校, 由 海 内 外 热 心 教 育 的 十 五 位 学 者

目 录

Microsoft Word 职业规划与就业指导正文.doc

Microsoft Word - 会行党_2016_3号.doc

Transcription:

第五章同步序向邏輯 5- 序向電路 同步時脈序向電路

5-2 閂鎖器 SR 閂鎖器 (SR Latch): 由 NOR 閘所構成之 SR 閂鎖器 由 NAND 閘所構成之 SR 閂鎖器 2

具有控制輸入之 SR 閂鎖器 D 型閂鎖器 (D Latch) 3

閂鎖器之符號圖 5-3 正反器 閂鎖器和正反器之時脈響應 4

邊緣觸發 D 型正反器 (Edge- Triggered D Flip-Flop) D 型主僕正反器 D 型正緣觸發正反器 5

D 型邊緣觸發正反器之符號圖 JK 正反器 圖 5-2(a) 之 D 輸入端之電路方程式為 D = JQ + K Q 6

T 型正反器 : 屬互補式之正反器 圖 5-3(b)T 型正反器之 D 輸入端表示式為 D = T Q = TQ + T Q 特性表 表 5- 正反器的特性表 J k JK 正反器 Q(t+) Q(t) 狀態未改變 重置為 設置為 Q (t) 補數輸出 7

正反器之特性表 D 型正反器 D Q(t+) T T 型正反器 Q(t+) 重置為 設置為 Q(t) 狀態未改變 Q (t) 補數輸出 特性方程式 D 型正反器之特性方程式為 Q ( t + ) = D Q ( t + ) = JQ + K Q JK 正反器之特性方程式為 Q ( t + ) = JQ + K Q T 型正反器之特性方程式為 Q ( t + ) = T Q = TQ + T Q 8

直接輸入 : 用來強制正反器變成特殊狀態且與時脈無關 l 包括 (a) 預先設置 (PRESET) 或直接輸入 (direct set) (b) 清除 (clear) 或直接重置 (direct reset) 圖 5-5 是由兩個 D 型正反器及邏輯閘所構成之時控序向電路 9

5-4 時控序向電路分析 狀態方程式 : 圖 5-5 電路之狀態方程式為 A( t + ) = A( t) x( t) + B( t) x( t) B( t + ) = A ( t) x( t) y( t) = [ A( t) + B( t) ] x ( t) 或表示為 A( t + ) = Ax + Bx B( t + ) = A x y = ( A + B) x 狀態表 圖 5-5 的狀態表 目前狀態 A B 輸入 x 次一狀態 A B 輸出 y

另一種形式的狀態表 表 5-3 狀態表的第二種形式 目前狀態 AB 次一狀態 x= x= AB AB 輸出 x= x= y y 狀態圖 表 5-3 的結果可用狀態圖表示如圖 5-6

正反器輸入方程式或稱為輸入函數, 係採用正反器的輸入符號代表輸入方程式的變數而下標則表示正反器輸出的名稱 舉例而言, 敘述一個具有輸入 x 和 y 的 OR 閘連接到正反器的輸入 D, 而它的輸出標示為 Q, 其輸入方程式表示為 D Q = x + 圖 5-5 電路之輸入方程式及輸出方程式可表示為 D = Ax + Bx D y A B = = ( A y A x + B ) x 圖 5-7 具有 D 型正反器的序向電路 2

D 型正反器的分析 圖 5-7 之輸入及輸出方程式為 D A = A x y A( t + ) = A x y 因為對 D 型正反器而言, 其次一狀態與輸 輸入 D 相同 JK 正反器的分析 一個使用 JK 或 T 正反器的序向電路, 其次態值可由下列程序獲得 : 用現態和輸入變數的觀點決定正反器 的輸入方程式 2 列出每一個輸入方程式的二元值 3 使用相對應的正反器特性表決定狀態 表中的次態值 3

圖 5-8 具 JK 正反器之序向電路 圖 5-8 之輸入及狀態方程式 如圖 5-8 所示 電路的輸入方程式為 J K J K A B A B = B = Bx = x = A x + Ax = A x 正反器的特性程式可藉由將 A,B 取代 Q 的名稱而得 A( t + ) = JA + K A B( t + ) = JB + K B 將 J A, K A 代入則 A 的狀態方程式為 : A( t + ) = BA + ( Bx ) A = A B + AB + Ax B( t + ) = x B + ( A x) B = B x + ABx+ A Bx 4

圖 5-8 電路之狀態圖 表 5-4 圖 5-8 電路之狀態表 表 5-4 目前狀態輸入次一狀態正反器輸入 A B x A B J A K A J B K B 5

圖 5-2 由 T 型正反器構成之序向電路 T 型正反器分析 T 型正反器之特性方程式為 Q ( t + ) = T Q = T Q+ TQ 圖 5-2 之輸入及輸出方程式為 T A = Bx T B = x y = AB 而其次態值可由狀態方程式獲得 A( t + ) = ( Bx) A+ ( Bx) A = AB + Ax + ABx B( t + ) = x B 6

表 5-5 圖 5-2 序向電路之狀態表 目前狀態 輸入 次一狀態 輸出 A B x A B y ** 密利和莫爾模型 在密利模型裡, 輸出值是現態和輸入兩者的函數 ; 但在莫爾模型中, 其輸出值僅是現態的函數 討論上述模型時, 有些書籍會稱這兩種序向電路為有限狀態機器 (finite state machine, 縮寫為 FSM), 屬密利模型的序向電路被稱為密利 FSM 或密利機, 屬莫爾模型的序向電路被稱為莫爾 FSM 或莫爾機 圖 5-5 為密利機範例 圖 5-8 及圖 5-2 則為莫耳機範例 7

5-5 序向電路的硬體描述語言 動作模式 (behavioral modeling): 在 Verilog HDL 中, 有兩種動作敘述 : initial 和 always Initial 動作在時間 t= 開始執行,always 動作則是重複地執行直到模擬完成為止 在一個模組中, 可使用關鍵字 initial 和 always 來宣告動作, 伴隨在一個敘述 (statement) 或一個區塊 (block) 敘述前後的關鍵字為 begin 和 end 一個 initial 敘述只執行一次, 它在模擬開始時動作並且在所有敘述執行完成後結束 以下是兩種產生任意運作時脈 (free-running clock) 的可能方式, initial initial begin begin clock= b; clock= b; repeat(3) #3 $finish; # clock= ~ clock; end end always # clock= ~ clock; 8

HDL 範例 5- //Description of D latch(see Fig.5-6) module D-latch(Q,D,control); output Q; input D,control; reg Q; always @(control or D) if (control)q=d; //same as:if (control ==) endmodule HDL 範例 5-2 //D flip-flop module D-FF(Q, D, CLK); output Q; input D, CLK; reg Q; always @(posedge CLK) Q=D; endmodule // D flip-flop with asynchronous reset. module DFF(Q, D, CLK, RST); output Q; input D, CLK,RST; reg Q; always @(posedge CLK or negedge RST) if(~rst)q= b ; //same as:if if(rst ==) else Q=D; endmodule 9

HDL 範例 5-3 //T flip-flop from D flip-flop and gates module TFF(Q,T,CLK, RST); output Q; input T,CLK, RST; wire DT; assign DT = Q ^ T //Instantiate the D flip-flop DFF TF(Q,DT,CLK, RST); Endmodule //JK flip-flop from D flip-flop and gates module JKFF(Q, J, K, CLK, RST); output Q; input J, K, CLK, RST; wire JK; assign JK=(J & ~Q) (~K & Q) HDL 範例 5-3: //Instantiate the D flip-flop DFF JK(Q,JK,CLK, RST); endmodule // D flip-flop module DFF(Q, D, CLK, RST); output Q; input D, CLK, RST; reg Q; always @(posedge CLK or negedge RST) if(~rst)q = b ; else Q = D; endmodule 2

HDL 範例 5-4: //Functional description of JK flip-flop flop module JK-FF FF(J,K,CLK, Q, Qnot ); output Q,Qnot; input J, K, CLK; reg Q; assign Qnot =~ Q; always @(posedge CLK ) case({j,k} {J,K}) 2 b b:q=q Q=Q; 2 b b:q= Q= b b; 2 b b:q= Q= b b; 2 b b:q=~q Q=~Q; endcase endmodule HDL 範例 5-5: / / Mealy state diagram (Fig.5-6) module Mealy-mdl(x, y, CLK, RST); input x, CLK, RST; output y; reg y; reg [ : ] Prstate, Nxtstate; parameter S =2 b, S =2 b, S2 =2 b, S3 =2 b; always @ (posedge CLK or negedge RST) if(~rst)prstate = S; / / Initialize to state S else Prstate = Nxtstate; / /Clock operations always @ (Prstate or x) / /Determine next state case(prstate) 2

HDL 範例 5-5~: S:if if(x) Nxtstate = S; else Nxtstate = S; S:if if(x) Nxtstate = S3; else Nxtstate = S; S2:if if(~x ~x)nxtstate = S; else Nxtstate = S2; S3:if if(~x ~x)nxtstate = S2; else Nxtstate = S; endcase always @ (Prstate or x) x / /Evaluate output case(prstate Prstate) S:y= y=; S:if if(x)y= y= b b; else y = b b; S2:if if(x)y= y= b b; else y = b b; S3:if if(x)y= y= b b; else y = b b; endcase endmodule HDL 範例 5-6: / / Moore state diagram (Fig. 5-9) module Moore-mdl(x, AB, CLK, RST); input x, CLK, RST; output [ : ]AB; reg [ : ] state; parameter S =2 b, S =2 b, S2 =2 b, S3 =2 b; if(~rst)state = S; / / Initialize to state S always @ (posedge CLK or negedge RST) else case(state) S:if(x) state = S;else state = S; S:if(x) state = S2;else state = S3; S2:if(~x)state = S3;else state = S2; S3:if(~x)state = S;else state = S3; endcase assign AB = state endmodule / / output of flip-flops 22

HDL 範例 5-7: / / Structural description of sequential circuit / /See fig. 5-2(a) module Tcircuit(x, y, A, B, CLK, RST); input x, CLK, RST; outout y, A, B; wire TA, TB; / /Flip-flop input equations assign TB = x, TA = x & B; / /Output equation assign y = A & B; / / Instantiate T flip-flops T_FF BF (B, TB, CLK, RST); T_FF AF (A, TA, CLK, RST); endmodule HDL 範例 5-7~: / /T flip-flop module T_FF(Q, T, CLK, RST) output Q; input T, CLK, RST; reg Q; always @ (posedge CLK or negedge RST) if(~rst)q = b; else Q= Q ^ T endmodule / /Stimulus for testing sequential circuit module testtcircuit; reg x, CLK, RST; / /inputs for circuit wire y, A, B; / /output from circuit Tcircuit TC (x, y, A, B, CLK, RST); / /instantiate circuit 23

HDL 範例 5-7~~: initial begin RST = ; CLK = ; #5 RST = ; repeat (6 6) #5 CLK = ~CLK; end initial begin x = ; #5 x = ; repeat (8) # x = ~x; end end module 圖 5-2 HDL 範例 5-7 的模擬輸出 24

5-6 狀態簡化與指定 圖 5-22 狀態圖 表 5-6 圖 5-22 狀態圖之狀態表 目前狀態 a b c d e f g 次一狀態 X = X = a b c d a d e f a f g f a f 輸出 X= X= 25

由表 5-6 可知狀態 g 及 e 為相等狀態, 故圖 5-22 可簡化為 5 個狀態, 如圖 5-23 所示 狀態表簡化 由圖 5-23 可推得表 5-7 目前狀態 a b c d e f 次一狀態 X = X = a b c d a d e f a f e f 輸出 X= X= 26

表 5-8 簡化後之狀態表 目前狀態 a b c d e 次一狀態 X = X = a b c d a d e d a d 輸出 X= X= 表 5-9 三種可能的二進位狀態指定 目前 第一種指定 第二種指定 第三種指定 狀態 二進位 葛雷碼 One-shot a b c d e 27

表 5- 將第一種狀態指定用於簡化後 之狀態表 目前狀態 次一狀態 輸出 x= x= x= x= 5-7 設計程序 同步序向電路的設計程序可摘要如下列步驟 : 從文字敘述及所需要的操作規格, 獲得電 路的狀態圖 2 如果需要, 簡化狀態數量 3 指定狀態的二元值 4 獲得二元編碼的狀態表 5 選擇欲使用的正反器型式 6 推導出已簡化的輸入方程式及輸出方程式 7 繪製邏輯圖 28

設計一個電路可偵測出一串位元中有 三個或更多個連續的 出現 圖 5-24 順序偵測器之狀態圖 表 5- 順序偵測器之狀態表 目前狀態 輸入 次一狀態 輸出 A B x A B y 29

為設計此偵測器電路, 選擇兩個 D 正反器來描述四個狀態, 而且它們的輸出分別為 A 與 B 有一個輸入 x 及一個輸出 y 將表 5- 化簡如下 : 正反器的輸入方程式可直接由 A 與 B 的次態行獲得, 並可表示成最小項的和為 A( t + ) = D B( t + ) = D y( A, B, x) = 簡化後之方程式為 D D A B = Ax + Bx = Ax + B x y = AB A B ( A, B, x) = ( A, B, x) = (6,7) (3,5,7) (,5,7) 3

圖 5-26 順序偵測器之邏輯圖 激勵表 (Excitation Tables) 在設計程序中, 我們通常知道從現態到 次態的轉變, 並且希望能找到造成如此 轉變的正反器輸入條件 有鑑於此, 對 一已知的狀態改變, 我們需要用一個表 來列出必要的輸入條件, 這樣的表稱之 為激勵表 3

表 5-2 正反器之激勵表 JK 正反器之激勵表 T 正反器之激勵表 Q(t) Q(t+) J K Q(t) Q(t+) T x x x x 表 5-3 狀態表及 JK 正反器之輸入 目前狀態 輸入 次一狀態 正反器輸入 A B x A B J A K A J B K B x x x x x x x x x x x x x x x x 32

圖 5-27 J 與 K 輸入方程式的卡諾圖化簡 圖 5-28 使用 JK 正反器之序向電路邏輯圖 33

使用 T 正反器設計 3 位元二進位計數器 表 5-4 3 位元二進位計數器之狀態表 目前狀態次一狀態正反器輸入 A 2 A A A 2 A A T A2 T A T A 34

圖 5-3 3 位元二進位計數器之卡諾圖 圖 5-3 3 位元二進位計數器之邏輯圖 35