KT-SOPCx开发套件简明教程

Similar documents
untitled

图 片 展 示 : 资 源 简 介 : FPGA Altera CycloneII EP2C5T144C8 (4608 个 LE) 2 路 有 源 晶 振 (50M,25M) AS & JTAG 标 准 接 口 VGA 接 口 UART 接 口 蜂 鸣 器 8bit 并 行 DAC 8 路 按 键

逢甲大學

目 录

ICD ICD ICD ICD ICD

逢 甲 大 學

a b c d e f g C2 C1 2

Microsoft Word - 32

(Microsoft Word - \245\274\244\300\246\250\301Z\260\252\247C13.doc)

7 FPGA 99 VGA FPGA Nios II Nios II Fig 1 Block diagram of video tracking system CMOS FPGA 1 FPGA SOPC Nios II CMOS RGB SDRAM Avalon Nios

ARM JTAG实时仿真器安装使用指南

EK-STM32F


1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0

AL-M200 Series

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor

audiogram3 Owners Manual

1 Project New Project 1 2 Windows 1 3 N C test Windows uv2 KEIL uvision2 1 2 New Project Ateml AT89C AT89C51 3 KEIL Demo C C File

NEXT SDT2.51 C:\ARM251 SDT2.51 ARM SDT 2.51 ARM PROJECT MANAGER SDT 2

Microsoft Word - 考试大纲 (2)

B 6 A A N A S A +V B B B +V 2

51 C 51 isp 10 C PCB C C C C KEIL

P3B-F Pentium III/II/Celeron TM

2/14 Buffer I12, /* x=2, buffer = I 1 2 */ Buffer I243, /* x=34, buffer = I 2 43 */ x=56, buffer = I243 Buffer I243I265 code_int(int x, char *buffer)

JTAG ICE PC JTAG ICE JTAG ISP... 5 IDE AVR STUDIO JTAGICE JTAGICE... 12

( RMB100,000)

Oracle Solaris Studio makefile C C++ Fortran IDE Solaris Linux C/C++/Fortran IDE "Project Properties" IDE makefile 1.

2 2 3 DLight CPU I/O DLight Oracle Solaris (DTrace) C/C++ Solaris DLight DTrace DLight DLight DLight C C++ Fortran CPU I/O DLight AM

附件1:

Guide to Install SATA Hard Disks

untitled

USB解决方案.ppt

VHDL(Statements) (Sequential Statement) (Concurrent Statement) VHDL (Architecture)VHDL (PROCESS)(Sub-program) 2


P4VM800_BIOS_CN.p65

邏輯分析儀的概念與原理-展示版

P4V88+_BIOS_CN.p65

圖形10.cdr

Microsoft Word - FPGA的学习流程.doc

Abstract arm linux tool-chain root NET-Start! 2

前言

68369 (ppp quickstart guide)

圖 1. 手 工 搭 棚 [ 與 PCB 印 刷 電 路 板 PCB 除 了 電 路 之 外, 也 放 置 各 種 電 子 零 件 如 圖 2 所 示, 電 子 零 件 與 PCB 的 接 合 方 式 有 二 : 插 件 式 (Pin Through Hole, PT

课外创新研学项目 构想、设计与实现

Support All Industrial Ethernet Standards on Your Next "Drive" Design White Paper

DE0_SOPC_05_2010

Symantec™ Sygate Enterprise Protection 防护代理安装使用指南

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010)

untitled

D-Type entity D_FF is D :in std_logic; CLK :in std_logic; Q :out std_logic); end D_FF; architecture a of D_FF is process(clk,d) if CLK'EVENT and CLK =

r_09hr_practical_guide_kor.pdf

K7VT2_QIG_v3

<4D F736F F D20B5E7D7D3D0C5CFA2C0E0D7A8D2B5C5E0D1F8B7BDB0B8D0DEB6C1D6B8C4CF2E646F63>

IP TCP/IP PC OS µclinux MPEG4 Blackfin DSP MPEG4 IP UDP Winsock I/O DirectShow Filter DirectShow MPEG4 µclinux TCP/IP IP COM, DirectShow I

Bus Hound 5

untitled

专业主干课程与主要专业课程教学大纲(2009年、2011年).doc

384 : FPGA O-QPSK O-QPSK Fig.1 ProcessofO-QPSK modulationanddemodulation 3 O-QPSK FPGA d Iout d Q Indarrange clk d arrange 20 nsclr

FM1935X智能非接触读写器芯片

epub83-1

华恒家庭网关方案

C语言的应用.PDF

System Design and Setup of a Robot to Pass over Steps Abstract In the research, one special type of robots that can pass over steps is designed and se

目录

1.ai

V39用户手册0227.doc

Oracle Oracle Solaris Studio IDE makefile C C++ Fortran makefile IDE Solaris Linux C/C++/Fortran Oracle IDE "P

Chapter 2

PROTEUS VSM

untitled

新建 Microsoft Word 文档.doc

CH01.indd

CC213

大学计算机基础B.doc

第 三 章 流 程 实 践 案 例 手 把 手 第 一 个 工 程 理 清 了 一 些 基 本 概 念, 也 对 开 发 流 程 有 了 一 个 大 体 的 了 解, 特 权 同 学 寻 思 着 下 一 步 该 学 点 什 么 好 呢? 要 达 到 循 序 渐 进 的 效 果, 是 不 可 以 上

<4D F736F F D FB971BEF7BB50B971A46CB8735FB773A55FA5ABA5DFB7E7AADAB0AAAFC5A475B77EC2BEB77EBEC7AED55FA97EAE61C0F4B9D2B4BCBC7AB1B1A8EEA874B2CE2E646F63>

LSI U320 SCSI卡用户手册.doc

TX-NR3030_BAS_Cs_ indd

WebSphere Studio Application Developer IBM Portal Toolkit... 2/21 1. WebSphere Portal Portal WebSphere Application Server stopserver.bat -configfile..

Microsoft Word - MSP430 Launchpad 指导书.docx

MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C

(Guangzhou) AIT Co, Ltd V 110V [ ]! 2

RAID RAID 0 RAID 1 RAID 5 RAID * ( -1)* ( /2)* No Yes Yes Yes A. B. BIOS SATA C. RAID BIOS RAID ( ) D. SATA RAID/AHCI ( ) SATA M.2 SSD ( )

Microsoft Word - 正文.doc

如 果 此 設 備 對 無 線 電 或 電 視 接 收 造 成 有 害 干 擾 ( 此 干 擾 可 由 開 關 設 備 來 做 確 認 ), 用 戶 可 嘗 試 用 以 下 一 種 或 多 種 方 法 來 消 除 這 個 干 擾 : 重 新 調 整 與 確 定 接 收 天 線 方 向 增 大 此 設

Chapter 2 GIGA-BYTE TECHNOLOGY CO., LTD. ("GBT") GBT GBT GBT

VoIP Make a Rtp Call VoIP Abstract... 2 VoIP RTP...3 Socket IP...9 Config Two Voice-hub

錄...1 說...2 說 說...5 六 率 POST PAY PREPAY DEPOSIT 更

目次 

2 Keil µ vision 2.1 1) Keil µ vision2 V2.34 µ vision3 2) Sino_Keil.exe Keil c:\keil\ 3) JET51 USB PC C:\Keil\ USB PC 4) S-L

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x

1 MTK 2 MTK 3 MTK

第七章 中断

典型自编教材

Transcription:

V2.03 2005-9-1 FPGA SOC FPGA/SOPC IT QuartusII NiosII IDE FPGA/SOPC FPGA/SOPC FPGA/SOPC CT-SOPCx FPGA/SOPC CPLD/FPGA www.fpga.com.cn CPLD/FPGA FPGA QuartusII NiosII CPU SOPC SOPC Builder NiosII IDE 1 www.21control.com www.21control.com 1

2

3

4

5

6

7

8

9

10

QuartusIIFPGA ALTERA LPM Symbol FPGA 11

FPGA quartusii KEY1 1 0 LEDKEY1 LED KEY1 LED 1 QuatrusII Q2FileNew Project Wizad 12

Next 13

Next FPGA Family Cyclone FPGAAvailable devices FPGA FPGA Filters Show Advanced Devices 14

EDA Q2 Next Finish 2 FileNew 15

Block Diagram Schematic File OK Block1.bdfFileSave as Add file to current project 3 Symbol 16

Libraries Name OK notinputoutput symbol symbol symbol symbol input output symbol pin_namepin_name1 Key1LED1 4 QuartusII AssignmentsPins 17

tcl Tcl tcl QuartusII name Setup.tcl filefilenew other files tcl QuartusII FPGA.tcl CT-SOPCx 1 EP1C3 #Setup.tcl # Setup pin setting set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED" set_global_assignment -name ENABLE_INIT_DONE_OUTPUT OFF set_location_assignment PIN_6 -to LED1 set_location_assignment PIN_52 -to key1 2 EP1C6 #Setup.tcl # Setup pin setting set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED" set_global_assignment -name ENABLE_INIT_DONE_OUTPUT OFF set_location_assignment PIN_1 -to led1 set_location_assignment PIN_156 -to key1 18

5 AssignmentsDevice 19

FPGA EP1C3T144C8 Device & Pin Options Device & Pin Options Configuration Configuration 20

OK 6 QuartusII Message 7 1 FPGA Q2 ByteBlasterII PC ToolsProgrammer No Hardware Hardware SetupHardware Setup 21

Add HardwareAdd Hardware Hardware type ByteBlasterMV or ByteBlasterII OK Hardware SetupCurrently selected hardware ByteBlasterII[LPT1]Close 2 ByteBlasterIIPCJTAGEPCS1 JTAGEPCS1 Pin1 ByteBlasterII 10 Pin1 3 FPGA 5V 4 JTAG 22

FPGA Mode JTAG.sof Program/ConfigureVerifyBlank Check 5 Flash ByteBlasterII EPCS1 Mode Active Serial Programming.pof LEDD1 Setup.tcl&set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED" EP1C6 V2.0As inputs, tri-stated FlashFPGA LEDD2 FPGA&D1 1KEY1 Assignments->Settings Setting Device & Pin OptionsDevice & Pin Options 23

Unused PinsReserve all unsued pinsdevice & Pin Options QuartusII 8 KEY1 1 0 LED KEY1 LED FPGA QuartusII FPGA LED QuartusII FPGA 24

8 8 VHDL 1. seg7led_test 2. Altera LPM LPM symbol Libraries lpm_counter OK 25

Next 8 Next Next Next Finish LPM_Counter updown1 0 clock aclr q[7..0] 3. FileNew 26

OKVHDLbin27seg.vhdQuartusII entityvhdl library IEEE; use IEEE.std_logic_1164.all; entity bin27seg is port ( data_in : in std_logic_vector (3 downto 0); data_out : out std_logic_vector (6 downto 0) ); end entity; architecture bin27seg_arch of bin27seg is begin process(data_in) begin case data_in is when "0000" => data_out <= "0111111"; -- 0 when "0001" => data_out <= "0000110"; -- 1 when "0010" => data_out <= "1011011"; -- 2 when "0011" => data_out <= "1001111"; -- 3 when "0100" => data_out <= "1100110"; -- 4 when "0101" => data_out <= "1101101"; -- 5 when "0110" => data_out <= "1111100"; -- 6 when "0111" => data_out <= "0000111"; -- 7 when "1000" => data_out <= "1111111"; -- 8 when "1001" => data_out <= "1100111"; -- 9 when "1010" => data_out <= "1110111"; -- A when "1011" => data_out <= "1111100"; -- b when "1100" => data_out <= "1011000"; -- c 27

when "1101" => data_out <= "1011110"; -- d when "1110" => data_out <= "1111001"; -- E when "1111" => data_out <= "1110001"; -- F when others => NULL; end case; end process; end architecture; Q2 ProjectSet as top-level Entity vhd Start Analysis &Synthesis Symbol FileCreate/UpdateCreate Symbol files for current file 4. ProjectSet as top-level Entity symbol Libraries Project OK 28

protel q[3..0] q3 q0 4 q[7..4] inst6 data_in[3..0] inst 4 q[7..4] 5. 6 tcl script tcl 1 EP1C3 tcl CT-SOPCx #Setup.tcl # Setup pin setting for EP1C3 main board set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED" set_global_assignment -name ENABLE_INIT_DONE_OUTPUT OFF set_location_assignment PIN_52 -to key1 set_location_assignment PIN_53 -to key2 set_location_assignment PIN_54 -to reset set_location_assignment PIN_68 -to seg7led1\[0\] set_location_assignment PIN_61 -to seg7led1\[1\] set_location_assignment PIN_56 -to seg7led1\[2\] 29

set_location_assignment PIN_55 -to seg7led1\[3\] set_location_assignment PIN_59 -to seg7led1\[4\] set_location_assignment PIN_67 -to seg7led1\[5\] set_location_assignment PIN_62 -to seg7led1\[6\] set_location_assignment PIN_72 -to seg7led2\[0\] set_location_assignment PIN_69 -to seg7led2\[1\] set_location_assignment PIN_58 -to seg7led2\[2\] set_location_assignment PIN_60 -to seg7led2\[3\] set_location_assignment PIN_57 -to seg7led2\[4\] set_location_assignment PIN_71 -to seg7led2\[5\] set_location_assignment PIN_70 -to seg7led2\[6\] 2 EP1C6 tcl #Setup.tcl # Setup pin setting for EP1C6 main board set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED" set_global_assignment -name ENABLE_INIT_DONE_OUTPUT OFF set_location_assignment PIN_156 -to key1 set_location_assignment PIN_158 -to key2 set_location_assignment PIN_159 -to reset set_location_assignment PIN_169 -to seg7led1\[0\] set_location_assignment PIN_166 -to seg7led1\[1\] set_location_assignment PIN_161 -to seg7led1\[2\] set_location_assignment PIN_160 -to seg7led1\[3\] set_location_assignment PIN_164 -to seg7led1\[4\] set_location_assignment PIN_168 -to seg7led1\[5\] set_location_assignment PIN_167 -to seg7led1\[6\] set_location_assignment PIN_175 -to seg7led2\[0\] set_location_assignment PIN_170 -to seg7led2\[1\] set_location_assignment PIN_163 -to seg7led2\[2\] set_location_assignment PIN_165 -to seg7led2\[3\] set_location_assignment PIN_162 -to seg7led2\[4\] set_location_assignment PIN_174 -to seg7led2\[5\] set_location_assignment PIN_173 -to seg7led2\[6\] 6. ProjectSet as top-level Entity 7. 00 KEY1 KEY2 RESET 30

MCUDSP FPGA 51 ARM MCU DSP FPGA MCUDSPFPGA SOPC MCU + DSP + FPGASOPC MCUDSP FPGA 1 SOPC MCU FPGA 16 32 MCU Altera FPGA CPUNios NiosII ARM 2 SOPC DSPDSP FPGA DSP IP FFT IIRFIRCodec FPGA MPEG4 / DSP 10 DSP Builder IP 3 SOPC FPGASOPC FPGA FPGA MCU DSP MCU+DSP+FPGA ALTERA CycloneStratixStratixII FPGA Altera FPGA SOPC NiosII Altera SOPC Builder DSP DSP Builder 2 31

SOPC FIR DSP FFT Codec NiosII (MCU) 1 n Altera Nios II Nios II Altera FPGA StratixII StratixCyclone 200 DMIPS 60 IP Nios II Nios II Nios II 200 DMIPS FPGA Nios II I/O FPGA NiosII Cyclone FPGA 35 NiosII 1020 FPGA NiosII Nios II 32

Nios II IDE Altera SOPC Builder Quartus II QuartusII NIOS SOPC ALTERA LPM Simbol SOPC Buider NIOS NIOS IDE FPGA FPGA FPGA JTAG RAM 33

QuartusII FPGA FPGA QuartusII NiosII SOPC 1 NiosII 2 NiosII 3 NiosII IDE 4 5 Cyclone PLL LED 2 sopc_led sopc_led QuartusII 3 SOPC Builder NiosII ToolsSOPC Builder niosii_c OK SOPC 34

Target Unspecified Board ClockMHz 50.0 Target Device Family Cyclone SOPC CPU NiosII Processor Altera Corporation Altera NiosII CPU NiosII/e JTAG Debug Module Level1 Finish NiosII CPU niosii cpu_0,:rename 35

On-Chip Memory(RAM or ROM),( Avalon Modules -> Memory -> ) RAM. Memory Type RAMData Width 32bits,Total Memory Size 4K bytes Finish SOPC Builder 36

PIO( Parallel I/O)( Avalon Modules -> Other ) LED Width 2 bits, Finish SOPC Builder 37

38

HDL.Generate system module logic in Verilog, Simulation.Create ModelSim(tm) project files Generate, 39

4 QuartusII niosii QuartusII NiosII CPU Symbol Project niosii_c OK niosii_c 40

5 PLL QuatusII PLL CPU Symbol altpll OK altpll 41

Next Which Device family will you be using?cyclone Which device speed grade will you be usingany What is the frequency of the inclk0 input?25.00mhz 16MHz 16MHz 42

Next Next c0 Use this clock Enter output clock parameters; Clock multiplication factorclock division c0 CPU 50MHz 25MHz 2 1 10MHz 5 1 altpll 43

c1 e0 Finish pll c0 Finish QuartusII PLL 6 clk reset led[1..0] 44

7 tcl 1 EP1C3 tcl CT-SOPCx #Setup.tcl # Setup pin setting for EP1C3 main board set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED" set_global_assignment -name ENABLE_INIT_DONE_OUTPUT OFF set_location_assignment PIN_16 -to clk set_location_assignment PIN_54 -to reset set_location_assignment PIN_6 -to led\[0\] set_location_assignment PIN_7 -to led\[1\] 2 EP1C6 tcl #Setup.tcl # Setup pin setting for EP1C6 main board set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED" set_global_assignment -name ENABLE_INIT_DONE_OUTPUT OFF set_location_assignment PIN_28 -to clk set_location_assignment PIN_159 -to reset set_location_assignment PIN_1 -to led\[0\] set_location_assignment PIN_2 -to led\[1\] 8 FlashEPCS1 EPCS1 FPGA 9 Nios IDE Nios II IDE File -> New -> Project New Project C/C++ Application 45

Next Hello LED SOPC Builder SystemBrowse niosii_c.ptf NiosII IDE 46

Finish Hello_LED_0 hello_led.c 47

int alt_main (void) { alt_u8 le d = 0x2; volatile int i; while (1) { for(i=0;i<300000;i++) ; led = 0x1; *(unsigned int *)PIO_0_BASE = led; for(i=0;i<300000;i++) ; led = 0x2; *(unsigned int *)PIO_0_BASE = led; } return 0; } 10 hello_led_0 Properties Properties for hello_led_0configuration SettingsGeneralOptimization LevelOptimize size (-Os 48

OK hello_led_0 hello_led_0_syslib[niosii_c] hello_led_0_syslib[niosii_c]properties Properties for hello_led_0_syslib Configuration SettingsGeneralOptimization Level Optimize size-os 49

System Library System library Max file descriptors: 4Clean exit (flush buffers)link with profiling libraryreduced device driverssmall C library OK 11 hello_led_0build Project 50

Build completed. 760 Bytes 3336 Bytes niosii_c 4K 12 ByteBlasterII FPGA JTAG 5V RunDebug AsNiosII Hardware NiosII IDE Debug Perspective 51

Resume led C/C++ 13 QuartusII EPCS1 52

QuartusII Processing -> Star Compilation, 14 EPCS1 15 LED RESET QuartusII NiosII IDE SOPC CT-SOPCx FPGA/SOPC FPGA LED LCD LED KEY1 1KEY2 1 LCD PC NiosII EP1C6 EP1C3 EP1C6_ExamplesEP1C3_Examplesall_test 1. 32 NiosII CPU0NiosII/e Level1 2. onchip_memory_032 4Kbyte 3. uart_0 96008 1 4. LED IOpio_led2 Output ports only 5. Timer0 6. IOpio_key2bitsInput ports only 7. LCD pio_led_data8bits Bidirection tri-state ports 8. LCD pio_led_ctl3bitsoutput ports only 9. 7 IOpio_seg7led8bitsOutput ports only LCD SOPC Builder Character LCD 16X2 Optrex 15207 LCD 1602A LCD 8 53

IO LCD 3 IO LCD lcd_e, lcd_rs, lcd_rw Altera Character LCD16X2 Optrex 15207 1602A LCD Nios V1.0EPCS1 COM 9600 8 1 V2.0 V1.0 USBUART CP2102E 2 PROM AT24C32EP1C3 4 Pins IOSPIIO EP1C3 E 2 PROM 4 Pins IOSPIIO USB UART SOPC Cyclone RS232 RS485USB RS232 USB USB V2.0 USB V2.0 USB UART USB 54

USB -40+85 \EP1C6_Examples\all_test_USB 2. 115200 8 1 3. NiosII IDE SSCOM32.EXEsscom.ini SSCOM32.EXE USB 55

CP2102 USB UART COM USB COM COM 115200 8 1 EP1C6 EP1C3 EP1C6_ExamplesEP1C3_Examplesall_test_USB 4. USB EP1C6 V2.0 8Mbyte SDRAM 2Mbyte Flash EPCS1 Flash EP1C6 RAM SDRAM Flash NiosII IDE Flash Programmer 56

/altera/kits/nios2/documents ug_nios2_flash_programmer.pdf Nios IDE Flash \EP1C6_Examples\burn_flash_ep1c6ucosII_test SDRAM uc/os-ii Nios II uc/os-ii uc/os-ii FAA 3 uc/os-ii NiosII uc/os-ii\ep1c6_examples\ucosii_test Task1 Task2uc/OS-II NiosIINiosIIuc/OS-II Jean J. LabrosseMicroC/OS-II The Real-Time Kernel(Second Edition)uc/OS-II 3 uc/os-ii 57

CT-SOPCx Altera Cyclone FPGA FPGA/SOPC 58

CT-SOPCx 59

CT-SOPCx FPGA/SOPC 2 3 4 5 6 7 8 60

1. FPGA CT-SOPCx FPGA/SOPC 1FPGA_led_test FPGA LED FPGA 2seg7led_test QuartusII SOPCNios II 1sopc_led NiosII FPGA LED SOPC 61