利用VHDL設計乘法器

Size: px
Start display at page:

Download "利用VHDL設計乘法器"

Transcription

1 利用 VHDL 設計乘法器 Implement of Multiplier by Using VHDL 許地申 Dih-Shen Hsu 中華技術學院電機系副教授 Associate Professor Department of Electrical Engineering China Institute of Technology 摘要在計算機結構裡加, 減, 乘, 除是常被用到的運算, 本文提出以非常高速積體電路硬體描述語言 (VHDL) 來描述硬體, 說明如何將兩個運算元作相乘的運算 我們首先以無號數整數做乘法運算來說明其原理, 設計其電路結構 其實在 VHDL 程式中, 我們更可以載入 STD_LOGIC_ARITH 與 STD_LOGIC_UNSIGNED 元件盒之後, 直接進行乘法運算, 既簡單又容易擴充 最後, 我們將以 4-bit X 4-bit 的例子來做電路描述 電路合成 電路模擬並以七段顯示器將其結果顯示出來 關鍵字 : 非常高速積體電路硬體描述語言 電路描述 電路合成 電路模擬 Abstract We have known operation that perform addition, subtraction, multiplication, and division. In this paper we are presented primarily to describe hardware using by VHDL. We can explain how multiplication may be performed for two operand. Multiplication of unsigned numbers illustrates the main issues involved in the design of multiplier circuit. In fact, after the STD_LOGIC_ARITH and STD_LOGIC_UNSIGNED packages were added to the VHDL program, it became not only simple but also easy to extended. Next, consider a 4 x 4 example to circuit description, circuit synthesis, and circuit simulation by using VHDL. Finally, this approach can also be displayed by 7-segment. Keyword : VHDL, circuit description, circuit synthesis, circuit simulation - 1 -

2 利用 VHDL 設計乘法器 壹. 簡介 VHDL 是 Very High Speed Integrated Circuit Hardware Description Language 的英文縮寫 是一種快速的電路設計工具, 功能涵蓋了電路描述 電路合成 電路模擬等三大電路設計工作 [4-8] VHDL 原來是由美國國防部於 70 年代開始研究發展的電路設計工具, 並於 1987 年成為 IEEE 的一種標準語言 原先發展的目的是為了將電子電路的設計和其內部的含意, 用文件的方式儲存起來, 以便其他人能夠輕易地了解電路的設計意義 這至少意味著兩種重大的改變 : 設計電路可以透過文字描述的方式, 完成設計工作 電子電路也可以當作文件一樣來儲存 從 VHDL 每年能夠以超過 30% 的速度快速成長便可以知道 VHDL 電路設計語言不但功能強大, 而且能夠滿足各個設計階層的設計工作, 從 ASIC 設計到 PCB 系統設計, 都能夠輕易地達成設計工作者的需求 在產品更換快速的今天,VHDL 可以說是符合市場需求,VHDL 有以下之優點 : 功能強大 設計靈活 有各種不同的描述風格 可流通性與可攜性 本文將先就無號數整數乘法運算, 以四位元乘四位元作解說 接著也可作有號數兩運算元之相乘 ; 其次, 載入 STD_LOGIC_ARITH 與 TD_LOGIC_UNSIGNED 元件盒之後, 直接進行乘法運算 ; 並以七段顯示器將其結果顯示出來 貳. 乘法器基本原理兩個二進位數之相乘如十進位數相乘一樣 於圖 1 為四位元做徒手乘法運算圖 首先由右至左逐次檢查乘數位元是否為 1, 如為 1, 將被乘數做適當地移位至適當的位置 ; 如為 0, 將 0 放置適當位置 其次將所有移位之被乘數求其和即為所得之積, 此積應為八位元 圖 2 為乘法中硬體的運算情形 [1-3], 利用多重加法器來完成 即每一步中一個四位元加法器可用來計算其新的部分乘積 於計算進行時最不重要位元在連續加法中, 並不受到影響 ; 因此他們可直接放到最後之乘積中 - 2 -

3 multiplicand 1000 multiplier X product multiplicand 1000 multiplier X 1001 partial product partial product partial product product 圖 1. 徒手乘法圖 2. 乘法運算架構 参. 乘法器製作概念現在我們可以運用最簡單且直觀的方式來完成所需要的硬體電路描述, 所以在往後的程式中我們使用 AND GATE 來做部分乘積的運算, 使用全加器來計算部分乘積的最後結果 下面為全加器的布林代數式 : S = Cin A B Cout = Cin A + CinB + AB 其中,A B 分別代表兩個輸入運算元 ; 為前一個位元所作的加法進位,S 為 相加後的結果 ; C 為相加後的進位, 也就是連接至下一個全加器的 C 這兩個 out 代數式是全加器中的內部運作, 透過全加器的運算能將所有的部分乘積相加, 以得到我們所要的乘積 C in in 經過上述乘法器原理之解説, 我們可以將電路架構用較直觀的方式表現出來, 如圖 3. 所示 我們將部分乘積分別放到 PPXY 中, 例如 PP00, 即代表乘數中第 0 個位元, 和被乘數第 0 個位元作運算, 其結果放置 PP00, 其他都依此類推 一個全加器有兩個輸出, 一個為 Sum, 另一個為 Cout 所以我們用 PSXY 來表示第 X 列的全加器運算出來的 Sum; 用 PCXY 來表示第 X 列的全加器運算出來的 Cout 必須注意的是上面三列的全加器是用來做部分乘積相加的, 而最底下的一組全加器是用來讓上一列之 PS 和 PC 的輸出, 做完最後運算之後, 再將結果傳送給 P0 至 P7 的 Product, 這就是最後的結果 於是我們就可以直接用這架構圖和一些運算的方式, 利用 VHDL 來寫出乘法器的程式 - 3 -

4 利用 VHDL 設計乘法器 PP13 PP03 PP12 PP02 PP11 PP01 PP10 PP00 PP23 PP22 PP21 PP20 PP33 PP32 PP31 PP30 P7 P6 P5 P4 P3 P2 P1 P0 圖 3. 乘法器電路架構圖 肆. 程式架構根據圖 3. 乘法器電路架構圖, 首先設計 4 X 4 乘法器程式架構如圖 4 其次, 如須擴充至 16 X 16 乘法器, 只須更改常數 N 的設定值如圖 5 我們更可以載入 STD_LOGIC_ARITH 與 STD_LOGIC_UNSIGNED 元件盒之後, 直接進行乘法運算, 既簡單又容易擴充 圖 6. 為簡便 4 X 4 乘法器程式架構 ; 圖 7. 為七段顯示器程式架構 ; 圖 8. 為 4 X 4 乘法器且以七段顯示器顯示之程式架構 ; 圖 9. 簡便 16 X 16 乘法器程式架構 ; 圖 10. 帶符號之簡便 4 X 4 乘法器程式架構 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY mult1_1 IS PORT ( a,b : IN STD_LOGIC_VECTOR(3 DOWNTO 0); - 4 -

5 prod : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)); END mult1_1; ARCHITECTURE mult1_arch OF mult1_1 IS CONSTANT n:integer :=4; SUBTYPE PART IS STD_LOGIC_VECTOR(n-1 DOWNTO 0); TYPE PARTS IS ARRAY(0 TO 4) OF PART; SIGNAL pp,pc,ps:parts; BEGIN pgen:for j IN 0 TO n-1 GENERATE pgen1:for k IN 0 TO n-1 GENERATE pp(j)(k)<=a(k) AND b(j); pc(0)(j)<='0'; pp(4)(3)<='0'; pp(4)(2)<='0'; pp(4)(1)<='0'; pp(4)(0)<='0'; ps(0)<=pp(0); prod(0)<=pp(0)(0); addr:for j IN 1 TO n-1 GENERATE addc:for k IN 0 TO n-2 GENERATE ps(j)(k)<=pp(j)(k) XOR pc(j-1)(k) XOR ps(j-1)(k+1); pc(j)(k)<=(pp(j)(k) AND pc(j-1)(k)) OR (pp(j)(k) AND ps(j-1)(k+1)) OR (pc(j-1)(k) AND ps(j-1)(k+1)); prod(j)<=ps(j)(0); ps(j)(n-1)<=pp(j)(n-1); pc(1)(3)<='0'; pc(2)(3)<='0'; pc(3)(3)<='0'; ps(4)(0)<='0'; - 5 -

6 利用 VHDL 設計乘法器 pc(n)(0)<='0'; addlast:for k IN 1 TO n-1 GENERATE ps(n)(k)<=pc(n)(k-1) XOR pc(n-1)(k-1) XOR ps(n-1)(k); pc(n)(k)<=(pc(n)(k-1) AND pc(n-1)(k-1)) OR (pc(n)(k-1) AND ps(n-1)(k)) OR (pc(n-1)(k-1) AND ps(n-1)(k)); prod(2*n-1)<=pc(n)(n-1); prod(2*n-2 DOWNTO n)<=ps(n)(n-1 DOWNTO 1); END mult1_arch; 圖 4. 4 X 4 乘法器程式架構 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY mult1 IS PORT ( a,b : IN STD_LOGIC_VECTOR(15 DOWNTO 0); prod : OUT STD_LOGIC_VECTOR(31 DOWNTO 0)); END mult1; ARCHITECTURE mult1_arch OF mult1 IS CONSTANT n:integer :=16; SUBTYPE PART IS STD_LOGIC_VECTOR(n-1 DOWNTO 0); TYPE PARTS IS ARRAY(0 TO 16) OF PART; SIGNAL pp,pc,ps:parts; BEGIN pgen:for j IN 0 TO n-1 GENERATE pgen1:for k IN 0 TO n-1 GENERATE pp(j)(k)<=a(k) AND b(j); pc(0)(j)<='0'; - 6 -

7 pp(16)(15)<='0'; pp(16)(14)<='0'; pp(16)(13)<='0'; pp(16)(12)<='0'; pp(16)(11)<='0'; pp(16)(10)<='0'; pp(16)(9)<='0'; pp(16)(8)<='0'; pp(16)(7)<='0'; pp(16)(6)<='0'; pp(16)(5)<='0'; pp(16)(4)<='0'; pp(16)(3)<='0'; pp(16)(2)<='0'; pp(16)(1)<='0'; pp(16)(0)<='0'; ps(0)<=pp(0); prod(0)<=pp(0)(0); addr:for j IN 1 TO n-1 GENERATE addc:for k IN 0 TO n-2 GENERATE ps(j)(k)<=pp(j)(k) XOR pc(j-1)(k) XOR ps(j-1)(k+1); pc(j)(k)<=(pp(j)(k) AND pc(j-1)(k)) OR (pp(j)(k) AND ps(j-1)(k+1)) OR (pc(j-1)(k) AND ps(j-1)(k+1)); prod(j)<=ps(j)(0); ps(j)(n-1)<=pp(j)(n-1); pc(1)(15)<='0'; pc(2)(15)<='0'; pc(3)(15)<='0'; pc(4)(15)<='0'; pc(5)(15)<='0'; pc(6)(15)<='0'; pc(7)(15)<='0'; - 7 -

8 利用 VHDL 設計乘法器 pc(8)(15)<='0'; pc(9)(15)<='0'; pc(10)(15)<='0'; pc(11)(15)<='0'; pc(12)(15)<='0'; pc(13)(15)<='0'; pc(14)(15)<='0'; pc(15)(15)<='0'; ps(16)(0)<='0'; pc(n)(0)<='0'; addlast:for k IN 1 TO n-1 GENERATE ps(n)(k)<=pc(n)(k-1) XOR pc(n-1)(k-1) XOR ps(n-1)(k); pc(n)(k)<=(pc(n)(k-1) AND pc(n-1)(k-1)) OR (pc(n)(k-1) AND ps(n-1)(k)) OR (pc(n-1)(k-1) AND ps(n-1)(k)); prod(2*n-1)<=pc(n)(n-1); prod(2*n-2 DOWNTO n)<=ps(n)(n-1 DOWNTO 1); END mult1_arch; 圖 X 16 乘法器程式架構 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY T3 IS PORT ( a,b : IN STD_LOGIC_VECTOR(3 DOWNTO 0); y : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) );END T3; ARCHITECTURE arch OF T3 IS BEGIN y(7 DOWNTO 0) <= a(3 DOWNTO 0)*b(3 DOWNTO 0); END arch; - 8 -

9 圖 6. 簡便 4 X 4 乘法器程式架構 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY SVN_DISP IS PORT (Y:IN STD_LOGIC_VECTOR(7 DOWNTO 0); a,b,c,d,e,f,g,a1,b1,c1,d1,e1,f1,g1,a2,b2,c2,d2,e2,f2,g2: OUT STD_LOGIC ); END SVN_DISP; ARCHITECTURE A OF SVN_DISP IS SIGNAL OUTPUT : STD_LOGIC_VECTOR(20 DOWNTO 0); SIGNAL I : STD_LOGIC_VECTOR(7 DOWNTO 0); BEGIN I(7 DOWNTO 0) <= Y; PROCESS(I) BEGIN CASE I IS WHEN " " => OUTPUT <= " ";--0 WHEN " " => OUTPUT <= " ";--1 WHEN " " => OUTPUT <= " ";--2 WHEN " " => OUTPUT <= " ";--3 WHEN " " => OUTPUT <= " ";--4 WHEN " " => OUTPUT <= " ";--5 WHEN " " => OUTPUT <= " ";--6 WHEN " " => OUTPUT <= " ";--7 WHEN " " => OUTPUT <= " ";--8 WHEN " " => OUTPUT <= " ";--9 WHEN " " => OUTPUT <= " ";--10 WHEN " " => OUTPUT <= " ";--11 WHEN " " => OUTPUT <= " ";--12 WHEN " " => OUTPUT <= " ";--13 WHEN " " => OUTPUT <= " ";--14 WHEN " " => OUTPUT <= " ";

10 利用 VHDL 設計乘法器 WHEN " " => OUTPUT <= " ";--16 WHEN " " => OUTPUT <= " ";--18 WHEN " " => OUTPUT <= " ";--20 WHEN " " => OUTPUT <= " ";--21 WHEN " " => OUTPUT <= " ";--22 WHEN " " => OUTPUT <= " ";--24 WHEN " " => OUTPUT <= " ";--25 WHEN " " => OUTPUT <= " ";--26 WHEN " " => OUTPUT <= " ";--27 WHEN " " => OUTPUT <= " ";--28 WHEN " " => OUTPUT <= " ";--30 WHEN " " => OUTPUT <= " ";--32 WHEN " " => OUTPUT <= " ";--33 WHEN " " => OUTPUT <= " ";--35 WHEN " " => OUTPUT <= " ";--36 WHEN " " => OUTPUT <= " ";--39 WHEN " " => OUTPUT <= " ";--40 WHEN " " => OUTPUT <= " ";--42 WHEN " " => OUTPUT <= " ";--44 WHEN " " => OUTPUT <= " ";--45 WHEN " " => OUTPUT <= " ";--48 WHEN " " => OUTPUT <= " ";--49 WHEN " " => OUTPUT <= " ";--50 WHEN " " => OUTPUT <= " ";--52 WHEN " " => OUTPUT <= " ";--54 WHEN " " => OUTPUT <= " ";--55 WHEN " " => OUTPUT <= " ";--56 WHEN " " => OUTPUT <= " ";--60 WHEN " " => OUTPUT <= " ";--63 WHEN " " => OUTPUT <= " ";--64 WHEN " " => OUTPUT <= " ";--65 WHEN " " => OUTPUT <= " ";--66 WHEN " " => OUTPUT <= " ";--70 WHEN " " => OUTPUT <= " ";

11 WHEN " " => OUTPUT <= " ";--75 WHEN " " => OUTPUT <= " ";--77 WHEN " " => OUTPUT <= " ";--78 WHEN " " => OUTPUT <= " ";--80 WHEN " " => OUTPUT <= " ";--81 WHEN " " => OUTPUT <= " ";--84 WHEN " " => OUTPUT <= " ";--88 WHEN " " => OUTPUT <= " ";--90 WHEN " " => OUTPUT <= " ";--96 WHEN " " => OUTPUT <= " ";--98 WHEN " " => OUTPUT <= " ";--99 WHEN " " => OUTPUT <= " ";--100 WHEN " " => OUTPUT <= " ";--105 WHEN " " => OUTPUT <= " ";--104 WHEN " " => OUTPUT <= " ";--108 WHEN " " => OUTPUT <= " ";--110 WHEN " " => OUTPUT <= " ";--112 WHEN " " => OUTPUT <= " ";--117 WHEN " " => OUTPUT <= " ";--120 WHEN " " => OUTPUT <= " ";--121 WHEN " " => OUTPUT <= " ";--126 WHEN " " => OUTPUT <= " ";--130 WHEN " " => OUTPUT <= " ";--132 WHEN " " => OUTPUT <= " ";--135 WHEN " " => OUTPUT <= " ";--140 WHEN " " => OUTPUT <= " ";--143 WHEN " " => OUTPUT <= " ";--150 WHEN " " => OUTPUT <= " ";--154 WHEN " " => OUTPUT <= " ";--156 WHEN " " => OUTPUT <= " ";--165 WHEN " " => OUTPUT <= " ";--168 WHEN " " => OUTPUT <= " ";--180 WHEN " " => OUTPUT <= " ";--182 WHEN " " => OUTPUT <= " ";

12 利用 VHDL 設計乘法器 WHEN " " => OUTPUT <= " ";--196 WHEN " " => OUTPUT <= " ";--210 WHEN " " => OUTPUT <= " ";--225 WHEN OTHERS => NULL;--NO_WORK END CASE; END PROCESS; a <= OUTPUT(20); b <= OUTPUT(19); c <= OUTPUT(18); d <= OUTPUT(17); e <= OUTPUT(16); f <= OUTPUT(15); g <= OUTPUT(14); a1 <= OUTPUT(13); b1 <= OUTPUT(12); c1 <= OUTPUT(11); d1 <= OUTPUT(10); e1 <= OUTPUT(9); f1 <= OUTPUT(8); g1 <= OUTPUT(7); a2 <= OUTPUT(6); b2 <= OUTPUT(5); c2 <= OUTPUT(4); d2 <= OUTPUT(3); e2 <= OUTPUT(2); f2 <= OUTPUT(1); g2 <= OUTPUT(0); END A; 圖 7. 七段顯示器程式架構 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY B4MUL_1 IS

13 PORT(A,B:IN STD_LOGIC_VECTOR(3 DOWNTO 0); l,m,n,o,p,q,r,l1,m1,n1,o1,p1,q1,r1,l2,m2,n2,o2,p2,q2,r2:out STD_LOGIC ); END B4MUL_1; ARCHITECTURE A OF B4MUL_1 IS COMPONENT T3 PORT(A,B:IN STD_LOGIC_VECTOR(3 DOWNTO 0); Y :OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END COMPONENT; COMPONENT SVN_DISP PORT(Y:IN STD_LOGIC_VECTOR(7 DOWNTO 0); a,b,c,d,e,f,g,a1,b1,c1,d1,e1,f1,g1,a2,b2,c2,d2,e2,f2,g2:out STD_LOGIC ); END COMPONENT; SIGNAL I :STD_LOGIC_VECTOR(7 DOWNTO 0); BEGIN U1 : T3 PORT MAP(A,B,I); U2 : SVN_DISP PORT MAP(I,l,m,n,o,p,q,r,l1,m1,n1,o1,p1,q1,r1,l2,m2,n2,o2,p2,q2,r2); END A; 圖 8. 4 X 4 乘法器且以七段顯示器顯示之程式架構 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY T2 IS PORT ( a,b : IN STD_LOGIC_VECTOR(15 DOWNTO 0); Y : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) );END T2; ARCHITECTURE arch OF T2 IS BEGIN

14 利用 VHDL 設計乘法器 y(31 DOWNTO 0) <= a(15 DOWNTO 0)*B(15 DOWNTO 0); END ARCH; 圖 9. 簡便 16 X 16 乘法器程式架構 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY T1 IS PORT ( a,b : IN STD_LOGIC_VECTOR(4 DOWNTO 0); y : OUT STD_LOGIC_VECTOR(8 DOWNTO 0) );END T1; ARCHITECTURE arch OF T1 IS BEGIN y(7 DOWNTO 0) <= a(3 DOWNTO 0)*b(3 DOWNTO 0); y(8) <= a(4) XOR b(4); END arch; 圖 10. 帶符號之簡便 4 X 4 乘法器程式架構 伍. 模擬計算接下來我們使用 Altera 來模擬計算, 圖 11. 為圖 5 中 16 X 16 乘法器之模擬圖 ; 圖 12. 為圖 4 中 4 X 4 乘法器模擬圖 ; 圖 13. 為圖 6 中簡便 4 X 4 乘法器模擬圖 ; 圖 14. 為圖 9 中簡便 16 X 16 乘法器模擬圖 ; 圖 15. 為圖 10 中帶符號之簡便 4 X 4 乘法器模擬圖 ; 圖 16. 為圖 8 中 4 X 4 乘法器且以七段顯示器顯示模擬圖 由以上的這些圖可知其結果是正確的

15 圖 11. 圖 5 中 16 X 16 乘法器之模擬圖 圖 12. 圖 4 中 4 X 4 乘法器模擬圖 圖 13. 圖 6 中簡便 4 X 4 乘法器模擬圖

16 利用 VHDL 設計乘法器 圖 14. 圖 9 中簡便 16 X 16 乘法器模擬圖 圖 15. 圖 10 中帶符號之簡便 4 X 4 乘法器模擬圖 圖 16. 圖 8 中 4 X 4 乘法器且以七段顯示器顯示模擬圖

17 陸. 結論 VHDL 對於電路的設計可以從很高階的方式寫起, 也可從低階的方式, 像是電路圖 ( 即 schematics), 也可以完成我們所需的工作, 但電路太複雜時就不適宜 在位元數少之兩運算元相乘的乘法器, 先寫出其布林方程式, 其次利用 VHDL 程式寫法使用基本邏輯運算子將布林方程式描述出來 ; 也可用類似徒手相乘之乘法器結構利用 VHDL 程式寫法如圖 4 及圖 5 但位元數增加時兩運算元相乘的乘法器即顯得更複雜, 可用載入 STD_LOGIC_ARITH 與 STD_LOGIC_UNSIGNED 元件盒之後, 直接進行乘法運算如圖 6 及圖 9, 所得答案是一樣的 由此可看出其為既清爽又容易擴充 參考文獻 1. V.C. Hamacher, Z.G. Vranesic and S.G. Zaky, Computer Organization, 4 th ed. (McGraw-Hill: New York, 1966.) 2. D.A. Patterson and J.L. Hennessy, Computer Organization and Design-The Hardware/Software Interface, 2 nd ed. (Morgan Kaufmann: San Farncisco, CA, 1998). 3. S. Brown, Z.Vranesic, Fundamentals of Digital Logic with VHDL Design, ( McGraw-Hill: New York,2000.) 4. 黃孝祖, 鄭光欽, CPLD 與 VHDL 設計實務, 全威圖書股份有限公司, 胡振華, VHDL 與 FPGA 設計, 全華科技圖書股份有限公司, 盧毅, 賴杰, VHDL 與數位電路設計, 文魁資訊股份有限公司, 蕭如宣, VHDL 數位電路設計, 儒林圖書股份有限公司, 王國權, 賴清羽, VHDL 晶片設計, 宏友圖書開發股份有限公司,

a b c d e f g C2 C1 2

a b c d e f g C2 C1 2 a b c d e f g C2 C1 2 IN1 IN2 0 2 to 1 Mux 1 IN1 IN2 0 2 to 1 Mux 1 Sel= 0 M0 High C2 C1 Sel= 1 M0 Low C2 C1 1 to 2 decoder M1 Low 1 to 2 decoder M1 High 3 BCD 1Hz clk 64Hz BCD 4 4 0 1 2 to 1 Mux sel 4

More information

(Microsoft Word - \245\274\244\300\246\250\301Z\260\252\247C13.doc)

(Microsoft Word - \245\274\244\300\246\250\301Z\260\252\247C13.doc) VHDL 實 習 報 告 四 資 工 二 指 導 教 授 : 徐 演 政 學 生 : 廖 雅 竹 B9515010 陳 緯 琪 B9515044 敗 LED 史 上 無 敵 超 級 賭 骰 子 模 擬 機 以 廖 雅 竹 陳 緯 琪 Project Title: 骰 硬 件 啟 動 後, 可 以 明 顯 的 觀 察 到 實 驗 板 上 方 的 兩 個 骰 子 器 高 速 地 跳 動 Participants:

More information

B 6 A A N A S A +V B B B +V 2

B 6 A A N A S A +V B B B +V 2 B 6 A A N A S A +V B B B +V 2 V A A B B 3 C Vcc FT7 B B 1 C 1 V cc C 2 B 2 G G B 3 C 3V cc C B ND ND GND V A A B B C 1 C 3 C 2 C V cc V cc V 220Ωx B 1 B 2 B 3 B GND GND A B A B 1 1 0 0 0 2 0 1 0 0 3 0

More information

<4D F736F F F696E74202D20BCC6A6ECA874B2CEBEC9BDD7C1BFB871B2C4A440B3B9>

<4D F736F F F696E74202D20BCC6A6ECA874B2CEBEC9BDD7C1BFB871B2C4A440B3B9> 數位系統導論 蔡宗漢 (Tsung-Han Tsai) Dept. of E.E., N.C.U. 1 教學目標 : 1 了解數位電子電路的基本原理, 例如資訊的二進位系統 布林代數 2 了解數位電子電路的基本原件, 如 : 組合電路 循序電路 加法器 比較器 等等 授課大綱 : 1 數位邏輯的原理 2 元件的認識( 如 AND/OR 閘, 加法器 ) 3 數位邏輯功能單元 4 數位邏輯的設計 2

More information

D-Type entity D_FF is D :in std_logic; CLK :in std_logic; Q :out std_logic); end D_FF; architecture a of D_FF is process(clk,d) if CLK'EVENT and CLK =

D-Type entity D_FF is D :in std_logic; CLK :in std_logic; Q :out std_logic); end D_FF; architecture a of D_FF is process(clk,d) if CLK'EVENT and CLK = VHDL (Sequential Logic) D-Type entity D_FF is D :in std_logic; CLK :in std_logic; Q :out std_logic); end D_FF; architecture a of D_FF is process(clk,d) if CLK'EVENT and CLK = '1' then Q

More information

VHDL(Statements) (Sequential Statement) (Concurrent Statement) VHDL (Architecture)VHDL (PROCESS)(Sub-program) 2

VHDL(Statements) (Sequential Statement) (Concurrent Statement) VHDL (Architecture)VHDL (PROCESS)(Sub-program) 2 VHDL (Statements) VHDL(Statements) (Sequential Statement) (Concurrent Statement) VHDL (Architecture)VHDL (PROCESS)(Sub-program) 2 (Assignment Statement) (Signal Assignment Statement) (Variable Assignment

More information

USB - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - DES Module FSM CONTROLLER 8 6 8 Key ROM 8 8 Data_in RAM Data_out RAM 8 USB Board - 8 - - 9 - - 10 - - 11 - - 12 - USB device INF Windows INF Device Function

More information

B3B2B1B0 CA CB CC CD CE CF CG

B3B2B1B0 CA CB CC CD CE CF CG Lab7:7 段顯示器控制電路 [ 實驗說明 ] : 這一個範例將分成兩階段 首先使用 ISE12.4 先進行叫用 Seven_Segmenet.vhd 模組建立 4bit HEX 轉換 7 段顯示器控制電路練習 接著再使用 Lab1 所設計的 2:4 解碼器與 Lab4 四位元加法器電路利用 Schematic 階層式設計快速建立加法器和輸出到 7 段顯示器的控制電路 你將開啟一個 Seven_Segmenet_Adder.sch

More information

IC芯片自主创新设计实验

IC芯片自主创新设计实验 IC 芯片自主创新设计实验 设计报告 设计题目 : 格雷码计数器芯片设计 设计学生 : 吴东生 ( 集成电路 ) 景国新 ( 固体电子 ) 林道明 ( 集成电路 ) 连维重 ( 集成电路 ) 施望 ( 集成电路 ) 刘锦秀 ( 集成电路 ) 刘中伟 ( 集成电路 ) 李梦宁 ( 集成电路 ) 指导教师 : 阮爱武 杜涛 指导单位 : 电子设计自动化技术 课程组 一 格雷码计数器芯片设计概述 功能描述

More information

2/80 2

2/80 2 2/80 2 3/80 3 DSP2400 is a high performance Digital Signal Processor (DSP) designed and developed by author s laboratory. It is designed for multimedia and wireless application. To develop application

More information

untitled

untitled 2004-2-16 (3-21) To Luo 207 Xilinx FPGA/CPLD ISE Xilinx Integrated Software Environment 6.1i FPGA VHDL VerilogHDL EDIF ModelSim FPGA FPGA ISE HDL FPGA ISE 7.1 7.1.1 ISE6.1i ISE6.1i ISE ModelSim ISE ModelSim

More information

BIBLID 0254-4466(2001)19:1 pp. 249-276 19 1 90 6 ** * ** 88 I 2000 8 249 250 19 1 251 1873-1929 1900 1 1902 1 35 1900 1960 7-12 252 19 1 2 3 2 1900 1902 3 2000 129-197 253 4 5 6 4 1902 1962 103 5 Joseph

More information

觀 音 佛 祖 送 給 衣 宸 的 話 005 自 序 007 Part 1 修 行 心 體 驗 一 篇 看 見 佛 祖 012 二 篇 在 家 修 行 039 三 篇 世 界 的 創 造 者 054 四 篇 大 慈 悲 079 五 篇 最 珍 貴 的 禮 物 095 六 篇 自 救 法 力 練 習

觀 音 佛 祖 送 給 衣 宸 的 話 005 自 序 007 Part 1 修 行 心 體 驗 一 篇 看 見 佛 祖 012 二 篇 在 家 修 行 039 三 篇 世 界 的 創 造 者 054 四 篇 大 慈 悲 079 五 篇 最 珍 貴 的 禮 物 095 六 篇 自 救 法 力 練 習 觀 音 佛 祖 送 給 衣 宸 的 話 005 自 序 007 Part 1 修 行 心 體 驗 一 篇 看 見 佛 祖 012 二 篇 在 家 修 行 039 三 篇 世 界 的 創 造 者 054 四 篇 大 慈 悲 079 五 篇 最 珍 貴 的 禮 物 095 六 篇 自 救 法 力 練 習 110 七 篇 以 至 善 心 創 作 133 八 篇 智 慧 善 法 151 九 篇 我 的 修

More information

XXX专业本科人才培养方案

XXX专业本科人才培养方案 计 算 机 科 学 与 技 术 专 业 本 科 人 才 培 养 方 案 (Computer Science and Technology 080901) 一 培 养 目 标 本 专 业 培 养 德 智 体 美 全 面 发 展, 具 有 良 好 的 科 学 与 人 文 素 养, 熟 悉 经 济 管 理 法 律 等 相 关 基 础 知 识, 系 统 地 掌 握 计 算 机 硬 件 软 件 方 面 的 基

More information

ebook105-12

ebook105-12 12 12.1 C P U T x X T y Y T x >T y Y P XY Y X P x = 1 / T x P y = 1 / T y ( 1 2-1 ) P y > P x ( 1 2-2 ) C P U = # 12.2 334 C P U 12-1 a I F I D E X E M E M W B C P U 12-1 b C P U C P U t i n s t t i n

More information

PLC Simulative Control of an Elevator by PLC POWER SUPPLY ii iii ABSTRACT In the modern time, elevator is very popular and based. Most techniques of elevator are owned by foreigners. A simple introduction

More information

豐佳燕.PDF

豐佳燕.PDF Application of Information Literacy to chiayen@estmtc.tp.edu.tw information literacy Theme-oriented teaching. Abstract Based on the definition of Information Literacy and Six core concepts of the problem

More information

圖形編輯設計簡介 建立電路符號檔與轉換成VHDL程式碼 2

圖形編輯設計簡介 建立電路符號檔與轉換成VHDL程式碼 2 圖形編輯設計法 圖形編輯設計簡介 建立電路符號檔與轉換成VHDL程式碼 2 建立電路符號檔 1.執行 File Create/ Update Create Symbol Files for Current File 時 可將目前的半加法器建立一個電路符號 Halfadd.bsf 3 建立電路符號檔 2.利用 File Open 開啟Halfadd.bsf檔案時 便可查看所建 立的電路符號 Halfadd

More information

<4D6963726F736F667420576F7264202D20B2F8A74AA4AF5FA578C657A175BCC6A6ECB6D7AC79A176BB50A46AB3B0A175A454BAF4A658A440A176AC46B5A6A641B1B4>

<4D6963726F736F667420576F7264202D20B2F8A74AA4AF5FA578C657A175BCC6A6ECB6D7AC79A176BB50A46AB3B0A175A454BAF4A658A440A176AC46B5A6A641B1B4> 2012 數 位 創 世 紀 學 術 實 務 國 際 研 討 會 徵 文 論 文 題 目 台 灣 數 位 匯 流 與 大 陸 三 網 合 一 政 策 再 探 The Continue Exploring Study on Policies of Taiwan s Digital Convergence and Mainland s Triple Play 作 者 : 莊 克 仁 Author: Ke-jen

More information

Microsoft Word - 建構企業訓練之課程發展模式.doc

Microsoft Word - 建構企業訓練之課程發展模式.doc 建 構 企 業 訓 練 之 課 程 發 展 模 式 張 瑞 村 朝 陽 科 技 大 學 師 資 培 育 中 心 副 教 授 摘 要 人 力 資 源 是 企 業 組 織 創 造 競 爭 優 勢 的 重 要 因 素, 企 業 組 織 基 於 本 身 的 經 營 策 略 與 發 展 需 要, 經 由 企 業 訓 練 培 育 所 需 的 人 力 資 源, 是 最 有 效 的 途 徑 企 業 訓 練 與 公

More information

<4D6963726F736F667420576F7264202D203033BDD7A16DA576B04FA145A4ADABD2A5BBACF6A16EADBAB6C0ABD2A4A7B74EB8712E646F63>

<4D6963726F736F667420576F7264202D203033BDD7A16DA576B04FA145A4ADABD2A5BBACF6A16EADBAB6C0ABD2A4A7B74EB8712E646F63> 論 史 記 五 帝 本 紀 首 黃 帝 之 意 義 林 立 仁 明 志 科 技 大 學 通 識 教 育 中 心 副 教 授 摘 要 太 史 公 司 馬 遷 承 父 著 史 遺 志, 並 以 身 膺 五 百 年 大 運, 上 繼 孔 子 春 秋 之 史 學 文 化 道 統 為 其 職 志, 著 史 記 欲 達 究 天 人 之 際, 通 古 今 之 變, 成 一 家 之 言 之 境 界 然 史 記 百

More information

CC213

CC213 : (Ken-Yi Lee), E-mail: feis.tw@gmail.com 9 [P.11] : Dev C++ [P.12] : http://c.feis.tw [P.13] [P.14] [P.15] [P.17] [P.23] Dev C++ [P.24] [P.27] [P.34] C / C++ [P.35] 10 C / C++ C C++ C C++ C++ C ( ) C++

More information

逢甲大學

逢甲大學 Behavior Model DES PCI DES PCI DES DES(Data Encryption Standard) IBM DES DES DES DES DES DES / DES DES P. - (Round) / - k,k,,k k,k,,k P. - (Initial Permutation) L R R k f L (XOR) R R L Ri = Li- XOR f(ri-,ki)

More information

穨1-林聖欽.doc

穨1-林聖欽.doc 1 39 92 11 Geographical Research No. 39, November. 2003 * The historical geographical study of the Taiwanese christening culture: A case of Xuei-gia-liau, Xuei-gia Bau, Yan-Shui-Gang Ting in Japanese Rule

More information

热设计网

热设计网 例 例 Agenda Popular Simulation software in PC industry * CFD software -- Flotherm * Advantage of Flotherm Flotherm apply to Cooler design * How to build up the model * Optimal parameter in cooler design

More information

,,.,, : 1),,,,, 2),,,,, 3),,,,,,,,,, [6].,,, ( ),, [9], : 1), 2),,,,, 3),,, 2.,, [10].,,,,,,,,, [11]. 2.1,, [12],, ;, ; Fig. 1 1 Granular hier

,,.,, : 1),,,,, 2),,,,, 3),,,,,,,,,, [6].,,, ( ),, [9], : 1), 2),,,,, 3),,, 2.,, [10].,,,,,,,,, [11]. 2.1,, [12],, ;, ; Fig. 1 1 Granular hier 36 7 Vol. 36, No. 7 2010 7 ACTA AUTOMATICA SINICA July, 2010 1, 2 1, 2, 3 1, 2,,,,,,, DOI,,, 10.3724/SP.J.1004.2010.00923 Distributed Simulation System Hierarchical Design Model Based on Quotient Space

More information

Microsoft PowerPoint - chap04.ppt

Microsoft PowerPoint - chap04.ppt 第四章 組合邏輯 4- 組合電路 4-3 設計步驟 組合電路的設計. 由電路的敘述, 決定所需的輸入與輸出的個數並且對每一個輸入與輸出安排一個變數符號 2. 導出真值表並定義輸入與輸出間的關係 3. 對每一個輸出求出以輸入變數為函數之簡化的布林函數 4. 畫出邏輯圖並且證明設計的正確性 BCD 碼到超 3 碼轉換器 2 BCD 到超 3 碼卡諾圖 BCD 到超 3 碼電路圖 3 4-4 二進位加法器

More information

<4D6963726F736F667420576F7264202D2032372D3532A4E9AA76AEC9B4C1BB4FC657C160B7FEA9B2A5C1AC46B3A1B4DEB2A3A7BDAAFEC4DDAA4CB77EB8D5C5E7B3F5A4A7ABD8A5DF2E646F63>

<4D6963726F736F667420576F7264202D2032372D3532A4E9AA76AEC9B4C1BB4FC657C160B7FEA9B2A5C1AC46B3A1B4DEB2A3A7BDAAFEC4DDAA4CB77EB8D5C5E7B3F5A4A7ABD8A5DF2E646F63> 臺 灣 學 研 究 第 六 期 頁 27-52 民 國 97 年 12 月 國 立 中 央 圖 書 館 臺 灣 分 館 日 治 時 期 臺 灣 總 督 府 民 政 部 殖 產 局 附 屬 林 業 試 驗 場 之 建 立 以 人 事 結 構 及 研 究 事 業 為 中 心 * 吳 明 勇 摘 要 西 元 1911 年 設 立 之 林 業 試 驗 場, 為 近 代 臺 灣 第 一 個 以 林 業 試 驗

More information

KT-SOPCx开发套件简明教程

KT-SOPCx开发套件简明教程 V2.03 2005-9-1 FPGA SOC FPGA/SOPC IT QuartusII NiosII IDE FPGA/SOPC FPGA/SOPC FPGA/SOPC CT-SOPCx FPGA/SOPC CPLD/FPGA www.fpga.com.cn CPLD/FPGA FPGA QuartusII NiosII CPU SOPC SOPC Builder NiosII IDE 1 www.21control.com

More information

目次 

目次  軟 體 工 程 期 末 報 告 網 路 麻 將 91703014 資 科 三 黃 偉 嘉 91703024 資 科 三 丘 祐 瑋 91703030 資 科 三 江 致 廣 1 目 次 壹 前 言 (Preface) P.4 貳 計 畫 簡 述 及 預 期 效 益 (Project Description and Expected Results) P.4 參 系 統 開 發 需 求 (System

More information

Microsoft PowerPoint - Eisenstein_ABET_Presentation_Beijing_Oct_2007-Chinese.ppt [兼容模式]

Microsoft PowerPoint - Eisenstein_ABET_Presentation_Beijing_Oct_2007-Chinese.ppt [兼容模式] Bruce Eisenstein 博 士 是 Drexel 大 学 电 气 和 计 算 机 工 程 系 的 Arthur J. Rowland 教 授, 同 时 是 电 气 和 计 算 机 工 程 系 的 前 任 系 主 任 (1980-1995) 他 是 一 个 受 尊 敬 的 IEEE 的 领 导 者, 在 2000 年 担 任 IEEE 的 主 席 在 担 任 主 席 以 前,Eisenstein

More information

Chapter 3

Chapter 3 Chapter 3 Arithmetic for Computers 陳瑞奇 (J.C. Chen) 亞洲大學資訊工程學系 Adapted from class notes by Prof. C.T. King, NTHU, Prof. M.J. Irwin, PSU and Prof. D. Patterson, UCB 3.2 Addition & Subtraction p.67 ( 頁 69)

More information

逢 甲 大 學

逢 甲 大 學 Maple Computer Animation Fourbar Linkage Using Maple Maple Maple i Maple Maple ii Abstract "Four-Bar Linkage" is very general in our life, so we can learn the knowledge of "Four-Bar Linkage" in mobile.

More information

221-252

221-252 BIBLID 0254-4466(2002)20:1 pp. 221-252 20 1 91 6 * 221 222 20 1 Edward Morgan Forster, 1879-1970 flat character round character 1 2 3 4 1 Edward Morgan Forster 1990 92 2 1 102-103 3 1 104 4 1 99 223 5

More information

ii

ii i ii iii iv Abstract This senior project is to use compute simulation to accomplish analysis and synthesis of Cam. The object of these focuses on three major partsthe first one is to establish the mathematical

More information

4 18 19 [1] (p.28) 50 2500 18 19 1 1. 2 2. / / 3 4 [1] (p.26) [2] (p.171) 3 1998 4 13 4

4 18 19 [1] (p.28) 50 2500 18 19 1 1. 2 2. / / 3 4 [1] (p.26) [2] (p.171) 3 1998 4 13 4 2012 13 No.13,2012 History Teaching 650 Sum No.650 510631 [ ] [ ]G63 [ ]B [ ]0457-6241 2012 13-0003-11 [1] pp.25~26 1 2.4 30 62 30 102 28 =30 2 2012-05-07 3 4 18 19 [1] (p.28) 50 2500 18 19 1 1. 2 2. /

More information

Microsoft PowerPoint ARIS_Platform_en.ppt

Microsoft PowerPoint ARIS_Platform_en.ppt ARIS Platform www.ixon.com.tw ARIS ARIS Architecture of Integrated Information System Prof. Dr. Dr. h.c. mult. August-Wilhelm Scheer ARIS () 2 IDS Scheer AG International Presence >> Partners and subsidiaries

More information

1 VLBI VLBI 2 32 MHz 2 Gbps X J VLBI [3] CDAS IVS [4,5] CDAS MHz, 16 MHz, 8 MHz, 4 MHz, 2 MHz [6] CDAS VLBI CDAS 2 CDAS CDAS 5 2

1 VLBI VLBI 2 32 MHz 2 Gbps X J VLBI [3] CDAS IVS [4,5] CDAS MHz, 16 MHz, 8 MHz, 4 MHz, 2 MHz [6] CDAS VLBI CDAS 2 CDAS CDAS 5 2 32 1 Vol. 32, No. 1 2014 2 PROGRESS IN ASTRONOMY Feb., 2014 doi: 10.3969/j.issn.1000-8349.2014.01.07 VLBI 1,2 1,2 (1. 200030 2. 200030) VLBI (Digital Baseband Convertor DBBC) CDAS (Chinese VLBI Data Acquisition

More information

第3节 VHDL语言的常用语法

第3节 VHDL语言的常用语法 第 3 节 VHDL 语言的常用语法 [ 学习要求 ] 掌握 VHDL 硬件描述语言的基本描述语句 并可以利用这些语句进行简单 电路的设计 [ 重点与难点 ] 重点 : 常用的并行语句与顺序语句的语法 难点 : 部件 (Component 的定义与应用 [ 理论内容 ] 一 并行语句所谓的并行语句指采用这些语法生成的硬件电路在时间上可以并行 ( 或并发 ) 的执行 ( 运行 ) 这是 VHDL 语法必须具备的能力,

More information

532

532 AN ANALYSIS OF COMPETIVENESS IN THE LOGISTIC INDUSTRY Yi Te Wu, Associate Professor, Department of Industrial Engineering & Management, Far East College Chung Chyun Lin, Graduate School of Business Management,

More information

序言.PDF

序言.PDF EDA VHDL VHDL VHDL EDA VHDL 1 7 9 10 FPGA 11 VHDL EDA 12 VHDL 13 VHDL 14 VHDL 12 VHDL 13 EDA / VHDL EDA 028 6636481 6241146 3201496 VHDL : ( 610054) : : : : 787 1092 1/16 14.875 343 : 1999 12 : 1999 12

More information

untitled

untitled 01 1-1 Altera Installer 1-2 1-3 FBBCar 1-4 FPGA 1. 2. 3. 4. FBBCar Altera FPGA FBBCar Quartus II ModelSim-Altera 1-1 1-1 FBBCar 1 220 2 10k 2 1k 2 2k 2 470k 2 1 950nm 2 2 38kHz 2 2 3PIN 2 2 1 1 2 01 Altera

More information

35-55

35-55 BIBLID 0254-4466(2000)18:2 pp. 35-55 18 2 89 12 * 35 36 1 1 24 1980 802-805 37 2 2 128 1959 3223 38 3 4 36 5 6 7 3 5 179 4 14 1805 5 6 258-260 6 127 3215 7 6 255 87 39 8 9 10 11 12 13 14 8 5 1986 642 9

More information

<4D6963726F736F667420576F7264202D205F4230365FB942A5CEA668B443C5E9BB73A740B5D8A4E5B8C9A552B1D0A7F75FA6BFB1A4ACFC2E646F63>

<4D6963726F736F667420576F7264202D205F4230365FB942A5CEA668B443C5E9BB73A740B5D8A4E5B8C9A552B1D0A7F75FA6BFB1A4ACFC2E646F63> 運 用 多 媒 體 製 作 華 文 補 充 教 材 江 惜 美 銘 傳 大 學 應 用 中 文 系 chm248@gmail.com 摘 要 : 本 文 旨 在 探 究 如 何 運 用 多 媒 體, 結 合 文 字 聲 音 圖 畫, 製 作 華 文 補 充 教 材 當 我 們 在 進 行 華 文 教 學 時, 往 往 必 須 透 過 教 案 設 計, 並 製 作 補 充 教 材, 方 能 使 教 學

More information

A VALIDATION STUDY OF THE ACHIEVEMENT TEST OF TEACHING CHINESE AS THE SECOND LANGUAGE by Chen Wei A Thesis Submitted to the Graduate School and Colleg

A VALIDATION STUDY OF THE ACHIEVEMENT TEST OF TEACHING CHINESE AS THE SECOND LANGUAGE by Chen Wei A Thesis Submitted to the Graduate School and Colleg 上 海 外 国 语 大 学 SHANGHAI INTERNATIONAL STUDIES UNIVERSITY 硕 士 学 位 论 文 MASTER DISSERTATION 学 院 国 际 文 化 交 流 学 院 专 业 汉 语 国 际 教 育 硕 士 题 目 届 别 2010 届 学 生 陈 炜 导 师 张 艳 莉 副 教 授 日 期 2010 年 4 月 A VALIDATION STUDY

More information

Microsoft PowerPoint - POM_Introduction_2016.pptx

Microsoft PowerPoint - POM_Introduction_2016.pptx Operations Management 郭 幸 民 Shin Ming Guo, Ph.D. Department of Logistics Management office: C415, phone: 6011000 ext. 3216 e mail: smguo@nkfust.edu.tw web: www2.nkfust.edu.tw/~smguo/teaching/pom.htm What

More information

Microsoft Word - 05 許雪姬3校稿0123.doc

Microsoft Word - 05 許雪姬3校稿0123.doc 臺 灣 史 研 究 第 21 卷 第 4 期, 頁 187-217 民 國 103 年 12 月 中 央 研 究 院 臺 灣 史 研 究 所 保 密 局 臺 灣 站 二 二 八 史 料 的 解 讀 與 研 究 許 雪 姬 摘 要 2008 年 4 月 中 央 研 究 院 臺 灣 史 研 究 所 購 得 某 情 治 人 員 遺 留 的 相 關 檔 案, 其 中 有 二 二 八 事 件 期 間 保 密

More information

<A448A4E5AAC0B77CBEC7B3F8B2C43132A8F7B2C434B4C15F E706466>

<A448A4E5AAC0B77CBEC7B3F8B2C43132A8F7B2C434B4C15F E706466> 105 12 4 319-340 1 2 2 3 1 2 3 6 NVDA NVDA 2015 2014 320 5 37 22 5 2014 3000 2016 3 313 2016 12% inclusive education screen reader 321 2 3 2015 self-learning semi-structured interview 2002 2011 2008 322

More information

Microsoft PowerPoint - chap4.ppt

Microsoft PowerPoint - chap4.ppt 定址方式 定址方式 格式 有效位址 立即資料定址 #data8 暫存器定址 Rn (R0 ~ R7) 直接定址 addr8 addr8 絕對定址 addr11 addr11 長程 ( 絕對 ) 定址 addr16 addr16 ( 暫存器 ) 間接定址 @Ri (@R0 @R1) 或 @DPTR R0 R1 或 DPTR ( 暫存器 ) 相對定址 disp8 PC+ 符號擴展之 disp8 ( 基底

More information

CH01.indd

CH01.indd 3D ios Android Windows 10 App Apple icloud Google Wi-Fi 4G 1 ( 3D ) 2 3 4 5 CPU / / 2 6 App UNIX OS X Windows Linux (ios Android Windows 8/8.1/10 BlackBerry OS) 7 ( ZigBee UWB) (IEEE 802.11/a/b/g/n/ad/ac

More information

A Study on the Relationships of the Co-construction Contract A Study on the Relationships of the Co-Construction Contract ( ) ABSTRACT Co-constructio in the real estate development, holds the quite

More information

(Microsoft Word - 22\264\301\261\306\252\251970610\247\271\246\250.doc)

(Microsoft Word - 22\264\301\261\306\252\251970610\247\271\246\250.doc) 德 霖 學 報 第 二 十 二 期 民 國 97 年 6 月 物 業 管 理 產 業 證 照 所 需 知 識 之 系 所 學 門 歸 屬 剖 析 物 業 管 理 產 業 證 照 所 需 知 識 之 系 所 學 門 歸 屬 剖 析 凌 烽 生 德 霖 技 術 學 院 營 建 科 技 系 副 教 授 兼 系 主 任 摘 要 本 文 主 要 剖 析 內 容, 是 以 大 陸 所 實 施 之 物 業 管 理

More information

14 建筑环境设计模拟分析软件DeST--辅助商业建筑设计应用实例.doc

14 建筑环境设计模拟分析软件DeST--辅助商业建筑设计应用实例.doc DeST 15 DeST DeST DeST DeST Building environment design simulation software DeST(15): Practical application of the commercial buildings models of DeST By Zhang Ye, Yan Da, Liu Ye and Jiang Yi Abstract

More information

untitled

untitled 年 年 度 年 度 821 路 路 1821 (07)607-7777 12081209 () (07)607-7765 http://www.kyu.edu.tw 1 年 度 理 理 六 路 六 六 六 理 理 錄 錄 六 年 度 理 2 錄....... 1 年.......1 參.......1... 1 年 錄... 2 陸.....15.....16 理 錄. 17. 18 拾.....

More information

畢業專題結案報告書格式

畢業專題結案報告書格式 元 培 科 技 大 學 資 訊 工 程 系 專 題 期 末 報 告 使 用 Game maker 製 作 多 人 連 線 遊 戲 Making multiplayer games using game maker 姓 名 : 0981412016 周 宣 佑 0981412003 蔡 程 翔 0981412005 韋 梓 健 0981412015 沈 永 崑 0981412051 洪 仕 軒 指 導

More information

<4D F736F F D20C4CFBEA9B0A3CBB9B6D9D7D4B6AFBBAFB9C9B7DDD3D0CFDEB9ABCBBECAD7B4CEB9ABBFAAB7A2D0D0B9C9C6B1D5D0B9C9CBB5C3F7CAE9A3A8C9EAB1A8B8E C4EA34D4C23239C8D5B1A8CBCDA3A9>

<4D F736F F D20C4CFBEA9B0A3CBB9B6D9D7D4B6AFBBAFB9C9B7DDD3D0CFDEB9ABCBBECAD7B4CEB9ABBFAAB7A2D0D0B9C9C6B1D5D0B9C9CBB5C3F7CAE9A3A8C9EAB1A8B8E C4EA34D4C23239C8D5B1A8CBCDA3A9> 南 京 埃 斯 顿 自 动 化 股 份 有 限 公 司 NANJING ESTUN AUTOMATION CO., LTD ( 南 京 江 宁 经 济 技 术 开 发 区 将 军 南 路 155 号 ) 首 次 公 开 发 行 股 票 招 股 说 明 书 ( 申 报 稿 ) 保 荐 人 暨 主 承 销 商 ( 深 圳 市 福 田 区 民 田 路 178 号 华 融 大 厦 5 6 楼 ) 声 明 :

More information

Chinese Journal of Applied Probability and Statistics Vol.25 No.4 Aug (,, ;,, ) (,, ) 应用概率统计 版权所有, Zhang (2002). λ q(t)

Chinese Journal of Applied Probability and Statistics Vol.25 No.4 Aug (,, ;,, ) (,, ) 应用概率统计 版权所有, Zhang (2002). λ q(t) 2009 8 Chinese Journal of Applied Probability and Statistics Vol.25 No.4 Aug. 2009,, 541004;,, 100124),, 100190), Zhang 2002). λ qt), Kolmogorov-Smirov, Berk and Jones 1979). λ qt).,,, λ qt),. λ qt) 1,.

More information

untitled

untitled 101 12 93-122 * 2012.7.10 2012.12.5 * 94 638-712 677-744 709-788 749-814 -850-867 1 980-1052 1007-1072 1037-1101 1010-1071 1032-1098 1007-1072 1009-1059 2 1023-1063 992-1049 1002-1069 * 1 2 49 880 1997

More information

Vol. 22 No. 4 JOURNAL OF HARBIN UNIVERSITY OF SCIENCE AND TECHNOLOGY Aug GPS,,, : km, 2. 51, , ; ; ; ; DOI: 10.

Vol. 22 No. 4 JOURNAL OF HARBIN UNIVERSITY OF SCIENCE AND TECHNOLOGY Aug GPS,,, : km, 2. 51, , ; ; ; ; DOI: 10. 22 4 2017 8 Vol. 22 No. 4 JOURNAL OF HARBIN UNIVERSITY OF SCIENCE AND TECHNOLOGY Aug. 2017 150080 GPS,,, : 27. 36 km, 2. 51, 110. 43, ; ; ; ; DOI: 10. 15938 /j. jhust. 2017. 04. 015 U469. 13 A 1007-2683

More information

Microsoft Word - 期末結案報告20130104

Microsoft Word - 期末結案報告20130104 元 培 科 技 大 學 資 訊 工 程 系 101 學 年 度 專 題 結 案 報 告 守 塔 遊 戲 設 計 姓 名 : 李 宏 志 陳 文 鴻 何 侑 諺 張 承 恩 指 導 老 師 : 鄭 瑞 恒 教 授 中 華 民 國 101 年 12 月 守 塔 遊 戲 設 計 Tower Defense Game Design 學 生 : 李 宏 志 Student:Hong-Zhi Li 學 生 :

More information

10384 200115009 UDC Management Buy-outs MBO MBO MBO 2002 MBO MBO MBO MBO 000527 MBO MBO MBO MBO MBO MBO MBO MBO MBO MBO MBO Q MBO MBO MBO Abstract Its related empirical study demonstrates a remarkable

More information

WTO

WTO 10384 200015128 UDC Exploration on Design of CIB s Human Resources System in the New Stage (MBA) 2004 2004 2 3 2004 3 2 0 0 4 2 WTO Abstract Abstract With the rapid development of the high and new technique

More information

PowerPoint Presentation

PowerPoint Presentation ITM omputer and ommunication Technologies Lecture #4 Part I: Introduction to omputer Technologies Logic ircuit Design & Simplification ITM 計算機與通訊技術 2 23 香港中文大學電子工程學系 Logic function implementation Logic

More information

逢甲大學

逢甲大學 逢 甲 大 學 資 訊 工 程 學 系 專 題 研 究 報 告 Altera DE2-70 搭 配 LTM 實 作 遊 戲 - 小 蜜 蜂 指 導 教 授 : 陳 德 生 學 生 : 林 桂 廷 ( 資 訊 四 丙 ) 張 育 祥 ( 資 訊 四 丙 ) 中 華 民 國 壹 百 年 十 一 月 摘 要 本 專 題 是 利 用 Altera DE2-70 開 發 板 和 TRDB_LTM 觸 控 面

More information

Microsoft PowerPoint - EDA-理论3 [兼容模式]

Microsoft PowerPoint - EDA-理论3 [兼容模式] 3 更复杂电路的 VHDL 描述 3.1 计数器的 VHDL 描述 时序电路中, 一般计数器的输入 / 输出信号包括 : n Q CLK Entity 电路设计? Architecture -1- 西安电子科技大学国家级精品课程数字电路与系统设计 例 1 : 4 位二进制加法计数器 ENTITY CNT4 IS PORT ( CLK : IN BIT ; Q : BUFFER INTEGER range

More information

ebook105-1

ebook105-1 C D 1.1 0 1 0 1 2 ( 0 1 ) ( b i t s ) 0 1 1. 2. 0 1 3. ( ) 1-1 1-1 2 A B C A B C X Y 1.2 1.2.1 ( C D ) ( H D L ) H D L H D L J a v a C + + 1.2.2 C P U ( ) 1 3 1-2 C RT ( ) 1-2 ( C P U ) C P U C P U C P

More information

WTO

WTO 10384 X0115018 UDC MBA 2004 5 14 2004 6 1 WTO 2004 2006 7 2 Abstract According to the promise after our country enter into WTO, our country will open the readymade oil retail market in the end of 2004

More information

380 朝 陽 學 報 第 十 三 期 前 言 莊 子 ( 約 369-286 B.C.) 於 齊 物 論 一 文 的 結 尾, 提 及 莊 周 夢 蝶 的 故 事, 最 後 以 周 與 蝴 蝶 則 必 有 分 矣, 此 之 謂 物 化 作 結 物 化 一 語, 不 僅 文 學 家 視 其 是 事

380 朝 陽 學 報 第 十 三 期 前 言 莊 子 ( 約 369-286 B.C.) 於 齊 物 論 一 文 的 結 尾, 提 及 莊 周 夢 蝶 的 故 事, 最 後 以 周 與 蝴 蝶 則 必 有 分 矣, 此 之 謂 物 化 作 結 物 化 一 語, 不 僅 文 學 家 視 其 是 事 論 莊 子 物 化 的 哲 學 蘊 義 379 論 莊 子 物 化 的 哲 學 蘊 義 劉 振 維 朝 陽 科 技 大 學 通 識 教 育 中 心 副 教 授 摘 要 莊 子 ( 約 369-286 B.C.) 於 齊 物 論 一 文 的 結 尾, 提 及 莊 周 夢 蝶 的 故 事, 最 後 以 周 與 蝴 蝶 則 必 有 分 矣, 此 之 謂 物 化 作 結 物 化 一 語, 歷 來 論 者 單

More information

Microsoft PowerPoint - STU_EC_Ch08.ppt

Microsoft PowerPoint - STU_EC_Ch08.ppt 樹德科技大學資訊工程系 Chapter 8: Counters Shi-Huang Chen Fall 2010 1 Outline Asynchronous Counter Operation Synchronous Counter Operation Up/Down Synchronous Counters Design of Synchronous Counters Cascaded Counters

More information

378高雄市都市計畫說明書

378高雄市都市計畫說明書 378 高 雄 市 都 市 計 畫 說 明 書 案 名 : 變 更 高 雄 市 楠 梓 區 高 楠 段 二 七 九 地 號 等 八 筆 農 業 區 土 地 為 批 發 市 場 用 地 擬 定 申 請 單 位 : 高 雄 市 政 府 計 畫 範 圍 : 如 圖 示 法 令 依 據 : 都 市 計 畫 法 第 二 十 七 條 第 一 項 第 四 款 一 背 景 說 明 : ( 一 ) 本 市 現 有 果

More information

p 3 p 4 p 5 p 6 p 7 p 8 p 9 p 10 p 11 θ 1 θ 2 θ 3 θ 4 θ 5 θ 6 θ 7 θ 8 θ 9 θ d 1 = 0 X c 0 p 1 p 2 X c 0 d pi p j p i p j 0 δ 90

p 3 p 4 p 5 p 6 p 7 p 8 p 9 p 10 p 11 θ 1 θ 2 θ 3 θ 4 θ 5 θ 6 θ 7 θ 8 θ 9 θ d 1 = 0 X c 0 p 1 p 2 X c 0 d pi p j p i p j 0 δ 90 22 4 2017 8 Vol. 22 No. 4 JOURNAL OF HARBIN UNIVERSITY OF SCIENCE AND TECHNOLOGY Aug. 2017 1 1 1 1 2 2 2 1. 150080 2. 150080,,,, ; ; ; DOI: 10. 15938 /j. jhust. 2017. 04. 001 TP273 A 1007-2683 2017 04-0001-

More information

國立中山大學學位論文典藏.PDF

國立中山大學學位論文典藏.PDF 國 立 中 山 大 學 企 業 管 理 學 系 碩 士 論 文 以 系 統 動 力 學 建 構 美 食 餐 廳 異 國 麵 坊 之 管 理 飛 行 模 擬 器 研 究 生 : 簡 蓮 因 撰 指 導 教 授 : 楊 碩 英 博 士 中 華 民 國 九 十 七 年 七 月 致 謝 詞 寫 作 論 文 的 過 程 是 一 段 充 滿 艱 辛 與 淚 水 感 動 與 窩 心 的 歷 程, 感 謝 這 一

More information

0 0 = 1 0 = 0 1 = = 1 1 = 0 0 = 1

0 0 = 1 0 = 0 1 = = 1 1 = 0 0 = 1 0 0 = 1 0 = 0 1 = 0 1 1 = 1 1 = 0 0 = 1 : = {0, 1} : 3 (,, ) = + (,, ) = + + (, ) = + (,,, ) = ( + )( + ) + ( + )( + ) + = + = = + + = + = ( + ) + = + ( + ) () = () ( + ) = + + = ( + )( + ) + = = + 0

More information

念「舊」新潮流─國立臺灣圖書館舊籍行銷策略探究

念「舊」新潮流─國立臺灣圖書館舊籍行銷策略探究 念 舊 新 潮 流 國 立 臺 灣 圖 書 館 舊 籍 行 銷 策 略 探 究 Change Old to New Trend the Promotion Strategies of Old Books of the National Taiwan Library 蔡 蕙 頻 Hui-Pin Tsai 國 立 臺 灣 圖 書 館 編 輯 Editor, National Taiwan Library

More information

案例正文:(幼圆、小三、加粗)(全文段前与段后0

案例正文:(幼圆、小三、加粗)(全文段前与段后0 案 例 正 文 : 1 中 国 农 业 银 行 FMIS 系 统 开 发 摘 要 : 本 案 例 描 述 一 家 大 型 商 业 银 行 自 主 开 发 战 略 性 管 理 信 息 系 统 的 过 程 该 系 统 不 仅 规 模 大, 而 且 业 务 类 型 复 杂, 项 目 启 动 时 的 系 统 目 标 具 有 高 度 不 确 定 性 项 目 独 特 之 处 是 业 务 专 家 在 项 目 中

More information

13-4-Cover-1

13-4-Cover-1 106 13 4 301-323 302 2009 2007 2009 2007 Dewey 1960 1970 1964 1967 303 1994 2008 2007 2008 2001 2003 2006 2007 2007 7 2013 2007 2009 2009 2007 2009 2012 Kendall 1990 Jacoby 1996 Sigmon 1996 1 2 3 20062000

More information

Microsoft Word - 11月電子報1130.doc

Microsoft Word - 11月電子報1130.doc 發 行 人 : 楊 進 成 出 刊 日 期 2008 年 12 月 1 日, 第 38 期 第 1 頁 / 共 16 頁 封 面 圖 話 來 來 來, 來 葳 格 ; 玩 玩 玩, 玩 數 學 在 11 月 17 到 21 日 這 5 天 裡 每 天 一 個 題 目, 孩 子 們 依 據 不 同 年 段, 尋 找 屬 於 自 己 的 解 答, 這 些 數 學 題 目 和 校 園 情 境 緊 緊 結

More information

4 115,,. : p { ( x ( t), y ( t) ) x R m, y R n, t = 1,2,, p} (1),, x ( t), y ( t),,: F : R m R n.,m, n, u.,, Sigmoid. :,f Sigmoid,f ( x) = ^y k ( t) =

4 115,,. : p { ( x ( t), y ( t) ) x R m, y R n, t = 1,2,, p} (1),, x ( t), y ( t),,: F : R m R n.,m, n, u.,, Sigmoid. :,f Sigmoid,f ( x) = ^y k ( t) = 2007 4 4 :100026788 (2007) 0420114206, (, 430074) :,,,,,,GIS.,,. : ; ; ; ; : TP391 ;P338 : A Development of Combinatorial Intelligentized Decision2Making Support System and Its Utilization in Runoff Forecasting

More information

Microsoft Word - 24217010311110028谢雯雯.doc

Microsoft Word - 24217010311110028谢雯雯.doc HUAZHONG AGRICULTURAL UNIVERSITY 硕 士 学 位 论 文 MASTER S DEGREE DISSERTATION 80 后 女 硕 士 生 择 偶 现 状 以 武 汉 市 七 所 高 校 为 例 POST-80S FEMALE POSTGRADUATE MATE SELECTION STATUS STUDY TAKE WUHAN SEVEN UNIVERSITIES

More information

<4D F736F F D20B3E6B4B9A4F930365F32A443AC71C5E3A5DCBEB9B1B1A8EE2E646F63>

<4D F736F F D20B3E6B4B9A4F930365F32A443AC71C5E3A5DCBEB9B1B1A8EE2E646F63> 七段顯示器控制電路四位數 _ 使用解碼器驅動 +5 V 10 uf 8.2 k 12 MHz 20 pf 1 2 3 4 5 6 7 8 9 P1.0 P1.1 P1.2 P1.3 P1.4 P1.5 P1.6 P1.7 RESET 10 P3.0 11 12 13 14 15 16 17 18 19 20 P3.1 P3.2 P3.3 P3.4 P3.5 P3.6 P3.7 XTAL2 XTAL1

More information

UDC 厦门大学博硕士论文摘要库

UDC 厦门大学博硕士论文摘要库 10384 9924012 UDC 2002 5 2002 2002 2002 5 1 Study on High Speed Switch System and Their ASIC Frontend Design Thesis for MS By Shuicheng Cai Supervisor: Prof. Donghui Guo Department of Physics Xiamen Unviersity

More information

Microsoft Word - A201009-646.doc

Microsoft Word - A201009-646.doc # 中 国 网 络 游 戏 外 挂 问 题 现 状 分 析 * 兰 晓, 尹 杰 ( 中 国 传 媒 大 学 信 息 工 程 学 院 ) 摘 要 : 网 络 游 戏 外 挂 的 泛 滥 严 重 阻 碍 了 中 国 网 络 游 戏 产 业 的 正 常 发 展 本 文 给 出 了 网 络 游 戏 外 挂 的 定 义, 并 对 当 前 中 国 网 络 游 戏 存 在 的 安 全 问 题 进 行 了 分 析,

More information

exporting country, "Taiwan meets the list, the mainland produces goods" is the typical representative of the triangular trade. In order to ensure the

exporting country, Taiwan meets the list, the mainland produces goods is the typical representative of the triangular trade. In order to ensure the Journal of China Institute of Technology Vol.37-2007.12 The Operation of Letter of Credit and Offshore Company under Triangular Trade Lisa Liang Lecturer Department of International Business, China Institute

More information

BIBLID 0254-4466(2002)20:1 pp. 277-307 20 1 91 6 1904 1920 20 1922 15 Phlip de Vargas Some Aspects of the Chinese Renaissance 1891-1962 1887-1936 Chinese * 277 278 20 1 Renaissance 1873-1929 1 2 3 1902

More information

運動觀光及休閒產業管理學術研討會論文格式

運動觀光及休閒產業管理學術研討會論文格式 第 壹 章 緒 論 第 一 節 研 究 背 景 與 動 機 近 年 來 台 灣 面 臨 全 球 化, 許 多 工 業 在 轉 型 或 外 移 後 形 成 閒 置 荒 廢 的 狀 態 因 應 時 代 潮 流 變 化, 台 灣 傳 統 產 業 相 較 於 大 陸 市 場 的 廉 價 勞 工 廉 價 廠 房 所 產 生 的 排 擠 效 應 漸 趨 嚴 重 化, 加 上 年 輕 人 逐 漸 向 都 市 發

More information

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如

9 什 么 是 竞 争 与 冒 险 现 象? 怎 样 判 断? 如 何 消 除?( 汉 王 笔 试 ) 在 组 合 逻 辑 中, 由 于 门 的 输 入 信 号 通 路 中 经 过 了 不 同 的 延 时, 导 致 到 达 该 门 的 时 间 不 一 致 叫 竞 争 产 生 毛 刺 叫 冒 险 如 FPGA 工 程 师 面 试 试 题 一 1 同 步 电 路 和 异 步 电 路 的 区 别 是 什 么?( 仕 兰 微 电 子 ) 2 什 么 是 同 步 逻 辑 和 异 步 逻 辑?( 汉 王 笔 试 ) 同 步 逻 辑 是 时 钟 之 间 有 固 定 的 因 果 关 系 异 步 逻 辑 是 各 时 钟 之 间 没 有 固 定 的 因 果 关 系 3 什 么 是 " 线 与 " 逻 辑, 要 实

More information

1 1

1 1 1 1 2 Idea Architecture Design IC Fabrication Wafer (hundreds of dies) Sawing & Packaging Block diagram Final chips Circuit & Layout Design Testing Layout Bad chips Good chips customers 3 2 4 IC Fabless

More information

System Design and Setup of a Robot to Pass over Steps Abstract In the research, one special type of robots that can pass over steps is designed and se

System Design and Setup of a Robot to Pass over Steps Abstract In the research, one special type of robots that can pass over steps is designed and se 8051 8051 System Design and Setup of a Robot to Pass over Steps Abstract In the research, one special type of robots that can pass over steps is designed and setup. This type of robot uses two kinds of

More information

06

06 BIBLID 0254-4466(2002)20:2 pp. 141-163 20 2 91 12 414?-466 403-444 * 141 142 20 2 420-479 439 1745-1794 129 121 1 438 444 2 444 436-453 3 453 442-453 1 2000 106 2 Su Jui-lung, Versatility within Tradition:

More information

ENGG1410-F Tutorial 6

ENGG1410-F Tutorial 6 Jianwen Zhao Department of Computer Science and Engineering The Chinese University of Hong Kong 1/16 Problem 1. Matrix Diagonalization Diagonalize the following matrix: A = [ ] 1 2 4 3 2/16 Solution The

More information

(baking powder) 1 ( ) ( ) 1 10g g (two level design, D-optimal) 32 1/2 fraction Two Level Fractional Factorial Design D-Optimal D

(baking powder) 1 ( ) ( ) 1 10g g (two level design, D-optimal) 32 1/2 fraction Two Level Fractional Factorial Design D-Optimal D ( ) 4 1 1 1 145 1 110 1 (baking powder) 1 ( ) ( ) 1 10g 1 1 2.5g 1 1 1 1 60 10 (two level design, D-optimal) 32 1/2 fraction Two Level Fractional Factorial Design D-Optimal Design 1. 60 120 2. 3. 40 10

More information

Microsoft Word doc

Microsoft Word doc 美 食 企 劃 案 的 執 行 - 以 嘉 義 縣 風 味 小 吃 文 宣 品 設 計 計 畫 為 例 王 祥 穎 洪 鎰 昌 稻 江 科 技 暨 管 理 學 院 文 學 與 平 面 傳 播 學 系 助 理 教 授 摘 要 本 論 文 是 以 嘉 義 縣 觀 光 旅 遊 局 嘉 義 縣 風 味 小 吃 文 宣 品 設 計 案 為 背 景, 探 討 美 食 文 宣 品 的 設 計, 包 括 企 劃 案

More information

附件1:

附件1: 2013 年 增 列 硕 士 专 业 学 位 授 权 点 申 请 表 硕 士 专 业 学 位 类 别 ( 工 程 领 域 ): 工 程 ( 集 成 电 路 工 程 ) 申 报 单 位 名 称 : 南 开 大 学 国 务 院 学 位 委 员 会 办 公 室 制 表 2013 年 12 月 18 日 填 一 申 请 增 列 硕 士 专 业 学 位 授 权 点 论 证 报 告 集 成 电 路 产 业 是

More information

Abstract Since 1980 s, the Coca-Cola came into China and developed rapidly. From 1985 to now, the numbers of bottlers has increased from 3 to 23, and

Abstract Since 1980 s, the Coca-Cola came into China and developed rapidly. From 1985 to now, the numbers of bottlers has increased from 3 to 23, and Abstract Since 1980 s, the Coca-Cola came into China and developed rapidly. From 1985 to now, the numbers of bottlers has increased from 3 to 23, and increases ulteriorly. When the Coca-Cola company came

More information

第 1 期 常 壮 等 : 基 于 RS-485 总 线 的 舰 船 损 管 训 练 平 台 控 系 统 研 究 87 能 : 1) 损 管 基 本 理 论 的 学 习 帮 助 舰 员 熟 悉 舰 艇 舱 室 相 关 规 章 制 度 损 管 施 分 布 和 使 用 不 沉 性 文 件 等 ) 损 管

第 1 期 常 壮 等 : 基 于 RS-485 总 线 的 舰 船 损 管 训 练 平 台 控 系 统 研 究 87 能 : 1) 损 管 基 本 理 论 的 学 习 帮 助 舰 员 熟 悉 舰 艇 舱 室 相 关 规 章 制 度 损 管 施 分 布 和 使 用 不 沉 性 文 件 等 ) 损 管 第 6 卷 第 1 期 011 年 月 Chinese 中 国 Journal 舰 of船 Ship研 Research 究 Vol.6 No.1 Feb. 第 011 6 卷 doi: 10. 3969 / j. issn. 1673-3185. 011. 01. 017 基 于 RS-485 总 线 的 舰 船 损 管 训 练 平 台 控 系 统 研 究 常 壮 1 邱 金 水 刘 伯 运 1

More information

<4D6963726F736F667420576F7264202D20B1B1BEA9BABAB0EEB8DFBFC6CAFDD7D6BCBCCAF5B9C9B7DDD3D0CFDEB9ABCBBEB4B4D2B5B0E5CAD7B7A2D4A4CFC8C5FBC2B6CEC4BCFED5D0B9C9CBB5C3F7CAE9A3A8C9EAB1A8B8E520B1A8CBCDC8D5C6DA32303134C4EA3132D4C23232C8D5A3A92E646F63>

<4D6963726F736F667420576F7264202D20B1B1BEA9BABAB0EEB8DFBFC6CAFDD7D6BCBCCAF5B9C9B7DDD3D0CFDEB9ABCBBEB4B4D2B5B0E5CAD7B7A2D4A4CFC8C5FBC2B6CEC4BCFED5D0B9C9CBB5C3F7CAE9A3A8C9EAB1A8B8E520B1A8CBCDC8D5C6DA32303134C4EA3132D4C23232C8D5A3A92E646F63> 声 明 : 本 次 股 票 发 行 后 拟 在 创 业 板 市 场 上 市, 该 市 场 具 有 较 高 的 投 资 风 险 创 业 板 公 司 具 有 业 绩 不 稳 定 经 营 风 险 高 退 市 风 险 大 等 特 点, 投 资 者 面 临 较 大 的 市 场 风 险 投 资 者 应 充 分 了 解 创 业 板 市 场 的 投 资 风 险 及 本 公 司 所 披 露 的 风 险 因 素, 审

More information

计 算 机 系 统 应 用 http://www.c-s-a.org.cn 2016 年 第 25 卷 第 1 期 的 编 程 语 言 Giotto [9] 编 写 控 制 程 序, 可 以 方 便 的 控 制 程 序 的 逻 辑 执 行 时 间, 从 而 使 得 任 务 时 间 的 依 赖 关 系

计 算 机 系 统 应 用 http://www.c-s-a.org.cn 2016 年 第 25 卷 第 1 期 的 编 程 语 言 Giotto [9] 编 写 控 制 程 序, 可 以 方 便 的 控 制 程 序 的 逻 辑 执 行 时 间, 从 而 使 得 任 务 时 间 的 依 赖 关 系 1 基 于 PRET 的 编 程 模 型 李 晓 飞, 陈 香 兰 ( 中 国 科 学 技 术 大 学 计 算 机 学 院, 合 肥 230039) 摘 要 : 时 间 可 预 测 性 在 信 息 物 理 系 统 设 计 领 域 正 变 得 越 来 越 重 要, 目 前 时 间 可 预 测 性 系 统 的 设 计 分 为 编 程 模 型 和 体 系 结 构 两 个 层 次, 编 程 模 型 的 研

More information

128 ( ) ( ) [ 1 ] [2] [3] (1) (2) (3) [1] [2] [3] 10 2 ( ) (1997.6) ( ) 64

128 ( ) ( ) [ 1 ] [2] [3] (1) (2) (3) [1] [2] [3] 10 2 ( ) (1997.6) ( ) 64 BIBLID 1026-5279 (2005) 94:2 p. 127-154 (2005.12) 127 Keywords Digital Library High School Library Library Website Open Source E-mail frank@hchs.hc.edu.tw 128 (2005.12) ( ) 6 0 68 [ 1 ] [2] [3] (1) (2)

More information

開展國際交流,進入進入世界舞台

開展國際交流,進入進入世界舞台 通 過 訪 視 考 驗 邁 向 元 培 科 技 大 學 改 名 科 大 - 全 校 師 生 一 致 的 期 望 秘 書 室 本 校 6 系 去 年 12 月 30 日 接 受 教 育 部 專 案 評 鑑, 獲 5 系 1 等 的 佳 績, 在 通 過 專 案 評 鑑 考 驗 的 同 時, 本 校 亦 已 符 合 改 名 科 技 大 學 的 資 格, 本 校 於 今 年 2 月 向 教 育 部 提 出

More information