DS1870 rev1 DS.C

Size: px
Start display at page:

Download "DS1870 rev1 DS.C"

Transcription

1 Rev 1; 5/4 AB LDMOS ( ) (LUT)256 A/D(ADC) LDMOS RF 13 ADCV CC V D I D1 I D2 ADC Hi/Lo 32 I 2 C TM 8 5V 16 TSSOP -4 C +95 C I 2 C Philips Corp. Maxim Integrated Products, Inc. I 2 C Philips I 2 C Philips I 2 C PART TEMP RANGE PIN-PACKAGE E-1-4 C to +95 C 16 TSSOP (173 mil) TOP VIEW 1 L 1 V CC 16 2 W 1 H COM 15 3 W 2 SDA 14 4 L 2 SCL 13 5 I D1 A I D2 A V D A 1 8 GND FAULT 9 TSSOP (173 mil) Maxim Integrated Products 1 Maxim Maxim Maxim Maxim

2 LDMOS RF ABSOLUTE MAXIMUM RATINGS Voltage Range on V CC, H COM, SDA, and SCL Pins Relative to Ground...-.5V to +6.V Voltage Range on A, A 1, A 2, FAULT, V D, I D1, I D2 Relative to Ground V to V CC +.5V, not to exceed +6.V Voltage Range on L, L1, W, and W1 Relative to Ground...-.5V to H COM +.5V, not to exceed +6.V Operating Temperature Range...-4 C to +95 C EEPROM Programming Temperature Range... C to +7 C Storage Temperature Range C to +125 C Soldering Temperature...See IPC/JEDEC J-STD-2A Specification Stresses beyond those listed under Absolute Maximum Ratings may cause permanent damage to the device. These are stress ratings only, and functional operation of the device at these or any other conditions beyond those indicated in the operational sections of the specifications is not implied. Exposure to absolute maximum rating conditions for extended periods may affect device reliability. RECOMMENDED DC OPERATING CONDITIONS (T A = -4 C to +95 C) PARAMETER SYMBOL CONDITIONS MIN TYP MAX UNITS Supply Voltage V CC (Note 1) V Input Logic 1 (SDA, SCL, A 2, A 1, A ) Input Logic (SDA, SCL, A 2, A 1, A ) V IH V IL x V CC + V CC.3 H COM Voltage V L X and W X Voltage -.3 Wiper Current ma +.3 x V CC H COM +.3 V V V DC ELECTRICAL CHARACTERISTICS (V CC = +4.5 to 5.5V, T A = -4 C to +95 C.) PARAMETER SYMBOL CONDITIONS MIN TYP MAX UNITS Supply Current I CC (Note 2) 1 2 ma Input Leakage I LI na Low-Level Output Voltage V OL1 3mA sink current.4 V (SDA, FAULT) V OL2 6mA sink current.6 V I/O Capacitance C I/O 1 pf Digital Power-On Reset V POD V Analog Power-On Reset V POA V 2

3 LDMOS RF ANALOG VOLTAGE-MONITORING CHARACTERISTICS (V CC = +4.5 to 5.5V, T A = -4 C to +95 C.) V D Monitor Factory- Calibrated FS PARAMETER SYMBOL CONDITIONS MIN TYP MAX UNITS V CC Monitor Factory- Calibrated FS Code FFF8h V Code FFF8h V I D1 and I D2 Monitor Factory- Calibrated FS Resolution (V CC, V D, I D1, I D2 ) Accuracy (V CC, V D, I D1, I D2 ) Code FFF8h V.122 %FS.25.5 %FS Update Rate for V CC, V D, I D1, I D2 t frame 5 ms DIGITAL THERMOMETER CHARACTERISTICS (V CC = +4.5 to 5.5V, T A = -4 C to +95 C.) PARAMETER SYMBOL CONDITIONS MIN TYP MAX UNITS Thermometer Error T ERR -4 C to 95 C C Update Rate t frame 5 ms ANALOG POTENTIOMETER CHARACTERISTICS (V CC = +4.5 to 5.5V, T A = -4 C to +95 C.) PARAMETER SYMBOL CONDITIONS MIN TYP MAX UNITS Wiper Resistance +25 C 5 1 Ω Potentiometer End-to-End Resistance R POT +25 C kω Resolution.4 %FS Absolute Linearity (Note 3) LSB Relative Linearity (Note 4) LSB Ratiometric Temperature Coefficient 5 ppm/ C End-to-End Temperature Coefficient 7 ppm/ C -3dB Cutoff Frequency (Note 5) 1 MHz Series Resistors from L1, L2 to GND R S +25 C kω V HCOM /V LX

4 LDMOS RF LOOKUP TABLE CHARACTERISTICS (V CC = +4.5 to 5.5V, T A = -4 C to +95 C.) PARAMETER SYMBOL CONDITIONS MIN TYP MAX UNITS POT1 and POT2 Temp LUT Size 72 POT1 and POT2 Temp LUT Index Range Bytes each C Temp Step 2 C Temp Hysteresis (Note 6) 1 C POT1 and POT2 Drain LUT Size 64 Bytes each POT1 and POT2 Drain LUT V D Index Range POT1 and POT2 Drain LUT V D Step POT1 and POT2 Drain LUT V D Hysteresis POT1 and POT2 Drain LUT I DX Index Range POT1 and POT2 Drain LUT I DX Step POT1 and POT2 Drain LUT I DX Hysteresis 8 FE Hex 2 Hex (Note 6) 1 Hex 7E Hex 2 Hex (Note 6) 1 Hex 4

5 LDMOS RF AC ELECTRICAL CHARACTERISTICS (V CC = +4.5V to 5.5V, T A = -4 C to +95 C, timing referenced to V IL(MAX) and V IH(MIN).) (Figure 3) PARAMETER SYMBOL CONDITIONS MIN TYP MAX UNITS SCL Clock Frequency f SCL (Note 7) 4 khz Bus Free Time Between Stop and Start Conditions t BUF 1.3 µs Hold Time (Repeated) Start Condition t HD:STA.6 µs Low Period of SCL t LOW 1.3 µs High Period of SCL t HIGH.6 µs Data Hold Time t HD:DAT.9 µs Data Setup Time t SU:DAT 1 ns Start Setup Time t SU:STA.6 µs SDA and SCL Rise Time t R (Note 8) 2 +.1C B 3 ns SDA and SCL Fall Time t F (Note 8) 2 +.1C B 3 ns Stop Setup Time t SU:STO.6 µs SDA and SCL Capacitive Loading C B (Note 8) 4 pf EEPROM Write Time t W (Note 9) 1 2 ms NONVOLATILE MEMORY CHARACTERISTICS (V CC = +4.5V to 5.5V, T A = C to +7 C.) PARAMETER SYMBOL CONDITIONS MIN TYP MAX UNITS Writes +7 C (Note 5) 5, Note 1: All voltages referenced to ground. Note 2: Supply current is measured with all logic inputs at their inactive state (SDA = SCL = V CC ) and driven to well-defined logic levels. All outputs are disconnected. Note 3: Absolute linearity is the difference of measured value from expected value at the DAC position. Expected value is a straight line from measured minimum position to measured maximum position. Note 4: Relative linearity is the deviation of an LSB DAC setting change vs. the expected LSB change. Expected LSB change is the slope of the straight line from measured minimum position to measured maximum position. Note 5: This parameter is guaranteed by design. Note 6: See Figure 1. Note 7: I 2 C interface timing shown is for fast-mode (4kHz) operation. This device is also backward compatible with I 2 C standard-mode timing. Note 8: C B total capacitance of one bus line in picofarads. Note 9: EEPROM write begins after a stop condition occurs. 5

6 LDMOS RF (V CC = +5.V, T A = +25 C, unless otherwise noted.) SUPPLY CURRENT (ma) SUPPLY CURRENT vs. SUPPLY VOLTAGE toc1 SUPPLY CURRENT (ma) SUPPLY CURRENT vs. TEMPERATURE V CC = 5.5V V CC = 5.V 6 55 V CC = 4.5V SUPPLY VOLTAGE (V) TEMPERATURE ( C) H COM VOLTAGE (V) toc2 HCOM CURRENT (ma) H COM CURRENT vs. H COM VOLTAGE toc3 WIPER VOLTAGE (V) POTENTIOMETER 1 AND 2 OUTPUT VOLTAGE vs. POSITON H COM = 5V L1 AND L2 NOT CONNECTED toc4 DIFFERENTIAL NONLINEARITY (LSB) POTENTIOMETER 1 DIFFERENTIAL NONLINEARITY vs. WIPER POSITION toc5 DIFFERENTIAL NONLINEARITY (LSB) POTENTIOMETER 2 DIFFERENTIAL NONLINEARITY vs. WIPER POSITION toc WIPER POSITION (DEC) WIPER POSITION (DEC) WIPER POSITION (DEC) INTEGRAL NONLINEARITY (LSB) POTENTIOMETER 1 INTEGRAL NONLINEARITY vs. WIPER POSITION toc7 INTEGRAL NONLINEARITY (LSB) POTENTIOMETER 2 INTEGRAL NONLINEARITY vs. WIPER POSITION toc8 WIPER RESISTANCE (Ω) POTENTIOMETER 1 AND 2 WIPER RESISTANCE vs. WIPER VOLTAGE H COM = 5.V toc WIPER POSITION (DEC) WIPER POSITION (DEC) WIPER VOLTAGE (V) 6

7 LDMOS RF ( ) (V CC = +5.V, T A = +25 C, unless otherwise noted.) WIPER RESISTANCE (Ω) POTENTIOMETER 1 AND 2 WIPER RESISTANCE vs. WIPER VOLTAGE H COM = 5.V WIPER VOLTAGE (V) toc9 RESISTANCE CHANGE FROM 25 C (PPM/C) POTENTIOMETER 1 AND 2 WIPER RESISTANCE vs. TEMPERATURE H 1 COM = 5V WIPER VOLTAGE = 4V TEMPERATURE ( C) toc1 CHANGE FROM RESISTANCE AT 25 C (PPM/C) POTENTIOMETER END-TO-END RESISTANCE vs. TEMPERATURE R POT2 + R S2 R POT1 + R S TEMPERATURE ( C) toc11 OUTPUT DRIFT (PPM/C) POTENTIOMETER LOW TERMINAL VOLTAGE vs. TEMPERATURE L L2-15 H COM = 5.V toc12 ERROR (% FS) V CC CONVERSION ERROR vs. SUPPLY VOLTAGE DEFAULT V CC CALIBRATION toc13 ERROR (% FS) VD CONVERSION ERROR vs. INPUT VOLTAGE DEFAULT VD CALIBRATION toc TEMPERATURE ( C) SUPPLY VOLTAGE (V) INPUT VOLTAGE (V) ID1 CONVERSION ERROR vs. INPUT VOLTAGE toc ID2 CONVERSION ERROR vs. INPUT VOLTAGE toc ERROR (% FS) ERROR (% FS) DEFAULT ID1 CALIBRATION DEFAULT ID2 CALIBRATION INPUT VOLTAGE (V) INPUT VOLTAGE (V) 7

8 LDMOS RF 1 L W W L I D1 1 6 I D2 2 7 V D 8 GND 9 FAULT 1 A 11 A 1 12 A 2 13 SCL 14 SDA 15 H COM 16 V CC I 2 C11A 2 A 1 A I 2 C / I 2 C 1 2 8

9 LDMOS RF V CC SDA SCL A A 1 A 2 V CC I 2 C INTERFACE CONTROL ADDRESS GENERATION 32 BYTES USER MEMORY OFFSET CALIBRATION REGISTERS BIT ADC GAIN CALIBRATION REGISTERS MUX ON-CHIP TEMP SENSOR V CC V D I D1 I D2 I 2 C DATA BUS V D I D1 V D I D2 LOAD INDEX POT1 DRAIN LUT TABLE 4 (64 BYTES) TEMP VD1 INDEX POT2 DRAIN LUT TABLE 5 (64 BYTES) VD2 HI AND LO LIMITS FOR TEMP, V CC, V D, I D1, I D2 MEASURED VALUES FOR TEMP, V CC, V D, I D, I D1 LIMIT COMPARATOR LIMIT FLAG REGISTERS FAULT MASK FAULT LOAD INDEX POT1 TEMP LUT TABLE 2 (72 BYTES) INDEX POT2 TEMP LUT TABLE 3 (72 BYTES) + + POT2 R POT H COM W 2 L 2 R S GND + + R S POT1 R POT W 1 L 1 9

10 LDMOS RF 1. SIGNAL +FS SIGNAL +FS (hex) -FS SIGNAL -FS (hex) V CC 6.553V FFF8 V V D 2.5V FFF8 V I D1.5V FFF8 V I D2.5V FFF8 V LDMOS AB AB (W1 W2) LDMOS 13 ADCV CC FAULT ADC I 2 C 2. SIGNAL LSB WEIGHT (µv) REGISTER VALUE (hex) INPUT VOLTAGE (V) V CC V CC 1. CF V D C V D I D I D h-69h ADC ADC t frame 1 16 LSB 65, LSB V CC V CC C347h? V CC LSB (6.553V - V) / 65,528 = 1.µV C347h 1 49,99149,99 x 1.µV = 4.999V 2 ADC 1 +FS -FS / / 13 ADC (V CC V D I D1 I D2 )16 1

11 LDMOS RF 3. SIGNAL +FS SIGNAL +FS (hex) -FS SIGNAL -FS (hex) Temp C 7FF C h 61h t frame H COM H COM 5V3 5V H COM L X L X GND 4. MSB (bin) LSB (bin) TEMPERATURE ( C) LUT LUT ADDRESS (hex) CORRESPONDING TEMPERATURE ( C) 8-4 C C C C6 C7 / LUT LUTPOT1 LUT ( 2) POT1 / LUT 4 1 POT2 LUT ( 3) POT2 / LUT C +12 C 11

12 LDMOS RF MEMORY LOCATION 9Ah 99h 98h 97h 96h DECREASING TEMPERATURE INCREASING TEMPERATURE MEMORY LOCATION 9Ah 99h 98h 97h 96h DECREASING DRAIN VOLTAGE INCREASING DRAIN VOLTAGE MEMORY LOCATION 9Ah 99h 98h 97h 96h DECREASING DRAIN CURRENT INCREASING DRAIN CURRENT 95h 95h 95h TEMPERATURE ( C) AA ACAEOO B B2 B4 DRAIN VOLTAGE CONVERSION (HEX) 2A 2C 2E DRAIN CURRENT CONVERSION (HEX) 1. LUT (LUT2 LUT3) 72-4 C +12 C 2 C -4 C+12 C -4 C +12 C ( 255) LUT 1 C( 1) LUT, 5 / LUT4 LUT564 VD1 V D I D1 POT1 LUT VD2 V D I D2 POT2 LUT VD1 VD21 85h8 ( )LUT 6. V D I DX LUT LUT ADDRESS (hex) V D VALUE (hex) I DX VALUE (hex) BE FC 7C BF FE 7E LUT V D I DX 6 V D I DX 1h ( 1) LUT LUT 16 ADC B/O_en Index_en LUT 1 Man DAC AFh (V CC V D I D1 I D2 ) ( 1 ) () (FS) 1 (FFF8h)FS 1 LSB 12

13 LDMOS RF FS65,528 (FFF8h)LSB 5µV FS 65,528 x 5µV = V FS 9 LSB 9% /* Assume that the null input is.5v */ /* Assume that the requirement for the LSB is 5µV */ FS = * 5e-6; /*3.2764V */ CNT1 =.5 / 5e-6; /* 1 */ CNT2 =.9 X FS / 5e-6; /* */ /* So the null input is.5v and 9% of FS is 2.949V */ Set the input s offset register to zero gain_result = h; /* Working register for gain calculation */ CLAMP = FFF8h; /* This is the max ADC value*/ For n = 15 down to begin gain_result = gain_result + 2 n ; Write gain_result to the input s gain register; Force the 9% FS input (2.949V); Meas2= ADC result from ; If Meas2 CLAMP Then gain_result = gain_result - 2 n ; Else Force the null input (.5V) Meas1 = ADC result from If [(Meas2-Meas1)>(CNT2-CNT1)] Then gain_result = gain_result - 2 n ; end; Write gain_result to the input s gain register; (.5V) Vh (.5V) (Meas1) Meas Offset = LUT h(t ref ) (T ) ( ( )) TempOffset = Tref T XORbitwise BB4h V CC (V POD ) I 2 C EEPROM / ( )V CC (V POA ) V CC V POA 74hRdyb1 ADC V CC V POA Rdyb 1 V POA EEPROM V CC V POD V CC Lo 1 V CC ADC V CC < V POA FAULT 13

14 LDMOS RF ( h 7Fh) ( 2) (7Fh) (8h FFh) EE ( 78h) EEPROM 1 FFFFhPWE FFFFh PWE h EEPROM 2h 3Fh 1 8h A7h SRAM EEPROM(SEE = ) EEPROMSEE = 1SRAM EEPROM EEPROMSEE = 1 EEPROM SEE = EEPROM EEPROM SEE 1 AFh 8 4 (2 ) ( 1) ( 1) / (2 X ) S2 / MAIN MEMORY h 8h 7Fh USER MEMORY; HI/LO ALARM CONFIGURATION THRESHOLDS; ADC RESULTS; CONFIGURATION AFh SEL TABLE-SELECT BYTE (7Fh) TABLE 1 TABLE 2 TABLE 3 TABLE 4 TABLE 5 8h 8h 8h 8h C7h POT1 TEMP LUT SEL C7h POT2 TEMP LUT SEL BFh POT1 DRAIN LUT SEL BFh POT2 DRAIN LUT SEL 2. 14

15 LDMOS RF ROW (HEX) LOWER MEMORY ROW WORD WORD 1 WORD 2 WORD 3 NAME BYTE BYTE 1 BYTE 2 BYTE 3 BYTE 4 BYTE 5 BYTE 6 BYTE 7 User Row User EE User EE User EE User EE User EE User EE User EE User EE 8 User Row1 User EE User EE User EE User EE User EE User EE User EE User EE 1 User Row2 User EE User EE User EE User EE User EE User EE User EE User EE 18 User Row3 User EE User EE User EE User EE User EE User EE User EE User EE 2 Threshold Temp Hi Alarm V CC Hi Alarm VD Hi Alarm ID1 Hi Alarm 28 Threshold1 ID2 Hi Alarm Reserved Reserved Reserved 3 Threshold2 Temp Lo Alarm V CC Lo Alarm VD Lo Alarm ID1 Lo Alarm 38 Threshold3 ID2 Lo Alarm Reserved Reserved Reserved 4 Reserved Reserved Reserved Reserved Reserved Reserved Reserved Reserved 48 Reserved Reserved Reserved Reserved Reserved Reserved Reserved Reserved 5 Reserved Reserved Reserved Reserved Reserved Reserved Reserved Reserved 58 Reserved Reserved Reserved Reserved Reserved Reserved Reserved Reserved 6 A2D Value Temp Value V CC Value VD Value ID1 Value 68 A2D Value1 ID2 Value Reserved Reserved Reserved 7 Status Hi Alarm Lo Alarm Reserved Reserved I/O Status A2D Status Reserved Reserved 78 Table Select PWE Reserved Reserved Reserved Reserved Reserved Tbl Sel BYTE (HEX) EXPANDED BYTES BYTE BIT 7 BIT 6 BIT 5 BIT 4 BIT 3 BIT 2 BIT 1 BIT NAME BIT 15 BIT 14 BIT 13 BIT 12 BIT 11 BIT 1 BIT 9 BIT 8 BIT 7 BIT 6 BIT 5 BIT 4 BIT 3 BIT 2 BIT 1 BIT -1F User EE EE EE EE EE EE EE EE EE 2 Temp Hi Alrm S V CC Hi Alrm VD Hi Alrm ID1 Hi Alrm ID2 Hi Alrm Temp Lo Alrm S V CC Lo Alrm VD Lo Alrm ID1 Lo Alrm ID2 Lo Alrm Temp Value S V CC Value VD Value ID1 Value ID2 Value Hi Alarm Temp Hi V CC Hi VD Hi ID1 Hi ID2 Hi Reserved Reserved Reserved 71 Lo Alarm Temp Lo V CC Lo VD Lo ID1 Lo ID2 Lo Reserved Reserved Reserved 74 I/O Status Reserved Reserved Reserved Reserved Fault Mint Reserved Rdyb 75 A2D Status Temp Rdy V CC Rdy VD Rdy ID1 Rdy ID2 Rdy Reserved Reserved Reserved 78 PWE F Tbl Sel

16 LDMOS RF ROW (HEX) TABLE 1 ( CONFIGURATION ) ROW WORD WORD 1 WORD 2 WORD 3 NAME BYTE BYTE 1 BYTE 2 BYTE 3 BYTE 4 BYTE 5 BYTE 6 BYTE 7 8 Config Password LUT Sel Fault Ena Reserved 88 Scale Reserved Vcc Scale VD Scale ID1 Scale 9 Scale 1 ID2 Scale Reserved Reserved Reserved 98 Offset Reserved Vcc Offset VD Offset ID1 offset A Offset1 ID2 Offset Reserved Reserved Temp Offset A8 BYTE (HEX) LUT Index T Index O1 Index O2 Index POT1 base POT1 off EXPANDED BYTES POT2 base POT2 off Man Dac BYTE BIT 7 BIT 6 BIT 5 BIT 4 BIT 3 BIT 2 BIT 1 BIT NAME BIT 15 BIT 14 BIT 13 BIT 12 BIT 11 BIT 1 BIT 9 BIT 8 BIT 7 BIT 6 BIT 5 BIT 4 BIT 3 BIT 2 BIT 1 BIT 8 Password LUT Sel Reserved Reserved Reserved Reserved Reserved Reserved VD2 VD1 86 Fault Ena Temp Ena Vcc Ena VD Ena ID1 Ena ID2 Ena Reserved Reserved Reserved 8A Vcc C VD Scale E ID1 Scale ID2 Scale A Vcc Offset S S C VD Offset S S E ID1 S S A ID2 S S A6 Temp Offset S A8 T Index A9 O1 Index AA O2 Index AB POT1 base AC POT1 off S AD POT2 base AE POT2 off S AF Man DAC Reserved Reserved Reserved Reserved Reserved SEE B/O_en index_en 16

17 LDMOS RF ROW (HEX) TABLE 2 (POT1 TEMP LUT) ROW WORD WORD 1 WORD 2 WORD 3 NAME BYTE BYTE 1 BYTE 2 BYTE 3 BYTE 4 BYTE 5 BYTE 6 BYTE 7 8 LUT POT1 POT1 POT1 POT1 POT1 POT1 POT1 POT1 88 LUT POT1 POT1 POT1 POT1 POT1 POT1 POT1 POT1 9 LUT POT1 POT1 POT1 POT1 POT1 POT1 POT1 POT1 98 LUT POT1 POT1 POT1 POT1 POT1 POT1 POT1 POT1 A LUT POT1 POT1 POT1 POT1 POT1 POT1 POT1 POT1 A8 LUT POT1 POT1 POT1 POT1 POT1 POT1 POT1 POT1 B LUT POT1 POT1 POT1 POT1 POT1 POT1 POT1 POT1 B8 LUT POT1 POT1 POT1 POT1 POT1 POT1 POT1 POT1 C LUT POT1 POT1 POT1 POT1 POT1 POT1 POT1 POT1 C8 Reserved Reserved Reserved Reserved Reserved Reserved Reserved Reserved D Reserved Reserved Reserved Reserved Reserved Reserved Reserved Reserved D8 Reserved Reserved Reserved Reserved Reserved Reserved Reserved Reserved E Reserved Reserved Reserved Reserved Reserved Reserved Reserved Reserved E8 Reserved Reserved Reserved Reserved Reserved Reserved Reserved Reserved F Reserved Reserved Reserved Reserved Reserved Reserved Reserved Reserved F8 Reserved Reserved Reserved Reserved Reserved Reserved Reserved Reserved BYTE (HEX) BYTE NAME EXPANDED BYTES BIT 7 BIT 6 BIT 5 BIT 4 BIT 3 BIT 2 BIT 1 BIT 8-C7 POT

18 LDMOS RF TABLE 3 ( POT2 TEMP LUT) ROW ROW WORD WORD 1 WORD 2 WORD 3 (HEX) NAME BYTE BYTE 1 BYTE 2 BYTE 3 BYTE 4 BYTE 5 BYTE 6 BYTE 7 8 LUT POT2 POT2 POT2 POT2 POT2 POT2 POT2 POT2 88 LUT POT2 POT2 POT2 POT2 POT2 POT2 POT2 POT2 9 LUT POT2 POT2 POT2 POT2 POT2 POT2 POT2 POT2 98 LUT POT2 POT2 POT2 POT2 POT2 POT2 POT2 POT2 A LUT POT2 POT2 POT2 POT2 POT2 POT2 POT2 POT2 A8 LUT POT2 POT2 POT2 POT2 POT2 POT2 POT2 POT2 B LUT POT2 POT2 POT2 POT2 POT2 POT2 POT2 POT2 B8 LUT POT2 POT2 POT2 POT2 POT2 POT2 POT2 POT2 C LUT POT2 POT2 POT2 POT2 POT2 POT2 POT2 POT2 C8 Reserved Reserved Reserved Reserved Reserved Reserved Reserved Reserved D Reserved Reserved Reserved Reserved Reserved Reserved Reserved Reserved D8 Reserved Reserved Reserved Reserved Reserved Reserved Reserved Reserved E Reserved Reserved Reserved Reserved Reserved Reserved Reserved Reserved E8 Reserved Reserved Reserved Reserved Reserved Reserved Reserved Reserved F Reserved Reserved Reserved Reserved Reserved Reserved Reserved Reserved F8 Reserved Reserved Reserved Reserved Reserved Reserved Reserved Reserved BYTE (HEX) BYTE NAME EXPANDED BYTES BIT 7 BIT 6 BIT 5 BIT 4 BIT 3 BIT 2 BIT 1 BIT 8-C7 POT

19 LDMOS RF ROW (HEX) TABLE 4 ( POT1 DRAIN LUT) ROW WORD WORD 1 WORD 2 WORD 3 NAME BYTE BYTE 1 BYTE 2 BYTE 3 BYTE 4 BYTE 5 BYTE 6 BYTE 7 8 LUT POT1 Off POT1 Off POT1 Off POT1 Off POT1 Off POT1 Off POT1 Off POT1 Off 88 LUT POT1 Off POT1 Off POT1 Off POT1 Off POT1 Off POT1 Off POT1 Off POT1 Off 9 LUT POT1 Off POT1 Off POT1 Off POT1 Off POT1 Off POT1 Off POT1 Off POT1 Off 98 LUT POT1 Off POT1 Off POT1 Off POT1 Off POT1 Off POT1 Off POT1 Off POT1 Off A LUT POT1 Off POT1 Off POT1 Off POT1 Off POT1 Off POT1 Off POT1 Off POT1 Off A8 LUT POT1 Off POT1 Off POT1 Off POT1 Off POT1 Off POT1 Off POT1 Off POT1 Off B LUT POT1 Off POT1 Off POT1 Off POT1 Off POT1 Off POT1 Off POT1 Off POT1 Off B8 LUT POT1 Off POT1 Off POT1 Off POT1 Off POT1 Off POT1 Off POT1 Off POT1 Off BYTE (HEX) BYTE NAME EXPANDED BYTES BIT 7 BIT 6 BIT 5 BIT 4 BIT 3 BIT 2 BIT 1 BIT 8-BF POT1 Off S TABLE 5 (POT2 DRAIN LUT) ROW ROW WORD WORD 1 WORD 2 WORD 3 (HEX) NAME BYTE BYTE 1 BYTE 2 BYTE 3 BYTE 4 BYTE 5 BYTE 6 BYTE 7 8 LUT POT2 Off POT2 Off POT2 Off POT2 Off POT2 Off POT2 Off POT2 Off POT2 Off 88 LUT POT2 Off POT2 Off POT2 Off POT2 Off POT2 Off POT2 Off POT2 Off POT2 Off 9 LUT POT2 Off POT2 Off POT2 Off POT2 Off POT2 Off POT2 Off POT2 Off POT2 Off 98 LUT POT2 Off POT2 Off POT2 Off POT2 Off POT2 Off POT2 Off POT2 Off POT2 Off A LUT POT2 Off POT2 Off POT2 Off POT2 Off POT2 Off POT2 Off POT2 Off POT2 Off A8 LUT POT2 Off POT2 Off POT2 Off POT2 Off POT2 Off POT2 Off POT2 Off POT2 Off B LUT POT2 Off POT2 Off POT2 Off POT2 Off POT2 Off POT2 Off POT2 Off POT2 Off B8 LUT POT2 Off POT2 Off POT2 Off POT2 Off POT2 Off POT2 Off POT2 Off POT2 Off BYTE (HEX) BYTE NAME EXPANDED BYTES BIT 7 BIT 6 BIT 5 BIT 4 BIT 3 BIT 2 BIT 1 BIT 8-BF POT2 Off S

20 LDMOS RF < > <> < / > a) X X b) Y Y / (R)(W) (R/W) (V) (NV)NA EEPROM Dalls Semiconductor User EE <R/W><NV><h> NV EEPROM Threshold Temp Hi Alarm V CC Hi Alarm VD Hi Alarm ID1 Hi Alarm Threshold 1 ID2 Hi Alarm Threshold 2 Temp Lo Alarm V CC Lo Alarm VD Lo Alarm ID1 Lo Alarm Threshold 3 ID2 Lo Alarm <R/W><NV><h> <R/W><NV><h> V CC <R/W><NV><h> V D <R/W><NV><h> I D1 <R/W><NV><h> I D2 <R/W><NV><h> <R/W><NV><h> V CC <R/W><NV><h> V D <R/W><NV><h> I D1 <R/W><NV><h> I D2 2

21 LDMOS RF A2D Value Temp Value <R><NA><h> V CC Value <R><NA><h> V CC VD Value <R><NA><h> V D ID1 Value <R><NA><h> I D1 A2D Value 1 ID2 Value <R><NA><h> I D2 Status Hi Alarm <R><NA><h> a) Temp Hi b) V CC Hi V CC c) VD Hi V D d) ID1 Hi I D1 e) ID2 Hi I D2 Lo Alarm <R><NA><4h> a) Temp Lo b) V CC Lo V CC V CC POR V CC c) VD Lo V D d) ID1 Lo I D1 e) ID2 Lo I D2 I/O Status <R><NA>< > FAULT a) FAULT FAULT FAULT b) Mint FAULTFAULT FAULT Fault Ena RDBY 1 c) Rdyb Ready(V POA ) V POA I 2 C1 A2D Status <R/W><V><h> a) Temp Rdy b) V CC Rdy V CC c) VD Rdy V D d) ID1 Rdy I D1 e) ID2 Rdy I D2 21

22 LDMOS RF PWE PWE TBL Sel 1 ( ) <W><V><FFFFh> 78h 7Fh PWE Table_Select PWE <R/W><V><h> 5 (1 5)(1 5) Config Password <R/W><NV><FFFFh> PWE PWE EEPROM LUT Sel <R/W><NV> <3h> a) VD2 1V D POT2 ( 5)LUT I D2 b) VD1 1V D POT1 ( 4)LUT I D1 Fault Ena <R/W><NV> <h> FAULT a) Temp Ena FAULT b) Vcc Ena V CC FAULT c) VD Ena V D FAULT d) ID1 Ena I D1 FAULT e) ID2 Ena I D2 FAULT Scale V CC Scale <R/W><NV><XXXX> V CC V CC V FS VD Scale ID1 Scale <R/W><NV><XXXX> V D V D 2.5V FS <R/W><NV><XXXX> I D1 I D1.5V FS Scale 1 ID2 Scale <R/W><NV><XXXX> I D2 I D2.5V FS Offset V CC Offset <R/W><NV><h> V CC VD Offset ID1 Offset <R/W><NV><h> V D <R/W><NV><h> I D1 Offset 1 ID2 Offset Temp Offset LUT Index <R/W><NV><h> I D2 <R/W><NV><h> 22

23 LDMOS RF T Index O1 Index <R><NA><h> LUT2 3 <R><NA><h> V D I D1 ( LUT Sel ) LUT4 O2 Index <R><NA><h> V D I D2 ( LUT Sel ) LUT5 POT1 base <R><NA><h> POT1 T Index 2 I D2 POT1 POT1 POT2 POT1 off <R><NA><h> POT1 O1 Index 4V D I D1 LUT Sel I D2 POT1 POT1 POT2 POT2 base <R><NA><h> POT2 T Index 3 I D2 POT2 POT1 POT2 POT2 off <R><NA><h> POT1 O2 Index 5V D I D2 LUT Sel I D2 POT2 POT1 POT2 MAN Dac <R/W><NA><3h>LUT a) SEE EE EE EE 1 NVEEEE SRAMEE EE2h 3Fh 18h A7h b) B/O_en LUT POT LUT POT POT ( POT1 Base POT1 Off POT2 Base POT2 Off ) I 2 C c) Index_en LUT ( T Index O1 Index O2 Index ) LUT ( )LUT I D2 ( ) 23

24 LDMOS RF 2 (POT1LUT) 8h-C7h POT1 <R/W><NV><h> POT1 3 (POT2LUT) 8h-C7h POT2 <R/W><NV><h> POT2 4 (POT1LUT) 8h-B8h POT1 Off <R/W><NV><h> POT1 5 (POT2LUT) 8h-B8h POT2 Off <R/W><NV><h> POT2 I 2 C I 2 C SCL SDA SCL SCL SDA SCL SDA SDA SCL SCL SDA SCL SDA t BUF t HD:STA t SP t LOW t R t F SCL t HD:STA t HIGH t SU:STA STOP START t SU:DAT REPEATED START t SU:STO t HD:DAT NOTE: TIMING IS REFERENCED TO V IL(MAX) AND V IH(MIN). 3. I 2 C 24

25 LDMOS RF MOST SIGNIFICANT BIT 4. 7-BIT SLAVE ADDRESS 1 1 A 2 A 1 A A 2, A 1, AND A PIN VALUES SDA SCL ()( 3) SCL SDA SCL SCL (ACK NACK) 9( ) 9 ACK 9 1 NACK ACK NACK3 ACK NACK ( ) ACK NACK 8 () ACK NACKSDA I 2 C ( 4)7 R/W R/W DETERMINES READ OR WRITE 11A 2 A 1 A () A2 A1 A 8 R/W = R/W = 1 I 2 C I 2 C I 2 C (R/W = ) (R/W = ) (1 ) 8( ) 3 6h (11h 22h 33h)6h 7h 11h 22h33h h EEPROM (R/W = ) 25

26 LDMOS RF EEPROM EEPROM(t W ) EEPROM EEPROM t W EEPROM EEPROM EEPROM 8 EEPROM 8 EEPROM 1 EEPROMSEE = 1 SRAMEEP ROM EEPROM R/W=1 NACK (R/W = ) (R/W = 1) ACK NACK 5 COMMUNICATIONS KEY S P START STOP A N ACK NOT ACK WHITE BOXES INDICATE THE MASTER IS CONTROLLING SDA SHADED BOXES INDICATE THE SLAVE IS CONTROLLING SDA NOTES: 1) ALL BYTES ARE SENT MOST SIGNIFICANT BIT FIRST. 2) THE FIRST BYTE SENT AFTER A START CONDITION IS ALWAYS THE SLAVE ADDRESS, FOLLOWED BY THE READ/WRITE BIT. Sr REPEATED START X X X X X X X X 8 BITS ADDRESS OR DATA WRITE A SINGLE BYTE S 1 1 A 2 A 1 A A MEMORY ADDRESS A DATA A P WRITE UP TO AN 8-BYTE PAGE WITH A SINGLE TRANSACTION S 1 1 A 2 A 1 A A MEMORY ADDRESS A DATA A DATA A P READ A SINGLE BYTE WITH A DUMMY WRITE CYCLE TO MOVE THE ADDRESS COUNTER S 1 1 A 2 A 1 A A MEMORY ADDRESS A Sr 1 1 A 2 A 1 A 1 A DATA N P READ MULTIPLE BYTES WITH A DUMMY WRITE CYCLE TO MOVE THE ADDRESS COUNTER S 1 1 A 2 A 1 A A MEMORY ADDRESS A Sr 1 1 A 2 A 1 A 1 A DATA A DATA A DATA A DATA N P 5. I 2 C 26

27 LDMOS RF 4.7kΩ 3 PLACES 5V V CC FAULT SDA SCL A2 FACTORY-CALIBRATED 13-BIT ADC (CUSTOMER ADJUSTABLE FULL- SCALE AND OFFSET VALUES) 49.9kΩ 4.22kΩ 28V A1 A V D I D1 N.C. R POT2 R S2 R S1 R POT1 I D2 N.C. GND HCOM W2 L2 L1 W1 N.C. N.C. MAX6165B 5V REFERENCE RF IN RF POWER AMP RF OUT NOTES: 1) IN THIS CONFIGURATION, THE VOLTAGE RANGE OF W 1 AND W 2 IS 3V-5V. THIS RANGE CAN BE EXTENDED USING EXTERNAL RESISTORS. 2) ONE MAX6156B CAN BE USED WITH MULTIPLE s. NACK FFh h.1µf.1µf V CC GND 27

28 LDMOS RF SDA SCL SDA SCL / 5V 28V 4.7kΩ 3 PLACES V CC 49.9k (1%) FAULT SDA SCL A2 4.22k (1%) VD A1 A ID1 MAX48 LOW PASS FILTER R POT2 R S2 R S1 R POT1 ID2 GND HCOM W2 L2 L1 W1 MAX48 LOW PASS FILTER N.C. N.C. MAX6165B 5V REFERENCE RF IN RF POWER AMP RF OUT NOTES: 1) IN THIS CONFIGURATION, THE VOLTAGE RANGE OF W 1 AND W 2 IS 3V-5V. THIS RANGE CAN BE EXTENDED USING EXTERNAL RESISTORS. 2) ONE MAX6156B CAN BE USED WITH MULTIPLE s. TRANSISTOR COUNT: 52,353 SUBSTRATE CONNECTED TO GROUND Maxim MaximMaxim 28 Maxim Integrated Products, 12 San Gabriel Drive, Sunnyvale, CA Maxim Integrated Products Printed USA Maxim Integrated Products, Inc.

Absolute Maximum Ratings Voltage Range on Any Pin Relative to Ground V to +6.0V Operating Temperature Range (Noncondensing) C to +85 C St

Absolute Maximum Ratings Voltage Range on Any Pin Relative to Ground V to +6.0V Operating Temperature Range (Noncondensing) C to +85 C St 19-6682; Rev 0; 5/13 备 有 评 估 板 DS1339B 概 述 DS1339B 串 行 实 时 时 钟 (RTC) 是 低 功 耗 时 钟 / 日 期 器 件, 带 有 两 路 可 编 程 日 期 / 时 间 计 时 闹 钟 和 一 路 可 编 程 方 波 输 出 通 过 I 2 C 总 线 串 行 传 输 数 据 和 地 址 时 钟 / 日 期 提 供 秒 分 时 星 期 日

More information

Microsoft Word - LR1122B-B.doc

Microsoft Word - LR1122B-B.doc UNISONIC TECHNOLOGIES CO., LTD LOW NOISE ma LDO REGULATOR DESCRIPTION The UTC is a typical LDO (linear regulator) with the features of High output voltage accuracy, low supply current, low ON-resistance,

More information

Pin Configurations Figure2. Pin Configuration of FS2012 (Top View) Table 1 Pin Description Pin Number Pin Name Description 1 GND 2 FB 3 SW Ground Pin.

Pin Configurations Figure2. Pin Configuration of FS2012 (Top View) Table 1 Pin Description Pin Number Pin Name Description 1 GND 2 FB 3 SW Ground Pin. Features Wide 3.6V to 32V Input Voltage Range Output Adjustable from 0.8V to 30V Maximum Duty Cycle 100% Minimum Drop Out 0.6V Fixed 300KHz Switching Frequency 12A Constant Output Current Capability Internal

More information

Microsoft Word - AP1515V02

Microsoft Word - AP1515V02 Document No. Rev.: V0.20 Page: 1 of 9 Revision History Rev. DRN # History Initiator Effective Date V01 V02 Initial document 黃宗文 Add second package description 葉宗榮 2014/05/15 2015/09/08 Initiator: 雷晨妤 (DCC)

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

MODEL 62000H SERIES 5KW / 10KW / 15KW 0 ~ 375A 0 ~ 1000V/2000V( ) : 200/220Vac, 380/400Vac, 440/480Vac 3U/15KW / & 150KW / ( 10 ms ~ 99 hours)

MODEL 62000H SERIES 5KW / 10KW / 15KW 0 ~ 375A 0 ~ 1000V/2000V( ) : 200/220Vac, 380/400Vac, 440/480Vac 3U/15KW / & 150KW / ( 10 ms ~ 99 hours) MODEL 62000H SERIES 5KW / 10KW / 15KW 0 ~ 375A 0 ~ 1000V/2000V( ) : 200/220Vac, 380/400Vac, 440/480Vac 3U/15KW / &150KW / ( 10 ms ~ 99 hours) 10 100 / PROGRAMMABLE DC POWER SUPPLY MODEL 62000H SERIES USB

More information

untitled

untitled EDM12864-GR 1 24 1. ----------------------------------------------------3 2. ----------------------------------------------------3 3. ----------------------------------------------------3 4. -------------------------------------------------------6

More information

User ID 150 Password - User ID 150 Password Mon- Cam-- Invalid Terminal Mode No User Terminal Mode No User Mon- Cam-- 2

User ID 150 Password - User ID 150 Password Mon- Cam-- Invalid Terminal Mode No User Terminal Mode No User Mon- Cam-- 2 Terminal Mode No User User ID 150 Password - User ID 150 Password Mon- Cam-- Invalid Terminal Mode No User Terminal Mode No User Mon- Cam-- 2 Mon1 Cam-- Mon- Cam-- Prohibited M04 Mon1 Cam03 Mon1 Cam03

More information

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family small, speedy, safe Eextremely modular Up to 64 modules per bus de Quick reaction time: up to 20 µs A new Member of the Cube Family Murrelektronik s modular I/O system expands the field-tested Cube family

More information

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual Subject LD5515 Demo Board Model Name (5V/1.5A) Key Features Built-In Pump Express TM Operation Flyback topology with PSR Control Constant Voltage Constant Current High Efficiency with QR Operation (Meet

More information

OVLFx3C7_Series_A3_bgry-KB.pub

OVLFx3C7_Series_A3_bgry-KB.pub (5 mm) x High brightness with well-defined spatial radiation patterns x U-resistant epoxy lens x Blue, green, red, yellow Product Photo Here Each device in the OLFx3C7 series is a high-intensity LED mounted

More information

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD 1/16 T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma (@T = 25 C, @Vdd = 3V) VDD 1.8 3.0 3.6 V (1) 0.08 0.3 µa Idd 300 450 500 µa 0.25

More information

Tel:010-62981668-2930 1

Tel:010-62981668-2930  1 Access 93C46 with SPI function V1.0.0 Jan. 31, 2005 http://www.sunplusmcu.com Tel:010-62981668-2930 http://www.sunplusmcu.com E-mail:mcu@sunplus.com.cn 1 0 0...2 1...3 2...4 2.1...4 2.2...5 3...6 3.1 AT93C46...6

More information

TH2512/TH2512A Tonghui Electronics reserves the right to make changes at any time without notice in order to improve design and supply the best possib

TH2512/TH2512A Tonghui Electronics reserves the right to make changes at any time without notice in order to improve design and supply the best possib TH2512/TH2512A 2 3 SPECFICATIONS 5 6 6 8 Handler 9 10 11 12 14 17 17-1 - TH2512/TH2512A Tonghui Electronics reserves the right to make changes at any time without notice in order to improve design and

More information

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor

(Load Project) (Save Project) (OffLine Mode) (Help) Intel Hex Motor 1 4.1.1.1 (Load) 14 1.1 1 4.1.1.2 (Save) 14 1.1.1 1 4.1.2 (Buffer) 16 1.1.2 1 4.1.3 (Device) 16 1.1.3 1 4.1.3.1 (Select Device) 16 2 4.1.3.2 (Device Info) 16 2.1 2 4.1.3.3 (Adapter) 17 2.1.1 CD-ROM 2 4.1.4

More information

Bus Hound 5

Bus Hound 5 Bus Hound 5.0 ( 1.0) 21IC 2007 7 BusHound perisoft PC hound Bus Hound 6.0 5.0 5.0 Bus Hound, IDE SCSI USB 1394 DVD Windows9X,WindowsMe,NT4.0,2000,2003,XP XP IRP Html ZIP SCSI sense USB Bus Hound 1 Bus

More information

ARK-14013/14017/14018

ARK-14013/14017/14018 ARK-24017F 8 ARK-24017F : 8 2 ii 1997~2000,,,,,, 1...3 1.1 ARK...3 1.2 ARK-24017F...3 ARK-24017F?...3 ARK-24017F...3 ARK-24017F...3 ARK-24017F...5 ARK-24017F...6...7 2.1...7 2.2...7 ARK...7...8...8...8...9

More information

7688使用手冊V10.doc

7688使用手冊V10.doc TP-7688 . 2 2. 3 3. 5 4. 5 5. 6 6. 7 7. 8 8. 9 9.. 4. 7 2 2., 7x9 / 6x9 7x9.3() x 3.()mm 6x9 2.84() x 3.()mm 3 ASCII 7x9 95 ASCII 6x9 95 6x9 7 BIG5 6x9 3973 6x9 28 7x9 24 24 55 6x9 2 2 27 4.23mm (/6 inch)

More information

SDP 1 2 3 4 8 9 10 12 19

SDP 1 2 3 4 8 9 10 12 19 SDP SDP 1 2 3 4 8 9 10 12 19 SDP 2 SDP CANBUS 3m/s 48 1 2 N 3 4 5 6 7 8 9 EMC EMC ENS008212 EN618003 10 IP21 SDP 3 1 1 4 2 5 3 P24 103 104 N24 G24 P24 101 102 N24 G24 J2 J3 n P2 P1 P3 J2 J1 J3 1 P2 P1

More information

αlpha-res1000 / αlpha-res1000 / Eutech Eutech Eutech Instruments Pte Ltd EUTECH INSTRUMENTS PTE LTD Blk 55 Ayer Rajah Crescent, #04-16/24, S

αlpha-res1000 / αlpha-res1000 / Eutech Eutech Eutech Instruments Pte Ltd EUTECH INSTRUMENTS PTE LTD Blk 55 Ayer Rajah Crescent, #04-16/24, S EUTECH αlpha-res1000 / Resistivity Controller αlpha RES1000 MEAS 18.20 1 25.0 M ATC ALARM REL A REL B REL A ENTER ESC AUTO MANU REL B αlpha-res1000 / αlpha-res1000 / Eutech Eutech Eutech Instruments Pte

More information

Microsoft PowerPoint - STU_EC_Ch08.ppt

Microsoft PowerPoint - STU_EC_Ch08.ppt 樹德科技大學資訊工程系 Chapter 8: Counters Shi-Huang Chen Fall 2010 1 Outline Asynchronous Counter Operation Synchronous Counter Operation Up/Down Synchronous Counters Design of Synchronous Counters Cascaded Counters

More information

untitled

untitled 0000137925 REV 1.0 ... 4... 5... 6... 7... 8... 9... 11... 12... 13... 14... 15... 17... 18... 20... 22 ( 1)... 25... 26 ( 2)... 28 \ 1 ( 2A)... 29 \ 2 ( 2B)... 30 SSR ( 2C)... 31 \ ( 2D)... 32 \ ( 3A)...

More information

Table of Contents A. Product Outline.. 3 B. Features.. 4 C. Block Diagram... 5 D. Pin Assignments. 6 E. Physical Specifications... 7 F. DC Characteris

Table of Contents A. Product Outline.. 3 B. Features.. 4 C. Block Diagram... 5 D. Pin Assignments. 6 E. Physical Specifications... 7 F. DC Characteris Micro SD Card TM Product Specification Version 1.0 Information in this document is provided in connection with TwinMOS products. No license, express or implied, by estoppels or otherwise, to any intellectual

More information

ESD.xls

ESD.xls Transient Suppressor Reverse Reverse ESD Capacitance Stand-off Beakdown Package Contact/Air Channel Circuit Diagram Pin Configuration Remark CMTLCP020CR35BFE CMTLDF02CR35AFE CMTLDF02CR50BLE CSP020 (pf)

More information

. Land Patterns for Reflow Soldering.Recommended Reflow Soldering Conditions (For Lead Free) TYPE PID0703 PID0704 PID1204 PID1205 PID1207 PID1209 L(mm

. Land Patterns for Reflow Soldering.Recommended Reflow Soldering Conditions (For Lead Free) TYPE PID0703 PID0704 PID1204 PID1205 PID1207 PID1209 L(mm .Features: 1.Magnetic Shielded surface mount inductor with high current rating. 2.Low resistance to keep power loss minimum..applications: Excellent for power line DC-DC conversion applications used in

More information

αlpha-do1000 / αlpha-do1000 / EUTECH Eutech Eutech Eutech Instruments Pte Ltd Eutech Eutech Instruments (S) Pte Ltd Blk 55 Ayer Rajah Cresce

αlpha-do1000 / αlpha-do1000 / EUTECH Eutech Eutech Eutech Instruments Pte Ltd Eutech Eutech Instruments (S) Pte Ltd Blk 55 Ayer Rajah Cresce EUTECH INSTRUMENTS αlpha-do1000 / Dissolved Oxygen Controller αlpha DO1000 MEAS 8.08 mg/l 25.0 o C ATC ALARM CAL REL A REL B REL A ENTER ESC AUTO MANU REL B 68X242101 09/98 1 αlpha-do1000 / αlpha-do1000

More information

HC50246_2009

HC50246_2009 Page: 1 of 7 Date: June 2, 2009 WINMATE COMMUNICATION INC. 9 F, NO. 111-6, SHING-DE RD., SAN-CHUNG CITY, TAIPEI, TAIWAN, R.O.C. The following merchandise was submitted and identified by the vendor as:

More information

Microsoft PowerPoint - ATF2015.ppt [相容模式]

Microsoft PowerPoint - ATF2015.ppt [相容模式] Improving the Video Totalized Method of Stopwatch Calibration Samuel C.K. Ko, Aaron Y.K. Yan and Henry C.K. Ma The Government of Hong Kong Special Administrative Region (SCL) 31 Oct 2015 1 Contents Introduction

More information

邏輯分析儀的概念與原理-展示版

邏輯分析儀的概念與原理-展示版 PC Base Standalone LA-100 Q&A - - - - - - - SCOPE - - LA - - ( Embedded ) ( Skew ) - Data In External CLK Internal CLK Display Buffer ASIC CPU Memory Trigger Level - - Clock BUS Timing State - ( Timing

More information

Current Sensing Chip Resistor

Current Sensing Chip Resistor 承認書 APPROVAL SHEET 廠商 : 客戶 : 麗智電子 ( 昆山 ) 有限公司 核準審核制作核準審核簽收 公 司 章 公 司 章 Liz Electronics (Kunshan) Co., LTD No. 989, Hanpu Road Kunshan City Jiangsu Province China Tel:0086-0512-57780531 Fax:0086-0512-57789581

More information

LK110_ck

LK110_ck Ck 电子琴 LK110CK1A Ck-1 1. 2. 1. 2. 3. (+) ( ) Ck-2 1. 2. 3. * 1. 2. 3. Ck-3 Ck-4 LCD LCD LCD LCD LCD LCD 15 * * / MIDI Ck-5 100 50 100 100 100 1 2 MIDI MIDI Ck-6 ... Ck-1... Ck-6... Ck-8... Ck-9... Ck-10...

More information

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC MODEL 61509/61508/61507/ 61609/61608/61607 PROGRAMMABLE AC POWER SOURCE MODEL 61509/61508/61507/ 61609/61608/61607 61509/61609: 6kVA 61508/61608: 4.5kVA 61507/61607: 3kVA : 0-175V/0-350V/Auto : DC, 15Hz-2kHz

More information

AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING

AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING AN INTRODUCTION TO PHYSICAL COMPUTING USING ARDUINO, GRASSHOPPER, AND FIREFLY (CHINESE EDITION ) INTERACTIVE PROTOTYPING 前言 - Andrew Payne 目录 1 2 Firefly Basics 3 COMPONENT TOOLBOX 目录 4 RESOURCES 致谢

More information

DR2010.doc

DR2010.doc DR/2010 HACH 11-8-96-2 HACH. DR/2010, / UL E79852 CSA C22.223 LR 58275 VDE GS 1015-92 FCC"A" 15 : AMADOR CORP, HACH. EN50 011/CISPR 11 "B" (EMI)/89/336/EEC/EMC: AMADOR CORP, HACH.. EN50 082-1( )/89/226/EEC

More information

www. chromaate. com Chroma H I-V (MPPT) / 6630/ /61500/ / Chroma

www. chromaate. com Chroma H I-V (MPPT) / 6630/ /61500/ / Chroma Power Electronics Testings www.chromaate.com Turn-key Solutions Provider www. chromaate. com Chroma 1. 62000H I-V (MPPT) 4 5 9 3 2. / 6630/66200 3. 6500/61500/61600 4. / 63800 Chroma Model 62000H 62000H

More information

Microsoft Word - BL5372_UMAN_V1.1.doc

Microsoft Word - BL5372_UMAN_V1.1.doc 低 功 耗 实 时 时 钟 芯 (RTC)BL5372 用 户 手 册 V1.2 ( 2012.11.12) 上 海 贝 岭 股 份 有 限 公 司 Shanghai Belling Co., Ltd. 1. 概 述 低 功 耗 实 时 时 钟 芯 片 (RTC)BL5372 BL5372 是 一 款 低 功 耗 实 时 时 钟 电 路, 通 过 I 2 C 两 线 接 口 电 路 可 以 与 CPU

More information

untitled

untitled 0755-82134672 Macroblock MBI6655 1 LED Small Outline Transistor 1A 3 LED 350mA 12V97% 6~36 Hysteretic PFM 0.3Ω GSB: SOT-89-5L (Start-Up) (OCP) (TP) LED Small Outline Package 5 MBI6655 LED / 5 LED MBI6655

More information

HC20131_2010

HC20131_2010 Page: 1 of 8 Date: April 14, 2010 WINMATE COMMUNICATION INC. 9 F, NO. 111-6, SHING-DE RD., SAN-CHUNG CITY, TAIPEI, TAIWAN, R.O.C. The following merchandise was submitted and identified by the vendor as:

More information

(Guangzhou) AIT Co, Ltd V 110V [ ]! 2

(Guangzhou) AIT Co, Ltd V 110V [ ]! 2 (Guangzhou) AIT Co, Ltd 020-84106666 020-84106688 http://wwwlenxcn Xi III Zebra XI III 1 (Guangzhou) AIT Co, Ltd 020-84106666 020-84106688 http://wwwlenxcn 230V 110V [ ]! 2 (Guangzhou) AIT Co, Ltd 020-84106666

More information

68369 (ppp quickstart guide)

68369 (ppp quickstart guide) Printed in USA 04/02 P/N 68369 rev. B PresencePLUS Pro PC PresencePLUS Pro PresencePLUS Pro CD Pass/Fails page 2 1 1. C-PPCAM 2. PPC.. PPCAMPPCTL 3. DB9D.. STPX.. STP.. 01 Trigger Ready Power 02 03 TRIGGER

More information

a b c d e f g C2 C1 2

a b c d e f g C2 C1 2 a b c d e f g C2 C1 2 IN1 IN2 0 2 to 1 Mux 1 IN1 IN2 0 2 to 1 Mux 1 Sel= 0 M0 High C2 C1 Sel= 1 M0 Low C2 C1 1 to 2 decoder M1 Low 1 to 2 decoder M1 High 3 BCD 1Hz clk 64Hz BCD 4 4 0 1 2 to 1 Mux sel 4

More information

Microsoft Word - PZ series.doc

Microsoft Word - PZ series.doc 叠 层 片 式 铁 氧 体 磁 珠 P 系 列 Multilayer Chip Ferrite Bead P Series Operating Temp. : -4 ~ +8 特 征 FEATUES 内 部 印 有 银 电 极 的 叠 层 结 构, 铁 氧 体 屏 蔽 无 串 扰 Internal silver printed layers and magnetic shielded structures

More information

EMI LOOPS FILTERING EMI ferrite noise suppressors

EMI LOOPS FILTERING EMI ferrite noise suppressors (HighSpeedBoardDesign) (HIGHSPEEDBOARDDESIGN) 1 1 3 1.1 3 1.1.1 3 1.1.2 vs 4 1.1.3 5 1.1.4 8 1.2 9 1.2.1 9 1.2.2 vs 1 1.3 1 1.3.1 11 1.3.1.1 11 1.3.1.2 12 1.3.1.3 12 1.3.1.4 12 1.3.1.5 12 2. 2.1 14 2.1.1

More information

ICD ICD ICD ICD ICD

ICD ICD ICD ICD ICD MPLAB ICD2 MPLAB ICD2 PIC MPLAB-IDE V6.0 ICD2 usb PC RS232 MPLAB IDE PC PC 2.0 5.5V LED EEDATA MPLAB ICD2 Microchip MPLAB-IDE v6.0 Windows 95/98 Windows NT Windows 2000 www.elc-mcu.com 1 ICD2...4 1.1 ICD2...4

More information

穨2700使用手冊.doc

穨2700使用手冊.doc Keithley 2700 13 CH Avg Ratio continuity Offset Compensation Ohms 80 (differential) 6 (22 ) (Half-rack size) 1000V/3A isolation/input 50000 EEE-488 RS-232 Digital I/O Trigger Link ActiveX Start-up software

More information

1 1

1 1 1 1 2 Idea Architecture Design IC Fabrication Wafer (hundreds of dies) Sawing & Packaging Block diagram Final chips Circuit & Layout Design Testing Layout Bad chips Good chips customers 3 2 4 IC Fabless

More information

General Description: Preliminary TTP916 Consumer IC VCD/DVD LCD Green mode Stand-by mode( 1W ) Features: 2.2V-5.5V LCD RAM read condition 2.6V-5.5V RC

General Description: Preliminary TTP916 Consumer IC VCD/DVD LCD Green mode Stand-by mode( 1W ) Features: 2.2V-5.5V LCD RAM read condition 2.6V-5.5V RC General Description: IC VCD/DVD LCD Green mode Stand-by mode( 1W ) Features: 2.2V-5.5V LCD RAM read condition 2.6V-5.5V RC 15K 300pF 256KHZ default on 32 function key Built-in TT6221/TT6222 decoder Provide

More information

行业

行业 PCI-1710 1.1...2 1.1.1...2 1.1.2...2 1.1.3 FIFO( )...2 1.1.4...2 1.1.5...2 1.1.6 16 16...3 1.1.7...3 1.2...3 1.3...3 2.1...3 2.2...4 2.2.1...4 2.2.2...5 2.3...9 2.3.1...10 2.3.2... 11 2.3.3...12 2.3.4...12

More information

操作指导手册

操作指导手册 GLI P53 C P53 ph/orp 1 Adobe Acrobat GLI gliint.com GLI GLI Adobe Adobe adobe.com 2 FMRC 3600 3611 3810 CSA C22.2 142 C22.2 213 EN 61010-1 TB2 TB3 1 2 3 70 3 GLI P53 GLI GLI GLI GLI GLI GLI 4 GLI ph ph

More information

audiogram3 Owners Manual

audiogram3 Owners Manual USB AUDIO INTERFACE ZH 2 AUDIOGRAM 3 ( ) * Yamaha USB Yamaha USB ( ) ( ) USB Yamaha (5)-10 1/2 AUDIOGRAM 3 3 MIC / INST (XLR ) (IEC60268 ): 1 2 (+) 3 (-) 2 1 3 Yamaha USB Yamaha Yamaha Steinberg Media

More information

Microsoft Word - VA REV.A.doc

Microsoft Word - VA REV.A.doc Data Sheet Customer: Product : Multilayer Chip Varistor - VA Series Size: 0402/0405/0508/0603/0612/0805/1206/1210/1812 /2220 Issued Date: 22-Jan-11 Edition : REV.A VIKING TECH CORPORATION 光頡科技股份有限公司 No.70,

More information

Thin Film Precision Temperature Chip Resistor TPT 2013.xls

Thin Film Precision Temperature Chip Resistor TPT 2013.xls FEATURE Thin Film Passivity NiCr Resistor Very Tight Tolerance from ±0.01%. Extremely Low TCR from ±5ppm/ Wide R-Value Range Rated : 1/32W ~ 1W (0402 ~2512) Applications Medical Equipment Testing / Measurement

More information

行业

行业 PCL-1800 PCL-1800 1.1...2 1.1.1 1K FIFO...2 1.1.2...2 1.1.3 16...3 1.1.4...3 1.1.5...3 1.1.6...3 1.2...3 1.3...4 1.4...5 2.1...5 2.2...6 2.2.1...6 2.2.2...6 2.2.3 D/A...7 2.2.4...7 2.2.5 TRIG0 GATE0...8

More information

Model P53 pH-ORP Analyzer CH.doc

Model P53 pH-ORP Analyzer CH.doc 1 Adobe Acrobat GLI gliint.com GLI GLI Adobe Adobe adobe.com 安恒公司 http://www.watertest.com.cn Tel:010-88018877( 北京 ) 021-63176770( 上海 ) 2 FMRC 3600 3611 3810 CSA C22.2 142 C22.2 213 EN 61010-1 TB2 TB3

More information

untitled

untitled Macroblock 6~36 1A - (PWM) - (PWM) 1,024 3 LED 350mA12V 97% Hysteretic PFM 0.3Ω (UVLO)(Start-Up)(OCP) (TP) LED 6 PCB Mini Small Outline Package GMS : MSOP-8L-118mil Small Outline Package GD: SOP8L-150-1.27

More information

untitled

untitled 1....... 1 2... 3 2-1. 2-2. 2-3. 2-4. 2-5. 2-6. 2-7.5V.. 2-8. 3 4 4 4 5 5 6 6 3... 7 4..... 9 4-1. 4-2. 5.... 15 5-1.... 5-2.(Current Limit).. 5-3./(Constant Voltage/ Constant Current).. 5-4.... 15 16

More information

() () () () () () () () DDRAM () II

() () () () () () () () DDRAM () II 液晶模块说明书 SPEC NO YM2232A REV NO. 液晶显示模块产品说明书 产品类型 : 产品型号 : 产品描述 : 标准产品 YM2232A 22x32 图形点阵模块, 控制器 :SED52,LED 背光 客户名称 : 客户确认 : 编写 : Dexun Zou 审核 : HCC 批准 : Jingxi Yang 发行日期 : 22.8 大连佳显电子有限公司 地址 : 大连市沙河口区工华街

More information

12232A LED LED LED EL EL CCFL EL CCF

12232A LED LED LED EL EL CCFL EL CCF 12232A 0 50-20 +70-30 +85 LED LED LED EL EL CCFL EL CCF 122 x 32 1/32Duty 1/5Bias 6:00 STN( ), EL LED EL/100VAC 400HZ LED/4.2VDC 1 / VDD-VSS 0 6.5 V Ta=25 LCD VDD-V0 0 12.0 V V1 0 VDD V VDD-VSS - 4.75

More information

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0

1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F B. 1(VCC/GND) SH79F6431 C. VDDIO SH79F6431 P4 P5 P0.6 P0.7 VDDIO VDDIO=5V D. 2 V 1.0 SH79F6431 1. SH79F6431 1T 8051 FLASH SH79F JET51 Keil µ vision JTAG 1.1. SH79F6431 LQFP64 1.2. (Target Board) SH79F6431 1 V 1.0 1-1 SH79F6431 A. 2( ) 9~15V ( 12V) U2 U3 3.3V SH79F6431 1 2 1 B. 1(VCC/GND)

More information

Edge-Triggered Rising Edge-Triggered ( Falling Edge-Triggered ( Unit 11 Latches and Flip-Flops 3 Timing for D Flip-Flop (Falling-Edge Trigger) Unit 11

Edge-Triggered Rising Edge-Triggered ( Falling Edge-Triggered ( Unit 11 Latches and Flip-Flops 3 Timing for D Flip-Flop (Falling-Edge Trigger) Unit 11 Latches and Flip-Flops 11.1 Introduction 11.2 Set-Reset Latch 11.3 Gated D Latch 11.4 Edge-Triggered D Flip-Flop 11.5 S-R Flip-Flop 11.6 J-K Flip-Flop 11.7 T Flip-Flop 11.8 Flip-Flops with additional Inputs

More information

Microsoft Word - SWRH-B series of Shielded SMD Power Inductor.doc

Microsoft Word - SWRH-B series of Shielded SMD Power Inductor.doc Wire Wound SMD Power Inductors SWRH-B Series Operating Temperature: -25 ~+105 (Including self-heating) FEATURES Various high power inductors are superior to be high saturation Suitable for surface mounting

More information

Microsoft PowerPoint - Ch5 The Bipolar Junction Transistor

Microsoft PowerPoint - Ch5 The Bipolar Junction Transistor O2005: Electronics The Bipolar Junction Transistor (BJT) 張大中 中央大學通訊工程系 dcchang@ce.ncu.edu.tw 中央大學通訊系張大中 Electronics, Neamen 3th Ed. 1 Bipolar Transistor Structures N P 17 10 N D 19 10 N D 15 10 中央大學通訊系張大中

More information

SA-DK2-U3Rユーザーズマニュアル

SA-DK2-U3Rユーザーズマニュアル USB3.0 SA-DK2-U3R 2007.0 2 3 4 5 6 7 8 System Info. Manual Rebuild Delete RAID RAID Alarm Rebuild Rate Auto compare Temp Management Load Default Elapse time Event Log 0 2 3 4 2 3 4 ESC 5

More information

Table of Contents Power Film Capacitors Power Film Capacitors Series Table Product Type Series Voltage Capacitance() Page DC-Link Power Film Capacitors Power Film Capacitors Power Film Capacitors Power

More information

iml88-0v C / 8W T Tube EVM - pplication Notes. IC Description The iml88 is a Three Terminal Current Controller (TTCC) for regulating the current flowi

iml88-0v C / 8W T Tube EVM - pplication Notes. IC Description The iml88 is a Three Terminal Current Controller (TTCC) for regulating the current flowi iml88-0v C / 8W T Tube EVM - pplication Notes iml88 0V C 8W T Tube EVM pplication Notes Table of Content. IC Description.... Features.... Package and Pin Diagrams.... pplication Circuit.... PCB Layout

More information

RF & MICROWAVE COMPONENTS

RF & MICROWAVE COMPONENTS MICROOT MICROWAVE CO., LTD. RF & MICROWAVE COMPONENTS WWW.MIC-ROOT.COM Catalogue 1. ABOUT MICROOT...3 2. Broadband 90/180deg Hybrid and Coupler...4 3. Broadband Power Divider... 13 4. Filter... 20 5. RF

More information

BC04 Module_antenna__ doc

BC04 Module_antenna__ doc http://www.infobluetooth.com TEL:+86-23-68798999 Fax: +86-23-68889515 Page 1 of 10 http://www.infobluetooth.com TEL:+86-23-68798999 Fax: +86-23-68889515 Page 2 of 10 http://www.infobluetooth.com TEL:+86-23-68798999

More information

HCD0174_2008

HCD0174_2008 Reliability Laboratory Page: 1 of 5 Date: December 23, 2008 WINMATE COMMUNICATION INC. 9 F, NO. 111-6, SHING-DE RD., SAN-CHUNG CITY, TAIPEI, TAIWAN, R.O.C. The following merchandise was submitted and identified

More information

甄試報告1125.PDF

甄試報告1125.PDF LabVIEW LabVIEW Laboratory Virtual Instrument Engineering Workbench G LabVIEW DAQ LabVIEW LabVIEW LabVIEW LabVIEW ph LabVIEW DAQ LabVIEW PZT LabVIEW / =2 10-8 1 LabVIEW DAQ LabVIEW DAQ DAQ LabVIEW DAQ

More information

(Microsoft Word W Technical document for \300\366\304\313.doc)

(Microsoft Word W Technical document for \300\366\304\313.doc) 1/15 V ALTIS-3535-3W-W-V Technical Document Features...2 Application...2 Environmental Compliance...2 Absolute Maximum Ratings...3 Flux Characteristics (Tj=50, IF=700mA)...4 Mechanical Dimension...5 Pad

More information

MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C

MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C MSO MCU DSP MSO MCU DSP MSO MSO MSO MCU/DSP I/O MSO 16 Microchip IC18 turn-on MSO chirp MCU I/O I 2 C 03 Keysight MSO MSO MSO DSO holdoff infinite-persistence / de-skew MSO 1 MSO MSO MSO MSO MCU DSP 1

More information

Embargoed until May 4, 2004 EXPRESS 40 NI HQ 3000 1000 5000 ~ 500 10% / 500 85% NI LabVIEW 7 Express Express EXPRESS : #1 GPS Navigation PC/WWW/Email CD+RW Mobile Phone PDA DVD+RW Satellite Car Alarm/Radio

More information

JOURNAL OF EARTHQUAKE ENGINEERING AND ENGINEERING VIBRATION Vol. 31 No. 5 Oct /35 TU3521 P315.

JOURNAL OF EARTHQUAKE ENGINEERING AND ENGINEERING VIBRATION Vol. 31 No. 5 Oct /35 TU3521 P315. 31 5 2011 10 JOURNAL OF EARTHQUAKE ENGINEERING AND ENGINEERING VIBRATION Vol. 31 No. 5 Oct. 2011 1000-1301 2011 05-0075 - 09 510405 1 /35 TU3521 P315. 8 A Earthquake simulation shaking table test and analysis

More information

Microsoft Word - HC20138_2010.doc

Microsoft Word - HC20138_2010.doc Page: 1 of 7 Date: April 26, 2010 WINMATE COMMUNICATION INC. 9 F, NO. 111-6, SHING-DE RD., SAN-CHUNG CITY, TAIPEI, TAIWAN, R.O.C. The following merchandise was submitted and identified by the vendor as:

More information

r_09hr_practical_guide_kor.pdf

r_09hr_practical_guide_kor.pdf PRACTICAL GUIDE TO THE EDIROL R-09HR 3 4 PRACTICAL GUIDE TO THE EDIROL R-09HR 5 Situation 1 6 1 2 3 PRACTICAL GUIDE TO THE EDIROL R-09HR WAV MP3 WAV 24 bit/96 khz WAV 16 bit/44.1 khz MP3 128 kbps/44.1

More information

01CP-WX3030WNetc_CO_ENG.indd

01CP-WX3030WNetc_CO_ENG.indd Data Video Projector User s Manual (Concise) ModelS: 8928A/8930A/8931WA/ 8933W Information in this Guide may change due to product improvements. To obtain the latest manuals, literature, and software please

More information

(02)2809-4742 (02)2809-4742 27 28 (02)2809-4742 85 3 (02)3343-3300 156 12 (02

(02)2809-4742 (02)2809-4742 27 28 (02)2809-4742 85 3 (02)3343-3300  156 12 (02 3475 http://mops.tse.com.tw http://www.ic-fortune.com (02)2809-4742 denis.lee@ic-fortune.com (02)2809-4742 nanhui.lee@ic-fortune.com 27 28 (02)2809-4742 85 3 (02)3343-3300 http://www.fhs.com.tw 156 12

More information

P4VM800_BIOS_CN.p65

P4VM800_BIOS_CN.p65 1 Main H/W Monitor Boot Security Exit System Overview System Time System Date [ 17:00:09] [Fri 02/25/2005] BIOS Version : P4VM800 BIOS P1.00 Processor Type : Intel (R) Pentium (R) 4 CPU 2.40 GHz Processor

More information

BA 2002, 3 IPCS WWW.EASTCATO.COM - - - - - -1-3 -15 - - - - - - WWW.EASTCATO.COM BA 1 2 3 4 20% DC12V A-1 WWW.EASTCATO.COM ok A-2 WWW.EASTCATO.COM RS232 RS485 EC-NET : B-1 WWW.EASTCATO.COM EC-NET 14 I/O

More information

iml v C / 4W Down-Light EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the cur

iml v C / 4W Down-Light EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the cur iml8683-220v C / 4W Down-Light EVM - pplication Notes iml8683 220V C 4W Down Light EVM pplication Notes Table of Content. IC Description... 2 2. Features... 2 3. Package and Pin Diagrams... 2 4. pplication

More information

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi SPHE8202R Design Guide V2.0 JUN, 2007 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com SPHE8202R Design Guide Important Notice

More information

The BIST Scheme for Digital-to Analog converters 1

The BIST Scheme for Digital-to Analog converters 1 The BIST Scheme for Digital-to Analog converters . :... 03.DAC :... 05. :... 08 ( ) :... 08 ( ) :... 08. :... ( ) OP AMP... ( ) Charge Pump Circuit... 3 ( ) Analog Summer Circuit... 4 ( ) CMOS Schmitt

More information

Microsoft Word - SP-DM13A-A.003.doc

Microsoft Word - SP-DM13A-A.003.doc Version : A.003 Issue Date : 2007/12/12 File Name Total Pages : 20 : SP--A.003.doc 16-bit Constant Current LED Driver 新竹市科學園區展業一路 9 號 7 樓之 1 9-7F-1, Prosperity Road I, Science Based Industrial Park, Hsin-Chu,

More information

a) Rating and Characteristics Disk Type 05D *Rated Rated Peak Varistor Clamping Typ. cap. Series Part No. Rated Voltage Energy Rated Power Current(8 2

a) Rating and Characteristics Disk Type 05D *Rated Rated Peak Varistor Clamping Typ. cap. Series Part No. Rated Voltage Energy Rated Power Current(8 2 ZR NR Series (Radial type) Disk type general use ZR type (Low voltage) and NR type (Medium/High Voltage) are for protection of electronics and control eqiupments from surge and noise. 1. Features Superior

More information

E15-3D1 1. Specifications Compact 4-Way Cassette type Model name MMU- AP0071MH2UL AP0091MH2UL AP0121MH2UL AP0151MH2UL AP0181MH2UL Cooling Capacity kbt

E15-3D1 1. Specifications Compact 4-Way Cassette type Model name MMU- AP0071MH2UL AP0091MH2UL AP0121MH2UL AP0151MH2UL AP0181MH2UL Cooling Capacity kbt E15-3D1 Compact 4-Way Cassette type MMU-AP0071MH2UL MMU-AP0091MH2UL MMU-AP0121MH2UL MMU-AP0151MH2UL MMU-AP0181MH2UL Contents 1. Specifications 2. Dimensions 3. Center of gravity 4. Piping diagram 5. Wiring

More information

LSC操作说明

LSC操作说明 1 C H R I S T A L P H A 1-4 LSC 型 Part. No. 102041 A L P H A 2-4 LSC 型 Part. No. 10204 冷 冻 干 燥 机 操 作 说 明 新 研 制 的 LSC-8 控 制 器, 具 备 图 形 显 示 功 能, 能 以 数 据 表 形 式 显 示 参 数, 并 可 选 配 控 制 软 件 LSC-8 1/4 VGA 大 屏 幕

More information

P3B-F Pentium III/II/Celeron TM

P3B-F Pentium III/II/Celeron TM P3B-F Pentium III/II/Celeron TM 1999 2 3 4 5 6 7 8 9 10 11 12 1 2 3 4 5 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 13 R PS2 KBMS USB COM1 COM2 JTPWR ATXPWR PWR_FAN CPU_FAN Row 0 1 2 3 4 5 6 7 DSW JP20

More information

FILTRON 1. DC AC AC 220V 50HZ 2. 1 1 1 3. / / / / 4. 1) 2 3 4 5 6 5. 6. 7. 8. 9. / 10. 1. 2. 3. 4. 5. 6. 7. DC AC FILTRON DC AC FILTRON DC 12V 12VDC D

FILTRON 1. DC AC AC 220V 50HZ 2. 1 1 1 3. / / / / 4. 1) 2 3 4 5 6 5. 6. 7. 8. 9. / 10. 1. 2. 3. 4. 5. 6. 7. DC AC FILTRON DC AC FILTRON DC 12V 12VDC D 2006 4 27 1 JY FILTRON 1. DC AC AC 220V 50HZ 2. 1 1 1 3. / / / / 4. 1) 2 3 4 5 6 5. 6. 7. 8. 9. / 10. 1. 2. 3. 4. 5. 6. 7. DC AC FILTRON DC AC FILTRON DC 12V 12VDC DC FILTRON AC 24VAC 24VAC AC 24VAC AC

More information

行业

行业 PCI-1711/1711L 1.1...2 1.1.1...2 1.1.2...2 1.1.3 FIFO...2 1.1.4...2 1.1.5 16 16...3 1.2...3 2.1...3 2.2...3 2.2.1... 2.2.2...8 2.3...10 2.3.1...10 2.3.2... 11 2.3.3...12 2.3.4...13 2.4.5...14 3.1...16

More information

OR-3655 3655 O 2 H 2 31673 3655 1 3655 31673 3655/xxx 31110A 31120A 31230 xxx=111 xxx=210 31110A 31120A 31230 32007D Delrin WinLog97 PC 32689 CD 2 2 EC 3655 OM E0307.fm 3655 EC 3655 ppm ppb g/kg mg/l

More information

untitled

untitled Sartorius LMA200PM LMA200PM 8%100% 40120 GLP LMA200PM 2 3 3 4 6 9 16 18 24 26 28 28 29 30 30 32 LMA200PM LMA200PM LMA200PM 22kg LMA200PM LMA200PM LMA200PM LMA200PM 20 1 pin sartorius sartorius LMA200PM

More information

αlpha-ph800 ph/orp / αlpha-ph800 ph/orp / αlpha-ph800 ph/orp / EUTECH EUTECH Eutech Instruments Pte Ltd. Blk 55, Ayer Rajah Crescent #04-14/2

αlpha-ph800 ph/orp / αlpha-ph800 ph/orp / αlpha-ph800 ph/orp / EUTECH EUTECH Eutech Instruments Pte Ltd. Blk 55, Ayer Rajah Crescent #04-14/2 EUTECH INSTRUMENTS αlpha-ph800 ph/orp / 68X216813 03/99 0 αlpha-ph800 ph/orp / αlpha-ph800 ph/orp / αlpha-ph800 ph/orp / EUTECH EUTECH 1999 1.0 Eutech Instruments Pte Ltd. Blk 55, Ayer Rajah Crescent #04-14/24,

More information

HC70245_2008

HC70245_2008 Reliability Laboratory Page: 1 of 6 Date: September 5, 2008 WINMATE COMMUNICATION INC. 9 F, NO. 111-6, SHING-DE RD., SAN-CHUNG CITY, TAIPEI, TAIWAN, R.O.C. The following merchandise was submitted and identified

More information

MAX481/3/5/7-91 DS.C

MAX481/3/5/7-91 DS.C 9-22; ev ; /3 S-45/S-422 MX4 MX43 MX45 MX47-MX49 MX47 S-45 S-422 MX43 MX47 MX4 MX49 EMI 25kbps MX4 MX45 MX49 MX49 MX47 2.5Mbps 2( 5( MX4 MX43 MX47.µ 5 MX47 MX47 2 MX47/ MX47 MX4-MX49 MX4 MX43 MX45 MX47

More information

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica

ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplica CP Chip Power ARM Cortex-M3 (STM32F) ARM Cortex-M3 (STM32F) STMicroelectronics ( ST) STM32F103 Core: ARM 32-bit Cortex -M3 CPU 72 MHz, 90 DMIPS with 1.25 DMIPS/MHz Single-cycle multiplication and hardware

More information

iml v C / 0W EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the current flowin

iml v C / 0W EVM - pplication Notes. IC Description The iml8683 is a Three Terminal Current Controller (TTCC) for regulating the current flowin iml8683-220v C / 0W EVM - pplication Notes iml8683 220V C 0W EVM pplication Notes Table of Content. IC Description... 2 2. Features... 2 3. Package and Pin Diagrams... 2 4. pplication Circuit... 3 5. PCB

More information

Microsoft Word - MWRF_Components.doc

Microsoft Word - MWRF_Components.doc Microwave Microwave/RF Components The public WeChat ID: 英联微波 Scan the qr code to follow : Pin Switch Coupler Filter Hybrid Power Divider Review www.ainfoinc.com for updated product info. Chengdu A Inc.

More information

文件名

文件名 Microchip MCP3221 12 I 2 C TM 12 1LSB DNL 2LSB INL 250 A 5nA 1 A I 2 C TM I 2 C 100kHz I 2 C 400kHz 2 8 I 2 C 22.3ksps 2.7V 5.5V 40 +85 40 +125 SOT-23 Microchip MCP3221 12 A/D SOT-23 CMOS MCP3221 250 A

More information

untitled

untitled (100V 120V 220V 230V) i ii ! (Return Lead) iii iv 1....... 1 1-1.... 1 1-2.... 2 2..... 4 3..... 3-1.... 3-2.... 3-3....... 4..... 9 5......... 5-1.LCD..... 5-2.... 5-3.... 5-4.... 6..... 6-1.... 6-2....

More information