ADuCM360/ADuCM36 目录特性... 应用... 修订历史...2 概述...3 功能框图...4 技术规格... 6 微控制器电气规格... 6 ADC0 和 ADC 的均方根噪声分辨率... I 2 C 时序规格... 5 SPI 时序规格... 6 绝对最大额定值... 8 热阻.

Size: px
Start display at page:

Download "ADuCM360/ADuCM36 目录特性... 应用... 修订历史...2 概述...3 功能框图...4 技术规格... 6 微控制器电气规格... 6 ADC0 和 ADC 的均方根噪声分辨率... I 2 C 时序规格... 5 SPI 时序规格... 6 绝对最大额定值... 8 热阻."

Transcription

1 集成双通道 Σ-Δ 型 ADC 和 ARM Cortex-M3 的低功耗精密模拟微控制器 ADuCM360/ADuCM36 产品特性模拟输入 / 输出双通道 24 位 ADC (ADuCM360) 单通道 24 位 ADC (ADuCM36) 可编程 ADC 输出速率 (3.5 Hz 至 khz) 50 Hz/60 Hz 同步噪声抑制 50 SPS 连续转换模式 6.67 SPS 单次转换模式所有 ADC 均采用灵活的输入多路复用, 输入通道可选两个 24 位多通道 ADC(ADC0 和 ADC) 6 路差分或 路单端输入通道 4 路内部通道, 用于监控 DAC 温度传感器 IOVDD/4 和 AVDD/4( 仅 ADC) 可编程增益 ( 至 28) 均方根 (RMS) 噪声 :52 nv(3.53 Hz 时 ),200 nv(50 Hz 时 ) 可编程传感器激励电流源片内精密基准电压源单 2 位电压输出 DAC 用于 4 ma 至 20 ma 环路应用的 NPN 模式微控制器 ARM Cortex-M3 32 位处理器串行线下载和调试用于唤醒定时器的内部时钟晶体具有 8 路可编程分频器的 6 MHz 振荡器存储器 28 kb Flash/EE 存储器,8 kb SRAM 通过串行线和 UART 在线调试 / 下载 电源电压范围 :.8 V 至 3.6 V( 最大值 ) MCU 主动模式时的功耗内核功耗 :290 μa/mhz 内核工作在 500 khz 时的系统总电流消耗为.0 ma( 两个 ADC 均打开 输入缓冲器关闭 PGA 增益为 4 一个 SPI 端口打开 所有定时器均打开 ) 省电模式时的功耗 :4 μa( 唤醒定时器有效 ) 片内外设 UART I 2 C 和 2 SPI 串行 I/O 6 位 PWM 控制器 9 引脚多功能 GPIO 端口 2 个通用定时器唤醒定时器 / 看门狗定时器多通道 DMA 和中断控制器封装和温度范围 48 引脚 7 mm 7 mm LFCSP 封装额定工作温度范围 : 40 C 至 +25 C 开发工具低成本 QuickStart 开发系统支持第三方编译器和仿真器工具多功能安全特性提高诊断能力 应用工业自动化和过程控制智能精密检测系统 4 ma 至 20 ma 环路供电智能传感器系统医疗设备 病人监护 Rev. C Document Feedback Information furnished by Analog Devices is believed to be accurate and reliable. However, no responsibility is assumed by Analog Devices for its use, nor for any infringements of patents or other rights of third parties that may result from its use. Specifications subject to change without notice. No license is granted by implication or otherwise under any patent or patent rights of Analog Devices. Trademarks and registered trademarks are the property of their respective owners. One Technology Way, P.O. Box 906, Norwood, MA , U.S.A. Tel: Analog Devices, Inc. All rights reserved. Technical Support ADI 中文版数据手册是英文版数据手册的译文, 敬请谅解翻译中可能存在的语言组织或翻译错误,ADI 不对翻译中存在的差异或由此产生的错误负责 如需确认任何词语的准确性, 请参考 ADI 提供的最新英文版数据手册

2 ADuCM360/ADuCM36 目录特性... 应用... 修订历史...2 概述...3 功能框图...4 技术规格... 6 微控制器电气规格... 6 ADC0 和 ADC 的均方根噪声分辨率... I 2 C 时序规格... 5 SPI 时序规格... 6 绝对最大额定值... 8 热阻... 8 ESD 警告... 8 引脚配置和功能描述... 9 典型性能参数 典型系统配置 外形尺寸 订购指南 修订历史 204 年 0 月 修订版 B 至修订版 C 更改表...6 更改表 3... 更改表 更改表 更改表 更改表 更改表 6 中引脚 35 和引脚 36 的描述 更改图 年 月 修订版 0 至修订版 A 更改表 6 中的引脚 35 和引脚 年 9 月 修订版 0: 初始版 203 年 7 月 修订版 A 至修订版 B 更改 产品特性 和 概述 部分...3 更改图...4 增加图 2; 重新排序...5 更改表...6 更改表 2 和表 更改表 4 和表 5... 更改表 6 和表 更改表 8 和表 更改表 更改图 Rev. C Page 2 of 24

3 ADuCM360/ADuCM36 概述 ADuCM360 是完全集成的 3.9 ksps 24 位数据采集系统, 在 单芯片上集成双核高性能多通道 Σ-Δ 型模数转换器 (ADC) 32 位 ARM Cortex -M3 处理器和 Flash/EE 存储器 在有线和电池供电应用中,ADuCM360 设计为与外部精密传感器直接连接 ADuCM36 集成了 ADuCM360 的全部功能, 不过它仅有一个 24 位 Σ-Δ ADC (ADC) ADuCM360/ADuCM36 自带一个片内 32 khz 振荡器和一个内部 6 MHz 高频振荡器 高频振荡器通过一个可编程时钟分频器进行中继, 在其中产生处理器内核时钟工作频率 最大内核时钟速度为 6 MHz; 该速度不局限于工作电压或温度 微控制器内核为低功耗 ARM Cortex-M3 处理器, 它是一个 32 位 RISC 机器, 峰值性能最高可达 20 MIPS Cortex-M3 处理器集成了灵活的 通道 DMA 控制器, 支持全部有线通信外设 (SPI UART 和 I 2 C) 片内还集成 28 kb 非易失性 Flash/EE 存储器和 8 kb SRAM 模拟子系统由双通道 ADC 组成, 每个 ADC 均连接到一个灵活的输入多路复用器 两个 ADC 都可在全差分和单端模式下工作 其他的片内 ADC 功能包括 : 双通道可编程激励电流源 诊断电流源和偏置电压产生器 AVDD_REG/2(900 mv), 可设置输入通道的共模电压 低端内部接地开关可在两次转换之间关断外部电路 ( 例如桥电路 ) ADC 包含两个并联的滤波器 : 一个 sinc3 或 sinc4 滤波器与 sinc2 滤波器并联 Sinc3 或 Sinc4 滤波器用于精密测量 sinc2 滤波器用于快速测量和输入信号的步进变化检测 ADuCM360/ADuCM36 集成了一系列片内外设, 可以根据应用需要通过微控制器软件控制进行配置 这些外设包括 :UART I 2 C 和双通道 SPI 串行 I/O 通信控制器 9 引脚 GPIO 端口 ; 两个通用定时器 ; 唤醒定时器及系统看门狗定时器 同时提供了一个带 6 个输出通道的 6 位 PWM 控制器 ADuCM360/ADuCM36 专为要求低功耗工作的电池供电应用而设计 微控制器内核可配置为普通工作模式, 功耗 290 μa/mhz( 包括 flash/ SRAM I DD ) 在两个 ADC 均打开 ( 输入缓冲器关闭 ) PGA 增益为 4 一个 SPI 端口打开和所有定时器均打开时, 系统总电流消耗可以达到 ma ADuCM360/ADuCM36 通过直接编程控制可配置为许多低功耗工作模式, 包括休眠模式 ( 内部唤醒定时器有效 ), 此时能耗仅为 4 µa 在休眠模式下, 诸如外部中断或内部唤醒定时器等外设可以唤醒该器件 该模式可让器件在功耗极低的情况下运行, 同时仍然响应外部异步或周期事件 片内出厂固件支持通过串行线接口 (2 引脚 JTAG 系统 ) 和 UART 进行串行在线下载, 还支持通过串行线接口进行非介入式仿真 这些特性都集成在一个支持精密模拟微控制器系列的低成本 QuickStart 开发系统中 这些器件采用外部.8 V 至 3.6 V 电源供电, 额定温度范围为 40 C 至 +25 C 工业温度范围 有关 ADuCM360/ADuCM36 的更多信息, 参见 UG-367 用户指南 该器件集成一个低噪声 低漂移内部带隙基准电压源, 但在采用比例式测量配置时可配置成接受一或两个外部基准电压源 片内集成了可缓存外部基准电压输入的选项 片内集成一个单通道缓冲电压输出 DAC Rev. C Page 3 of 24

4 ADuCM360/ADuCM36 功能框图 图. ADuCM360 功能框图 Rev. C Page 4 of 24

5 ADuCM360/ADuCM36 图 2. ADuCM36 功能框图 Rev. C Page 5 of 24

6 ADuCM360/ADuCM36 技术规格微控制器电气规格除非另有说明,AVDD/IOVDD =.8 V 至 3.6 V,AVDD 和 IOVDD 之差必须小于或等于 0.3 V,.2 V 内部基准电压,f CORE = 6 MHz, 所有规格在 T A = 40 C 至 +25 C 下测得 表. 参数 测试条件 / 注释 最小值 典型值 最大值 单位 ADC 技术规格 ADC0 和 ADC 转换速率 斩波关闭 Hz 斩波开启 Hz 无失码 斩波关闭,f ADC 500 Hz 24 位 斩波开启,f ADC 250 Hz 24 位 均方根噪声与输出数据速率 参见表 2 至表 9 积分非线性 (INL) 增益 =, 输入缓冲关 ±0 ppm of FSR 增益 = 或 6 ±5 ppm of FSR 增益 = 或 28 ±20 ppm of FSR 2, 3, 4, 6,7 失调误差 斩波关闭, 校准之后, 失调误差与选 ±00/ 增益 定的编程增益和更新速率所对应的噪声相当 斩波开启 ±.0, 4, 5 失调误差漂移与温度的关系 斩波关闭, 增益 4 / 增益 / C 斩波关闭, 增益 nv/ C 斩波开启 0 nv/ C 5 失调误差的时间稳定性 增益 = 28, 4, 6,7,8 满量程误差 ±0.5/ 增 mv 5 满量程误差的时间稳定性 增益 = 28 70, 4, 6 增益误差漂移与温度的关系 外部基准电压源 增益 = 或 6 ±3 ppm/ C 增益 = 或 28 ±6 ppm/ C PGA 增益不匹配误差 ±0.5 % 电源抑制 外部基准电压源 斩波开启,ADC 输入 = 0.25 V, 增益 = 4 95 db 斩波关闭,ADC 输入 = 7.8 mv, 增益 = db 斩波关闭,ADC 输入 = V, 增益 = 90 db 绝对输入电压范围无缓冲模式 AGND AVDD V 缓冲模式 不提供增益 = ; 有关硅片异常信 息, 请参见 ADuCM360/ADuCM36 差分输入电压范围 共模电压 V CM 产品页面增益 2 AGND + 0. AVDD 0. V 对于增益 = 和 28 时允许的输 入范围和噪声值, 请参见表 3 和表 7 增益 = ±VREF V 增益 = 2 ±500 mv 增益 = 4 ±250 mv 增益 = 8 ±25 mv 增益 = 6 ±62.5 mv 理想情况下,V CM = ((AIN+) + (AIN ))/2; AGND AVDD V 增益 = 2 至 28; 输入电流会随着 V CM 而 变化 ( 见图 9 和图 0) Rev. C Page 6 of 24

7 ADuCM360/ADuCM36 参数 测试条件 / 注释 最小值 典型值 最大值 单位 9 输入电流缓冲模式 增益 > (AIN4 AIN5 AIN6 和 AIN7 na 引脚除外 ) 增益 > (AIN4 AIN5 AIN6 和 AIN7 引脚 ) 2 na 无缓冲模式 输入电流随输入电压而变化 500 na/v 平均输入电流漂移缓冲模式 AIN0 AIN AIN2 AIN3 ±5 pa/ C AIN4 AIN5 AIN6 AIN7 ±6 pa/ C AIN8 AIN9 AIN0 AIN ±9 pa/ C 无缓冲模式 ±250 pa/v/ C 共模抑制 DC ADC 输入 ADC 增益 =,AVDD < 2 V db ADC 增益 =,AVDD > 2 V db ADC 增益 = 2 至 db 共模抑制 50 Hz/60 Hz 50 Hz/60 Hz ± Hz;f ADC = 6.7 Hz, 斩波开启 :f ADC = 50 Hz, 斩波关闭 ADC 增益 = 97 db ADC 增益 = 2 至 db 正常模式抑制 50 Hz/60 Hz ADC 输入 50 Hz/60 Hz ± Hz;f ADC = 6.7 Hz, 斩波开启 :f ADC = 50 Hz, 斩波关闭 db 温度传感器 用户校准后 25 C 时电压输出 测量前处理器关断或处于待机模式 82. mv 电压温度系数 (TC) 250 µv/ C 精度 6 C 接地开关 RON Ω 容许电流 20 kω 电阻关闭, 直接短路至接地 20 ma 基准电压源 ADC 内部基准电压 内部 V REF.2 V 初始精度 在 T A = 25 C 时测定 % 基准电压源温度系数 (TC), 0 5 ±5 +5 ppm/ C 电源抑制 db 外部基准输入输入范围 缓冲模式 AGND + 0. AVDD 0. V 无缓冲模式 VREF+ 和 VREF 引脚的最低差分电压 0 AVDD V 为 400 mv 输入电流 缓冲模式 na 无缓冲模式 500 na/v 串模干扰抑制 80 db 共模抑制 db 基准电压检测水平 400 mv 激励电流源 输出电流 各电流源可用 ; 可编程数值范围为 0 µa 至 ma 初始容差 (25 C) I OUT 50 µa ±5 % 漂移 使用内部参考电阻 ppm/ C 使用位于 IREF 引脚和 AGND 之间的外部 50 kω 参考电阻 ; 该电阻的漂移规格必须为 5 ppm/ C ppm/ C 25 C 时初始电流匹配 在两个电流源之间匹配 ±0.5 % Rev. C Page 7 of 24

8 ADuCM360/ADuCM36 参数 测试条件 / 注释 最小值 典型值 最大值 单位 漂移匹配 50 ppm/ C 负载调整率 AVDD AVDD = 3.3 V 0.2 %/V 输出电源电压 I OUT = 0 µa 至 20 µa AGND 0.03 AVDD AVDD 0.85 V 0.45 IOUT > 20 µa AGND 0.03 AVDD AVDD. V 0.55 DAC 通道规格 RL = 5 kω, CL = 00 pf 电压范围 内部基准电压源 0 VREF V 外部基准电压源 0.8 V 直流特性 分辨率 2 位 相对精度 ±3 LSB 微分非线性 保证单调性 ±0.5 ± LSB 失调误差.2 V 内部基准电压 ±2 ±0 mv 增益误差 V REF 范围 ( 基准电压 =.2 V) ±0.5 % NPN 模式 分辨率 2 位 相对精度 ±3 LSB 微分非线性 ±0.5 LSB 失调误差 ±0.35 ma 增益误差 ±0.75 ma 输出电流范围 ma 插值模式 仅 4 位单调性 分辨率 4 位 相对精度 4 位分辨率 ±4 LSB 差分非线性 单调性 (4 位 ) ±0.5 LSB 失调误差.2 V 内部基准电压 ±2 mv 增益误差 V REF 范围 ( 基准电压 =.2 V) ± % AVDD 范围 ± % DAC 交流特性 输出电压建立时间 0 µs 数模转换脉冲干扰 主进位 LSB 变化 (DAC0DAT 寄存器中同时变化的最大位数 ) ±20 nv-sec 上电复位 (POR) POR 触发电平 DVDD 引脚电压 上电电平.6 V 关断电平.6 V POR 复位超时 50 ms 看门狗定时器 (WDT) 超时时间 秒 超时步幅 T3CON[3:2] = ms FLASH/EE 存储器 2 耐久性 0,000 周期 3 数据保留期 TJ = 85 C 0 年 数字输入 所有数字输入 输入漏电流 除 RESET SWCLK 和 SWDIO 引脚外的数字输入 逻辑 V INH = IOVDD 或 V INH =.8 V 40 内部上拉禁用 na 逻辑 0 V INL = 0 V 60 内部上拉禁用 0 na Rev. C Page 8 of 24

9 ADuCM360/ADuCM36 参数 测试条件 / 注释 最小值 典型值 最大值 单位 输入漏电流 除 RESET SWCLK 和 SWDIO 引脚外的数字输入 逻辑 40 逻辑 0 60 输入电容 0 pf 逻辑输入 输入低电压 V INL 0.2 IOVDD V 输入高电压 V INH 0.7 IOVDD V 逻辑输出输出高电压 V OH I SOURCE = ma IOVDD 0.4 V 输出低电压 V OL I SINK = ma 0.4 V 晶体振荡器 khz 晶振输入 逻辑输入, 仅限 XTALI 4 输入低电压 V INL 0.8 V 输入高电压 V INH.7 V XTALI 电容 6 pf XTALO 电容 6 pf 片内低功耗振荡器振荡器频率 khz 精度 30 ±0 +30 % 片内高频振荡器振荡器频率 6 MHz 精度 40 C 至 +25 C % 5 长期稳定性 0.8 %/000 Hr 处理器时钟速率 在此规定范围内九个可编程内核时 MHz 钟选择 使用外部时钟 MHz 处理器启动时间上电时 包括内核上电执行时间 4 ms 复位事件后 包括内核上电执行时间.44 ms 从处理器进入省电模式开始 f CLK 是 Cortex-M3 的内核时钟 3 to 5 fclk ( 模式 模式 2 和模式 3) 从整机进入挂起或休眠模式开始 30.8 ( 模式 4 或模式 5) 电源要求电源电压 V DD AVDD, IOVDD V 功耗 4, 5 I DD (MCU 激活模式 ) 处理器时钟速率 = 6 MHz; 所有外 5.5 ma 设开启 (CLKSYSDIV = 0) 处理器时钟速率 = 8 MHz; 所有外 3 ma 设开启 (CLKSYSDIV = ) 处理器时钟速率 = 500 khz; 两个 ma I DD (MCU 关断 ) ADC 均开启 ( 输入缓冲器关闭 ), PGA 增益 = 4, SPI 端口开启, 所有定时器开启整个温度范围, 4 完全挂起模式 ( 模式 4) 缩小的温度范围, 40 C 至 +85 C 4 总 I DD (ADC0) 5,6 PGA 使能, 增益 PGA 增益 = 4 8 或 6, 仅 PGA 30 增益 = 或 28, 仅 PGA 80 输入缓冲器 2 输入缓冲器 = 70 μa 70 数字接口和调制器 70 Rev. C Page 9 of 24

10 ADuCM360/ADuCM36 参数测试条件 / 注释最小值典型值最大值单位 I DD (ADC) 输入缓冲器关闭, 增益仅 = 4 8 或 外部基准输入缓冲器每个均为 60 μa 20 这些参数未经过产品测试, 但在产品发布时由设计和 / 或特性数据保证 2 初始失调校准后在增益 = 4 时测试 3 利用内部短路测定 系统零电平校准消除此误差 4 在任意温度下重新校准将消除这些误差 5 长期稳定性规格为非累积性 在后续 000 小时周期内的漂移大幅低于第一个 000 小时周期 6 这些参数不包括内部基准电压温度漂移 7 增益 = 时工厂校准 8 在具体增益下的系统校准可以消除此增益下的误差 9 输入电流的测量条件为每通道使用一个 ADC 进行测量 若两个 ADC 均测量同一输入通道, 则输入电流会增加 ( 大约翻倍 ) 0 利用盒子方法测定 参考 DAC 的线性度是使用一个缩减的数据范围 0x0AB 到 0xF30 计算出来的 2 耐久性是依据 JEDEC 标准 22 方法 A7 认定为 0,000 个周期, 并分别在 40 C +25 C 和 +25 C 测得 在 25 C 时的典型耐久性为 70,000 个周期 3 根据 JEDEC 22 标准方法 A7, 保持期限相当于 85 C 结温时的寿命 保持期限会随着结温递减 4 电压输入水平仅当电压源驱动 XTAL 输入时才相关 若晶振直连, 则共模电压由内部晶振接口决定 5 在 Flash/EE 存储器编程和擦除周期期间的典型额外电源电流消耗为 7 ma 6 ADC 的总 IDD 包括 PGA 32 输入缓冲器 数字接口和 Σ-Δ 调制器的相关数字 Rev. C Page 0 of 24

11 ADuCM360/ADuCM36 ADC0 和 ADC 的均方根噪声分辨率.2 V 内部基准电压源表 2 到表 5 提供采用内部基准电压源 (.2 V) 时 ADC0 和 ADC 的均方根噪声规格 表 2 和表 3 列出了针对两个 ADC 的不同增益和输出更新速率时的均方根噪声值 表 4 和表 5 列出了两个 ADC 在正常模式下, 不同增益和输出更新速率情况下的典型输出均方根噪声有效位数 (ENOB) ( 括号中的数字表示 p-p ENOB) 表 2. 均方根噪声与增益和输出更新速率的关系, 采用内部基准电压 (.2 V), 增益 = 和 6 均方根噪声 (µv) 更新速率 ADCFLT 增益 = ±V REF 增益 = 2 ±500 mv 增益 = 4 ±250 mv 增益 = 8 ±25 mv 增益 = 6 ±62.5 mv (Hz) 斩波 /Sinc 寄存器值 0x0 ADCxMDE= 0x 0x2 0x3 0x 开启 /Sinc3 0x8D7C 关闭 /Sinc3 0x007E 关闭 /Sinc3 0x007D 关闭 /Sinc3 0x004D 关闭 /Sinc4 0x00F 关闭 /Sinc4 0x 关闭 /Sinc4 0x 关闭 /Sinc4 0x 表 3. 均方根噪声与增益和输出更新速率的关系, 采用内部基准电压 (.2 V), 增益 = 和 28 均方根噪声 (µv) 更新速率 (Hz) 斩波 /Sinc ADCFLT 寄存器值 增益 = 32, ±37.5 mv, 0x49 增益 = 32, 2, ±22.8 mv, 0x5 增益 = 64 3, ±8.75 mv, 0x59 增益 = 64 3, 4, ±0.325 mv, 0x6 增益 = 28 5, ±9.375 mv, 0x 开启 /Sinc3 0x8D7C 关闭 /Sinc3 0x007E 关闭 /Sinc3 0x007D 关闭 /Sinc3 0x004D 关闭 /Sinc4 0x00F 关闭 /Sinc4 0x 关闭 /Sinc4 0x 关闭 /Sinc4 0x 增益 = 28 5, 6, ±3.98 mv, 0x7 0x49 设置 PGA 的增益为 6 调制器增益为 2 调制器增益为 2 则通过调节调制器内的采样电容实现 0x5 设置 PGA 的增益为 32, 调制器 增益关闭 0x49 具有稍高的噪声, 但支持更宽的输入范围 2 若 AVDD < 2.0 V 且 0x5, 则输入范围为 ±7.5 mv 3 0x59 设置 PGA 的增益为 32 调制器增益为 2 调制器增益为 2 则通过调节调制器内的采样电容实现 0x6 设置 PGA 的增益为 64, 调制器 增益关闭 0x59 具有稍高的噪声, 但支持更宽的输入范围 4 若 AVDD < 2.0 V 且 0x6, 则输入范围为 ±8.75 mv 5 0x69 设置 PGA 的增益为 64 调制器增益为 2 调制器增益为 2 则通过调节调制器内的采样电容实现 0x7 设置 PGA 的增益为 28, 调制器 增益关闭 0x69 具有稍高的噪声, 但支持更宽的输入范围 6 若 AVDD < 2.0 V 且 0x7, 则输入范围为 ±3.828 mv Rev. C Page of 24

12 ADuCM360/ADuCM36 表 4. 正常模式下的典型输出均方根噪声有效位数, 采用内部基准电压 (.2 V), 增益 = 和 6 不同输入电压范围和增益的有效位数 (ENOB) 更新速率 (Hz) 斩波 /Sinc 增益 = ±V REF 0x 开启 /Sinc3 2. (8.4 p-p) 30 关闭 /Sinc3 20. (7.4 p-p) 50 关闭 /Sinc3 9.3 (6.6 p-p) 00 关闭 /Sinc3 8.7 (6.0 p-p) 488 关闭 /Sinc4 7.9 (5.2 p-p) 976 关闭 /Sinc4 7.4 (4.7 p-p) 953 关闭 /Sinc4 6.9 (4.2 p-p) 3906 关闭 /Sinc4 5. (2.4 p-p) 增益 = 2 ±500 mv 0x 2. (8.4 p-p) 9.5 (6.8 p-p) 9.25 (6.5 p-p) 8.66 (5.9 p-p) 7.7 (5.0 p-p) 7.2 (4.5 p-p) 6.6 (3.9 p-p) 4.8 (2.0 p-p) 增益 = 4 ±250 mv 0x2 2. (8.3 p-p) 9.6 (6.9 p-p) 9.2 (6.5 p-p) 8.75 (6.0 p-p) 7.8 (5. p-p) 7.2 (4.5 p-p) 6.7 (4.0 p-p) 4.9 (2.2 p-p) 增益 = 8 ±25 mv 0x (8. p-p) 9.4 (6.6 p-p) 9.0 (6.3 p-p) 8.6 (5.9 p-p) 7.55 (4.8 p-p) 7.2 (4.4 p-p) 6.55 (3.8 p-p) 4.8 (2. p-p) 均方根噪声位可通过下式算得 :log 2 ((2 输入范围 )/ 均方根噪声 );p-p 位可通过下式算得 :log 2 ((2 输入范围 )/(6.6 均方根噪声 )) 增益 = 6 ±62.5 mv 0x (8.0 p-p) 9. (6.4 p-p) 8.7 (6.0 p-p) 8.3 (5.6 p-p) 7.3 (4.5 p-p) 6.8 (4. p-p) 6.3 (3.6 p-p) 4.6 (.9 p-p) 表 5. 正常模式下的典型输出均方根噪声有效位数, 采用内部基准电压 (.2 V), 增益 = 和 28 不同输入电压范围和增益的有效位数 (ENOB) 增益 = 32 ±37.5mV 更新速率 (Hz) 斩波 /Sinc ADCxMDE= 0x 开启 /Sinc3 9.8 (7. p-p) 30 关闭 /Sinc3 8.2 (5.5 p-p) 50 关闭 /Sinc3 8.0 (5.2 p-p) 00 关闭 /Sinc3 7.4 (4.7 p-p) 488 关闭 /Sinc4 6.4 (3.7 p-p) 976 关闭 /Sinc4 5.9 (3.2 p-p) 953 关闭 /Sinc4 5. (2.4 p-p) 3906 关闭 /Sinc4 3.2 (0.5 p-p) 增益 = 32 ±22.8 mv 0x5 9.4 (6.7 p-p) 7.75 (5.0 p-p) 7.5 (4.8 p-p) 7. (4.35 p-p) 6.0 (3.3 p-p) 5.6 (2.85 p-p) 5.05 (2.3 p-p) 4.0 (.3 p-p) 增益 = 64 ±8.75 mv 0x (6.0 p-p) 7.3 (4.6 p-p) 6.93 (4.2 p-p) 6.4 (3.7 p-p) 5.4 (2.7 p-p) 4.9 (2.2 p-p) 4.4 (.6 p-p) 3. (0.4 p-p) 增益 = 64 ±0.325 mv 0x6 8.5 (5.8 p-p) 7.0 (4.25 p-p) 6.6 (3.86 p-p) 6.2 (3.5 p-p) 5. (2.4 p-p) 4.8 (2.0 p-p) 4. (.4 p-p) 3.8 (. p-p) 增益 = 28 ±9.375 mv 0x (5.3 p-p) 6.45 (3.7 p-p) 6.2 (3.5 p-p) 5.6 (2.9 p-p) 4.6 (.9 p-p) 4.2 (.5 p-p) 3.6 (0.9 p-p) 2.8 (0. p-p) 增益 = 28 ±3.98 mv 0x7 7.2 (4.5 p-p) 5.6 (2.9 p-p) 5.3 (2.55 p-p) 5.0 (2.2 p-p) 3.8 (.0 p-p) 3.4 (0.75 p-p) 3.0 (0.2 p-p) 2.5 (9.75 p-p) 均方根噪声位可通过下式算得 :log 2 ((2 输入范围 )/ 均方根噪声 );p-p 位可通过下式算得 :log 2 ((2 输入范围 )/(6.6 均方根噪声 )) Rev. C Page 2 of 24

13 ADuCM360/ADuCM36 外部基准电压 (2.5 V) 表 6 到表 9 提供采用外部基准电压源 (2.5 V) 时 ADC0 和 ADC 的均方根噪声规格 表 6 和表 7 列出了针对两个 ADC 的不同增益和输出更新速率时的均方根噪声值 表 8 和表 9 列出了两个 ADC 在正常模式下, 不同增益和输出更新速率情况下的典型输出均方根噪声有效位数 (ENOB) ( 括号中的数字表示 p-p ENOB) 表 6. 均方根噪声与增益和输出更新速率的关系, 采用外部基准电压 (2.5 V), 增益 = 和 6 均方根噪声 (µv) 更新速率 ADCFLT 增益 = ±V REF 增益 = 2 ±500 mv 增益 = 4 ±250 mv 增益 = 8 ±25 mv 增益 = 6 ±62.5 mv (Hz) 斩波 /Sinc 寄存器值 0x0 0x 0x2 0x3 0x 开启 /Sinc3 0x8D7C 关闭 /Sinc3 0x007E 关闭 /Sinc3 0x007D 关闭 /Sinc3 0x004D 关闭 /Sinc4 0x00F 关闭 /Sinc4 0x 关闭 /Sinc4 0x 关闭 /Sinc4 0x 表 7. 均方根噪声与增益和输出更新速率的关系, 采用外部基准电压 (2.5 V), 增益 = 和 28 均方根噪声 (µv) 增益 = 32, ±62.5 mv, 0x49 增益 = 32, 2, ±22.8 mv, 0x5 增益 = 64 3, ±22.8 mv, 0x59 增益 = 64 3, 4, ±0.325 mv, 0x6 增益 = 28 5, ±0.325 mv, 0x69 更新速率 (Hz) 斩波 /Sinc ADCFLT 寄存器值 3.53 开启 /Sinc3 0x8D7C 关闭 /Sinc3 0x007E 关闭 /Sinc3 0x007D 关闭 /Sinc3 0x004D 关闭 /Sinc4 0x00F 关闭 /Sinc4 0x 关闭 /Sinc4 0x 关闭 /Sinc4 0x 增益 = 28 5, 6, ±3.98 mv, 0x7 0x49 设置 PGA 的增益为 6 调制器增益为 2 调制器增益为 2 则通过调节调制器内的采样电容实现 0x5 设置 PGA 的增益为 32, 调制器增益关闭 0x49 具有稍高的噪声, 但支持更宽的输入范围 2 若 AVDD < 2.0 V 且 0x5, 则输入范围为 ±7.5 mv 3 0x59 设置 PGA 的增益为 32 调制器增益为 2 调制器增益为 2 则通过调节调制器内的采样电容实现 0x6 设置 PGA 的增益为 64, 调制器增益关闭 0x59 具有稍高的噪声, 但支持更宽的输入范围 4 若 AVDD < 2.0 V 且 0x6, 则输入范围为 ±8.75 mv 5 0x69 设置 PGA 的增益为 64 调制器增益为 2 调制器增益为 2 则通过调节调制器内的采样电容实现 0x7 设置 PGA 的增益为 28, 调制器增益关闭 0x69 具有稍高的噪声, 但支持更宽的输入范围 6 若 AVDD < 2.0 V 且 0x7, 则输入范围为 ±3.828 mv Rev. C Page 3 of 24

14 ADuCM360/ADuCM36 表 8. 正常模式下的典型输出均方根噪声有效位数, 采用外部基准电压 (2.5 V), 增益 = 和 6 不同输入电压范围和增益的有效位数 (ENOB) 更新速率 (Hz) 斩波 /Sinc 增益 = ±V REF 0x 开启 /Sinc3 22. (9.4 p-p) 30 关闭 /Sinc (8.0 p-p) 50 关闭 /Sinc (7.6 p-p) 00 关闭 /Sinc3 9.9 (7.2 p-p) 488 关闭 /Sinc4 9.0 (6.3 p-p) 976 关闭 /Sinc4 8.6 (5.9 p-p) 953 关闭 /Sinc4 7.9 (5.2 p-p) 3906 关闭 /Sinc4 5. (2.4 p-p) 增益 = 2 ±500 mv 0x 20.9 (8.2 p-p) 9.4 (6.7 p-p) 8.8 (6. p-p) 8.4 (5.7 p-p) 7.6 (4.9 p-p) 7. (4.4 p-p) 6.6 (3.9 p-p) 3.8 (. p-p) 增益 = 4 ±250 mv 0x (8. p-p) 9.2 (6.4 p-p) 9.05 (6.3 p-p) 8.6 (5.9 p-p) 7.6 (4.9 p-p) 7. (4.4 p-p) 6.4 (3.7 p-p) 3.8 (. p-p) 增益 = 8 ±25 mv 0x (7.7 p-p) 9. (6.4 p-p) 9.05 (6.3 p-p) 8.6 (5.9 p-p) 7.7 (4.9 p-p) 7. (4.4 p-p) 6.55 (3.8 p-p) 3.8 (. p-p) 增益 = 6 ±62.5 mv 0x (7.7 p-p) 8.82 (6. p-p) 8.66 (5.9 p-p) 8.32 (5.6 p-p) 7.34 (4.6 p-p) 6.66 (3.9 p-p) 6.5 (3.4 p-p) 3.77 (.05 p-p) 均方根位可根据下式算得 :log 2 ((2 输入范围 )/ 均方根噪声 );p-p 位可通过下式算得 :log 2 ((2 输入范围 )/(6.6 均方根噪声 )) 表 9. 正常模式下的典型输出均方根噪声有效位数, 采用外部基准电压 (2.5 V), 增益 = 和 28 不同输入电压范围和增益的有效位数 (ENOB) 增益 = 32 ±62.5 mv 更新速率 (Hz) 斩波 /Sinc ADCxMDE = 0x 开启 /Sinc3 9.6 (6.9 p-p) 30 关闭 /Sinc3 8.2 (5.5 p-p) 50 关闭 /Sinc3 7.8 (5. p-p) 00 关闭 /Sinc3 7.4 (4.6 p-p) 488 关闭 /Sinc4 6.4 (3.7 p-p) 976 关闭 /Sinc4 5.8 (3. p-p) 953 关闭 /Sinc4 4.9 (2. p-p) 3906 关闭 /Sinc4 2.7 (0.0 p-p) 增益 = 32 ±22.8 mv ADCxMDE = 0x5 9.3 (6.55 p-p) 7.6 (4.9 p-p) 7.7 (5.0 p-p) 7. (4.35 p-p) 6.0 (3.2 p-p) 5.7 (3.0 p-p) 5. (2.3 p-p) 3.2 (0.4 p-p) 增益 = 64 ±22.8 mv ADCxMDE = 0x (5.7 p-p) 7.2 (4.5 p-p) 6.8 (4. p-p) 6.4 (3.7 p-p) 5.4 (2.7 p-p) 4.9 (2.2 p-p) 4.25 (.5 p-p) 2.6 (9.9 p-p) 增益 = 64 ±0.325 mv ADCxMDE = 0x6 8.4 (5.7 p-p) 6.7 (4.0 p-p) 6.65 (3.9 p-p) 6.2 (3.4 p-p) 5. (2.4 p-p) 4.7 (2.0 p-p) 4.0 (.3 p-p) 2.9 (0.2 p-p) 增益 = 28 ±0.325mV ADCxMDE = 0x (5. p-p) 6.4 (3.7 p-p) 6. (3.4 p-p) 5.6 (2.85 p-p) 4.6 (.85 p-p) 4. (.4 p-p) 3.55 (0.8 p-p) 2.25 (9.5 p-p) 增益 = 28 ±3.98 mv ADCxMDE = 0x7 7. (4.3 p-p) 5.5 (2.8 p-p) 5.35 (2.6 p-p) 4.9 (2.2 p-p) 4.0 (.2 p-p) 3.4 (0.6 p-p) 2.8 (0. p-p) 2.0 (9.3 p-p) 均方根噪声位可通过下式算得 :log 2 ((2 输入范围 )/ 均方根噪声 );p-p 位可通过下式算得 :log 2 ((2 输入范围 )/(6.6 均方根噪声 )) Rev. C Page 4 of 24

15 ADuCM360/ADuCM36 I 2 C 时序规格根据 I 2 C 总线规范, 每条 I 2 C 总线线路上的容性负载 (CB) 最大为 400 pf 通过设计保证 I 2 C 时序, 但未经生产测试 表 0. 快速模式下 I 2 C 时序 (400 khz) 参数 描述 最小值 最大值 单位 tl 串行时钟 (SCL) 低电平脉宽 300 ns th SCL 高电平脉宽 600 ns tshd 起始条件保持时间 600 ns tdsu 数据建立时间 00 ns tdhd 数据保持时间 0 ns trsu 重复起始建立时间 600 ns tpsu 停止条件的建立时间 600 ns tbuf 一个结束条件和起始条件之间的总线空闲时间 ns tr SCL 和串行数据 (SDA) 的上升时间 CB 300 ns tf SCL 和 SDA 的下降时间 CB 300 ns tsup 抑制尖峰的脉冲宽度 0 50 ns 表. 标准模式下 I 2 C 时序 (00 khz) 参数 描述 最小值 最大值 单位 tl SCL 低电平脉宽 4.7 μs th SCL 高电平脉宽 4.0 ns tshd 起始条件保持时间 4.7 μs tdsu 数据建立时间 250 ns tdhd 数据保持时间 0 μs trsu 重复起始建立时间 4.0 μs tpsu 停止条件的建立时间 4.0 μs tbuf 一个结束条件和起始条件之间的总线空闲时间 4.7 μs tr SCL 和 SDA 的上升时间 μs tf SCL 和 SDA 的下降时间 300 ns 图 3. I 2 C 兼容接口时序 Rev. C Page 5 of 24

16 ADuCM360/ADuCM36 SPI 时序规格 表 2. SPI 主机模式时序 参数 tsl 描述 SCLK 低电平脉宽 最小值 典型值 (SPIDIV + ) tuclk 最大值 单位 ns tsh SCLK 高电平脉宽 (SPIDIV + ) tuclk ns tdav SCLK 边沿之后数据输出有效时间 ns tdosu SCLK 边沿之前数据输出建立时间 ns tdsu SCLK 边沿之前数据输入建立时间 58.7 ns tdhd SCLK 边沿之后数据输入保持时间 6 ns tdf 数据输出下降时间 ns tdr 数据输出上升时间 ns tsr SCLK 上升时间 ns tsf SCLK 下降时间 ns t UCLK = 62.5 ns 它对应位于时钟分频器之前的内部 6 MHz 时钟 图 4. SPI 主机定时 ( 相位模式 = ) 图 5. SPI 主机定时 ( 相位模式 = 0) Rev. C Page 6 of 24

17 表 3. SPI 从机模式时序 参数 描述 最小值 典型值 最大值 单位 t CS CS 至 SCLK 边沿 62.5 ns tsl SCLK 低电平脉宽 (SPIDIV + ) tuclk ns tsh SCLK 高电平脉宽 62.5 (SPIDIV + ) tuclk ns tdav SCLK 边沿之后数据输出有效时间 49. ns tdsu SCLK 边沿之前数据输入建立时间 20.2 ns tdhd SCLK 边沿之后数据输入保持时间 0. ns tdf 数据输出下降时间 ns tdr 数据输出上升时间 ns tsr SCLK 上升时间 ns tsf SCLK 下降时间 ns tdocs CS 边沿之后数据输出有效 25 ns tsfs CS 在 SCLK 沿后变高 0 ns t UCLK = 62.5 ns 它对应位于时钟分频器之前的内部 6 MHz 时钟 ADuCM360/ADuCM36 图 6. SPI 从机定时 ( 相位模式 = ) 图 7. SPI 从机定时 ( 相位模式 = 0) Rev. C Page 7 of 24

18 ADuCM360/ADuCM36 绝对最大额定值 表 4 参数 额定值 AVDD 至 AGND 0.3 V 至 V IOVDD 至 DGND 0.3 V 至 V AGND 至 DGND 0.3 V 至 +0.3 V AVDD 至 DVDD 0.3 V 至 +0.3 V 数字输入电压至 DGND 0.3 V 至 V 数字输出电压至 DGND 0.3 V 至 V 模拟输入至 AGND 0.3 V 至 V 工作温度范围 40 C 至 +25 C 存储温度范围 65 C 至 +50 C 结温 50 C ESD 额定值, 所有引脚 人体模型 (HBM) ±2.5 kv 场感应充电器件模型 (FICDM) ± kv 回流焊峰值温度 锡铅体系 (0 秒至 30 秒 ) 240 C 无铅组装 (20 秒至 40 秒 ) 260 C 注意, 超出上述绝对最大额定值可能会导致器件永久性损坏 这只是额定最值, 并不能以这些条件或者在任何其它超出本技术规范操作章节中所示规格的条件下, 推断器件能否正常工作 长期在绝对最大额定值条件下工作会影响器件的可靠性 热阻 θ JA 针对最差条件 ; 即器件焊接在电路板上以实现表贴封装 表 5. 热阻 封装类型 θja 单位 48 引脚 LFCSP_WQ 27 C/W ESD 警告 ESD( 静电放电 ) 敏感器件 带电器件和电路板可能会在没有察觉的情况下放电 尽管本产品具有专利或专有保护电路, 但在遇到高能量 ESD 时, 器件可能会损坏 因此, 应当采取适当的 ESD 防范措施, 以避免器件性能下降或功能丧失 Rev. C Page 8 of 24

19 4 3 P.5/IRQ5/PWM3/SCLK P.4/PWM2/MISO 0 4 R 4 0 P.2/PWM0/R I 3 9 R 3 8 P.0/IRQ3/PWMSYNC/EXTCL K 3 7 D VREF+ GND_SW 3 VREF AGND A VD D A VDD_RE G DAC INT_REF IREF AIN5/IEXC AIN6/IEXC AIN7/VBIAS0/IEXC/EXTREF2IN SWDI O 4 7 SWCL K 4 6 P2.0/SCL/UARTCL K 4 5 P.7/IRQ7/PWM5/CS P.6/IRQ6/PWM4/MOSI 0 P.3/PWM/DS P./IRQ4/PWMTRIP/DT IOVD ADuCM360/ADuCM36 引脚配置和功能描述 RESET P2./SDA/UARTDCD P2.2/BM XTALO XTALI IOVDD DVDD_REG AIN0 AIN AIN2 AIN3 AIN4/IEXC P0.7/POR/SOUT P0.6/IRQ2/SIN 3 34 P0.5/CTS/IRQ 4 ADuCM360/ 33 P0.4/RTS/ECLKO 5 ADuCM36 32 P0.3/IRQ0/CS 6 3 P0.2/MOSI/SDA/SOUT 7 TOP 30 P0./SCLK/SCL/SIN 8 VIEW 29 P0.0/MISO 9 (Not to Scale) 28 AIN/VBIAS 0 27 AIN0 26 AIN9/DACBUFF AIN8/EXTREF2IN NOTES. THE LFCSP HAS AN EXPOSED PAD THAT MUST BE SOLDERED TO A METAL PLATE ON THE PCB FOR MECHANICAL REASONS AND TO DGND. 图 8. 引脚配置 表 6. 引脚功能描述 引脚编号 名称 描述 RESET 复位引脚, 低电平输入有效 提供一个内部上拉电阻 2 P2./SDA/UARTDCD 通用输入 / 输出 P2./I 2 C 串行数据引脚 /UART 数据载波检测引脚 3 P2.2/BM 通用输入 / 输出 P2.2/ 引导模式输入检测引脚 当该引脚在任意复位序列中及其后的较短时间内保持低电平时, 该器件进入 UART 下载模式 4 XTALO 外部晶体振荡器输出引脚 针对实时时钟的可选 khz 源 5 XTALI 外部晶体振荡器输入引脚 针对实时时钟的可选 khz 源 6 IOVDD 数字系统电源引脚 此引脚必须通过一个 0. µf 电容连接至 DGND 7 DVDD_REG 此引脚必须通过一个 470 nf 电容连接至 DGND 和引脚 8 AVDD_REG 8 AIN0 ADC 模拟输入 0 该引脚能够以差分或单端模式配置为任意 ADC 的正或负输入 9 AIN ADC 模拟输入 该引脚能够以差分或单端模式配置为任意 ADC 的正或负输入 0 AIN2 ADC 模拟输入 2 该引脚能够以差分或单端模式配置为任意 ADC 的正或负输入 AIN3 ADC 模拟输入 3 该引脚能够以差分或单端模式配置为任意 ADC 的正或负输入 2 AIN4/IEXC ADC 模拟输入 4/ 激励电流源该引脚能够以差分或单端模式配置为任意 ADC 的正或负输入 (AIN4) 该引脚还可配置为激励电流源 0 或激励电流源 (IEXC) 的输出引脚 3 GND_SW 传感器电源切换至模拟地基准电压 Rev. C Page 9 of 24

20 ADuCM360/ADuCM36 引脚编号名称 描述 4 VREF+ 外部基准电压正输入 外部基准电压可施加在 VREF+ 和 VREF 引脚之间 5 VREF 外部基准电压负输入 外部基准电压可施加在 VREF+ 和 VREF 引脚之间 6 AGND 模拟系统地基准引脚 7 AVDD 模拟系统电源引脚 此引脚必须通过一个 0. µf 电容连接至 AGND 8 AVDD_REG 内部模拟稳压器电源输出 此引脚必须通过一个 470 nf 电容连接至 AGND 和引脚 7 DVDD_REG 9 DAC DAC 电压输出 20 INT_REF 内部基准电压源 此引脚必须通过一个 470 nf 去耦电容连接至地 2 IREF 针对激励电流源的可选基准电流电阻连接 用于激励电流源的基准电流通过一个连接至该引脚的低漂移 (5 ppm/ C) 外部电阻设置 22 AIN5/IEXC ADC 模拟输入 5/ 激励电流源该引脚能够以差分或单端模式配置为任意 ADC 的正或负输入 (AIN5) 该引脚还可配置为激励电流源 0 或激励电流源 (IEXC) 的输出引脚 23 AIN6/IEXC ADC 模拟输入 6/ 激励电流源该引脚能够以差分或单端模式配置为任意 ADC 的正或负输入 (AIN6) 该引脚还可配置为激励电流源 0 或激励电流源 (IEXC) 的输出引脚 24 AIN7/VBIAS0/IEXC/EXTREF2IN+ ADC 模拟输入 7/ 偏置电压输出 / 激励电流源 / 外部基准电压 2 正输入 该引脚能够以差分或单端模式配置为任意 ADC 的正或负输入 (AIN7) 该引脚还可配置为: 模拟输出引脚, 以产生偏置电压 (AVDD_REG/2 的 VBIAS0,VBIAS0); 激励电流源 0 或激励电流源 (IEXC) 的输出引脚 ; 或外部基准电压 2 的正输入 (EXTREF2IN+) 25 AIN8/EXTREF2IN ADC 模拟输入 8/ 外部基准电压 2 负输入 该引脚能够以差分或单端模式配置为任意 ADC 的正或负输入 (AIN8) 该引脚还可配置为外部基准电压 2 的负输入 (EXTREF2IN ) 26 AIN9/DACBUFF+ ADC 模拟输入 9/DAC 输出缓冲器的同相输入 该引脚能够以差分或单端模式配置为任意 ADC 的正或负输入 (AIN9) 当 DAC 配置为 NPN 模式时, 该引脚还可配置为 DAC 输出缓冲器的同相输入 (DACBUFF+) 27 AIN0 ADC 模拟输入 0 该引脚能够以差分或单端模式配置为任意 ADC 的正或负输入 28 AIN/VBIAS ADC 模拟输入 / 偏置电压输出 该引脚能够以差分或单端模式配置为任意 ADC 的正或负输入 (AIN) 该引脚还可配置为模拟输出引脚, 以生成偏置电压 (AVDD_REG/2 的 VBIAS,VBIAS) 29 P0.0/MISO 通用输入 / 输出 P0.0/SPI 主机输入 从机输出引脚 30 P0./SCLK/SCL/SIN 通用输入 / 输出 P0./SPI 串行时钟引脚 /I 2 C 串行时钟引脚 /UART 串行输入 (UART 下载器的数据输入 ) 3 P0.2/MOSI/SDA/SOUT 通用输入 / 输出 P0.2/SPI 主机输出 从机输入引脚 /I 2 C 串行数据引脚 /UART 串行输出 (UART 下载器的数据输出 ) 32 P0.3/IRQ0/CS 通用输入 / 输出 P0.3/ 外部中断请求 0/SPI 芯片选择引脚 ( 低电平有效 ) 33 P0.4/RTS/ECLKO 通用输入 / 输出 P0.4/UART 请求发送信号 / 用于测试的外部时钟输出引脚 34 P0.5/CTS/IRQ 通用输入 / 输出 P0.5/UART 清零发送信号 / 外部中断请求 35 P0.6/IRQ2/SIN 通用输入 / 输出 P0.6/ 外部中断请求 2/UART 串行输入 UART 下载器不使用 36 P0.7/POR/SOUT 通用输入 / 输出 P0.7/ 上电复位引脚 ( 高电平有效 )/UART 串行输出 UART 下载器不使用 37 IOVDD 数字系统电源引脚 此引脚必须通过一个 0. µf 电容连接至 DGND 38 P.0/IRQ3/PWMSYNC/EXTCLK 通用输入 / 输出 P.0/ 外部中断请求 3/PWM 外部同步输入 / 外部时钟输入引脚 39 P./IRQ4/PWMTRIP/DTR 通用输入 / 输出 P./ 外部中断请求 4/PWM 外部触发输入 /UART 数据终端就绪引脚 40 P.2/PWM0/RI 通用输入 / 输出 P.2/PWM0 输出 /UART 响铃指示引脚 4 P.3/PWM/DSR 通用输入 / 输出 P.3/PWM 输出 /UART 数据设置就绪引脚 42 P.4/PWM2/MISO0 通用输入 / 输出 P.4/PWM2 输出 /SPI0 主机输入 从机输出引脚 Rev. C Page 20 of 24

21 ADuCM360/ADuCM36 引脚编号名称 描述 43 P.5/IRQ5/PWM3/SCLK0 通用输入 / 输出 P.5/ 外部中断请求 5/PWM3 输出 /SPI0 串行时钟引脚 44 P.6/IRQ6/PWM4/MOSI0 通用输入 / 输出 P.6/ 外部中断请求 6/PWM4 输出 /SPI0 主机输出 从机输入引脚 45 P.7/IRQ7/PWM5/CS0 通用输入 / 输出 P.7/ 外部中断请求 7/PWM5 输出 /SPI0 芯片选择引脚 ( 低电平有效 ) 46 P2.0/SCL/UARTCLK 通用输入 / 输出 P2.0/I 2 C 串行时钟引脚 / 仅用于 UART 模块的输入时钟引脚 47 SWCLK 串行线路调试时钟输入引脚 48 SWDIO 串行线路调试数据输入 / 输出引脚 EP 裸露焊盘 LFCSP 具有裸露焊盘, 出于机械方面的考虑, 必须将其焊接在 PCB 的金属片及 DGND 上 Rev. C Page 2 of 24

22 ADuCM360/ADuCM36 典型性能参数 图 9. 输入电流与共模电压 (V CM ) 的关系, 增益 = 4 ADC 输入 = 250 mv AVDD = 3.6 V T A = 25 C V CM = ((AIN+) + (AIN ))/2 图 2. VBIAS 输出设置时间与负载电容的关系, T A = 25 C IOVDD 和 AVDD = 3.3 V 图 0. 输入电流与共模电压 (V CM ) 的关系, 增益 = 28 ADC 输入 = mv AVDD = 3.6 V T A = 25 C V CM = ((AIN+) + (AIN ))/2 图 3. 数字输入引脚上拉电阻值与施加在数字引脚上电压的关系,T A = 25 C,IOVDD = 3.4 V 图. ADC 代码 ( 十进制数值 ) 与芯片温度的关系, 测量仅针对温度传感器 图 4 数字输入引脚上拉电阻值与施加在数字引脚上电压的关系,T A = 25 C,IOVDD =.8 V Rev. C Page 22 of 24

23 ADuCM360/ADuCM36 典型系统配置图 5 显示 ADuCM360/ADuCM36 的典型配置 该图展示了某些硬件考虑因素 LFCSP 封装的底部具有裸露焊盘, 出于机械方 面的考虑, 必须将其焊接在 PCB 的金属片及 DGND 上 PCB 上的金属片可以连接到地 AVDD_REG 和 DVDD_REG 引脚上的 0.47 µf 电容应尽可能靠近引脚放置 在高噪声环境下, 可添加一个额外的 nf 电容至 IOVDD 和 AVDD 图 5. 典型系统配置 Rev. C Page 23 of 24

24 ADuCM360/ADuCM36 外形尺寸 图 引脚引脚架构芯片级封装 [LFCSP_WQ] 7 mm x 7 mm, 超薄体 (CP-48-4) 尺寸单位 :mm 订购指南 型号 ADC 闪存 /SRAM 温度范围 封装描述 封装选项 订购数量 ADuCM360BCPZ28 双路 C 至 +25 C 48 引脚 LFCSP_WQ CP-48-4 双路 C 至 +25 C 48 引脚 LFCSP_WQ CP 双路 24 双路 C 至 +25 C 40 C 至 +25 C 48 引脚 LFCSP_WQ 48 引脚 LFCSP_WQ CP-48-4 CP ADuCM360BCPZ28-R7 ADuCM36BCPZ28 ADuCM36BCPZ28-R7 EVAL-ADuCM360QSPZ Z = 符合 RoHS 标准的器件 AduCM360 QuickStart Plus 开发系统 I 2 C 指最初由 Philips Semiconductors( 现为 NXP Semiconductors) 开发的一种通信协议 Analog Devices, Inc. All rights reserved. Trademarks and registered trademarks are the property of their respective owners. D09743sc-0-0/4(C) Rev. C Page 24 of 24

目录 产品特性... 应用... 修订历史... 2 功能框图... 3 概述... 4 技术规格... 5 微控制器电气规格... 5 时序规格... 0 绝对最大额定值... 5 ESD 警告... 5 引脚配置和功能描述... 6 典型性能参数... 2 建议电路和元件值 封装和订

目录 产品特性... 应用... 修订历史... 2 功能框图... 3 概述... 4 技术规格... 5 微控制器电气规格... 5 时序规格... 0 绝对最大额定值... 5 ESD 警告... 5 引脚配置和功能描述... 6 典型性能参数... 2 建议电路和元件值 封装和订 精密模拟微控制器,4 位模拟 I/O, 带 MDIO 接口 ARM Cortex-M3 处理器 ADuCM320 产品特性模拟输入 / 输出多通道 4 位 MSPS 模数转换器 (ADC) 多达 6 个 ADC 外部输入通道模拟输入范围 :0 V 至 VREF 全差分模式和单端模式 AV DD 和 IOV DD 监控 2 位电压输出数模转换器 (VDAC) 8 个 VDAC, 提供 0 V 至 2.5

More information

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD

T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma = 25 = 3V) VDD 1/16 T stg -40 to 125 C V cc 3.8V V dc RH 0 to 100 %RH T a -40 to +125 C -0.3 to 3.6V V -0.3 to VDD+0.3 V -10 to +10 ma (@T = 25 C, @Vdd = 3V) VDD 1.8 3.0 3.6 V (1) 0.08 0.3 µa Idd 300 450 500 µa 0.25

More information

AD87/AD88 目 录 技 术 规 格... 3 绝 对 最 大 额 定 值... 6 最 大 功 耗... 6 ESD 警 告... 6 典 型 工 作 特 性... 8 工 作 原 理... 7 输 入 级... 7 交 越 选 择... 7 输 出 级... 8 直 流 误 差... 8

AD87/AD88 目 录 技 术 规 格... 3 绝 对 最 大 额 定 值... 6 最 大 功 耗... 6 ESD 警 告... 6 典 型 工 作 特 性... 8 工 作 原 理... 7 输 入 级... 7 交 越 选 择... 7 输 出 级... 8 直 流 误 差... 8 低 失 真 高 速 轨 到 轨 输 入 / 输 出 放 大 器 AD87/AD88 特 性 高 速 3 db 带 宽 :9 MHz (G = ) 压 摆 率 : V/μs 低 失 真 SFDR: dbc @ MHz SFDR:8 dbc @ 5 MHz 可 选 输 入 交 越 阈 值 低 噪 声 4.3 nv/ Hz.6 pa/ Hz 低 失 调 电 压 :9 µv( 最 大 值 ) 低 功 耗 :

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax)

版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) (Fax) 2018 9 29 龙芯 版权声明 龙芯 免责声明 据 龙芯 2 Building No.2, Loongson Industrial Park, Zhongguancun Environmental Protection Park (Tel) 010-62546668 (Fax) 010-62600826 阅读指南 龙芯 1C101 处理器数据手册 龙芯 1C101 修订历史 序号 更新日期 版本号

More information

×××芯片用户手册

×××芯片用户手册 用户手册 通讯地址 : 深圳市南山区蛇口南海大海 1079 号花园城数码大厦 A 座 9 楼邮政编码 :518057 公司电话 :+(86 755)86169257 传真 :+(86 755)86169057 公司网站 :www.chipsea.com 第 1 页, 共 13 页 历史修改记录 历史修改记录 时间 记录 版本号 2012-12-19 更换新 LOGO, 重新发布 1.0 第 2 页,

More information

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V

Application Note Transient Voltage Suppressors (TVS) for 表 1 VISHAY 的 SM6T 系列的电特性 25 C 型号 击穿电压 器件标识码 V BR AT I T I T 测试电流 (ma) 关态电压 V RM 漏电流 I RM AT V VISHAY GE NERAL SEMICONDUCTOR 瞬态电压抑制器 应用笔记 用于汽车电子保护的瞬态电压抑制器 (TVS) Soo Man (Sweetman) Kim, Vishay I) TVS 的重要参数 TVS 功率等级 TVS Vishay TVS 10 μs/1000 μs (Bellcore 1089) 1 TVS ESD 8 μs/20 μs 2 1 10 µs 10 µs/1000

More information

RP7900 Series Regenerative Power System - Data Sheet

RP7900 Series Regenerative Power System - Data Sheet RP7900 RP7931A - RP7936A (200/208 VAC) RP7941A - RP7946A (400/480 VAC) RP7951A - RP7953A (200/208 VAC) RP7961A - RP7963A (400/480 VAC) 12V / HEV/EV 300V RP7900 Keysight RP7900 3U RP7900 950 V 800 A 10

More information

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D

控制器 EtherCAT EtherCAT EtherCAT 接下一个电机驱动模块 (X4) 接下一个电机驱动模块 (X5) X11 IN X4 IN X3 OUT X5 IN X6 OUT X2 X1 X4 IN X3 OUT X5 IN X6 OUT X2 X1 SYS STA DC BUS D 控制器 thert thert thert 接下一个电机驱动模块 () 接下一个电机驱动模块 () 电机驱动模块 电机驱动模块 电源模块 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () 接下一个电机驱动模块 () X 0 X 0 4 /RK /RK 注 注 制动电阻阻值 Ω Φ 80: 适用电机驱动模块型号 8-M-XXXX--XX Φ : 适用电机驱动模块型号

More information

Microsoft Word - Atmel-45136A-Pick-Best-Microcontroller-Strom-Eiland-Flodell_Article_CS

Microsoft Word - Atmel-45136A-Pick-Best-Microcontroller-Strom-Eiland-Flodell_Article_CS 如 何 为 您 的 下 一 款 设 计 选 出 最 好 的 8 位 或 32 位 微 控 制 器 作 者 : Atmel 产 品 营 销 高 级 总 监 Oyvind Strom Atmel 产 品 营 销 总 监 Andreas Eieland Atmel 研 发 工 具 部 门 高 级 产 品 营 销 经 理 Henrik Flodell 不 久 之 前, 嵌 入 式 系 统 还 是 既 昂 贵

More information

文件名

文件名 Microchip MCP3221 12 I 2 C TM 12 1LSB DNL 2LSB INL 250 A 5nA 1 A I 2 C TM I 2 C 100kHz I 2 C 400kHz 2 8 I 2 C 22.3ksps 2.7V 5.5V 40 +85 40 +125 SOT-23 Microchip MCP3221 12 A/D SOT-23 CMOS MCP3221 250 A

More information

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8

GW2A 系列 FPGA 产品 GW2A-18 器件 Pinout Version History 日期 版本 2016/8/ /9/ /11/ /2/ /6/ /6/ /8 Version History 日期 版本 2016/8/4 1.00 2016/9/2 1.01 2016/11/29 1.02 2017/2/16 1.03 2017/6/9 1.04 2018/6/27 1.05 2018/8/8 1.06 新增 MG196 PBGA256S 封装信息 ; 修改 SSPI 模式的配置功能 ; 增加 QN88 封装信息 2019/3/27 1.07 增加电源供电要求

More information

Electrical and Optical Clock Data Recovery Solutions - Data Sheet

Electrical and Optical Clock Data Recovery Solutions - Data Sheet 32 GBd N1076A 32 GBd N1077A / 64 GBd N1076B 64 GBd N1078A / 64 GBd NRZ PAM4 O/E < 100 fs RMS JSA PLL ...3...4...4...5 /...6...8...11 N1076A...12 N1076B DCA-M...13 N1077A...15 N1078A DCA-M...17...21...

More information

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请

额定规格 / 性能 单相 标准认证 UL CSA. NO. EN-- 额定规格输入 环境温度 项目电压电平额定电压使用电压范围输入电流型号动作电压复位电压 - B ma 以下 DC~V DC.~V DC.V 以下 DC.V 以上 - BL ma 以下 输出 项目 * 根据环境温度的不同而不同 详情请 加热器用固态继电器 单相 CSM_-Single-phase_DS_C 带纤细型散热器的一体式小型 SSR 备有无过零触发型号, 用途广泛 符合 RoHS 标准 包含无过零触发型号, 产品线齐全 输出回路的抗浪涌电压性能进一步提高 根据本公司的试验条件 小型 纤细形状 除了 DIN 导轨安装, 还可进行螺钉安装 获取 UL CSA EN 标准 TÜV 认证 请参见 共通注意事项 种类 关于标准认证机型的最新信息,

More information

供充放一体电源及充电电源手册_0_.doc

供充放一体电源及充电电源手册_0_.doc 2010. 05 供充放一体电源及充电电源 适用于铅酸电池 锂电池 镍氢电池 实现不间断供电 采用自动均 / 浮充 恒流 / 恒压 零电流 -ΔV 检测 / 涓充 / 滴充技术 提供各种保护 显示各种工作状态 目录 SCD 供充放一体电源 ( 锂电池系列 )-----------1 SCD 供充放一体电源 ( 铅酸电池系列 )----------3 C 充电电源 ( 镍氢电池系列 )-------------------------5

More information

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi SPHE8202R Design Guide V2.0 JUN, 2007 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com SPHE8202R Design Guide Important Notice

More information

1996 1997 GJB-151 1998 1999 2001 2002 220AC TEMPEST TEMPEST / / 1.dV/dt di/dt 2. NEMP ESD V -- - +++ - +++ - - - + V V V G PCB PCB / / IEC CISPR CISPR Pub. IEC TC77 IEC CENELEC EN FCC DOD FCC Part,

More information

换向阀 线圈系列 CO1 产品手册

换向阀 线圈系列 CO1 产品手册 系列 CO1 产品手册 2 Rexroth Pneumatics 系列 CO1 线圈宽度 30 mm A 型 3 线圈宽度 22 mm B 型 5 线圈宽度 15 mm 类型 C, 线圈组 7 线圈宽度 15 mm M8, 线圈组 9 补充性产品, 系列 CO1 线圈 online Rexroth Pneumatics 3 线圈宽度 30 mm A 型 标准化电路接口 EN 175301-803,

More information

DATASHEET SEARCH SITE ==

DATASHEET SEARCH SITE == 特性描述 是应用于电子秤低频测量的单通道模拟前端 该器件可以接受直接来自传感器的低电平的输入信号, 然后产生串行的数字输出 利用 Σ-Δ 转换技术实现了 24 位无丢失代码性能 通过选定的输入信号被送到一个基于模拟调制器的增益可编程专用前端 片内数字滤波器处理调制器的输出信号, 通过通信口发命令可调节滤波器的截止点和输出更新速率, 从而对数字滤波器的第一个陷波进行编程 只需 2.6~5.5V 单电源供电

More information

SD3101 带 UART 和 I 2 C 的三差分通道计量 SOC 特点 高精度 ADC,24 位数据输出, 输入增益可选 和 16,3 个差分或 5 个伪差分通道, 可测量信号的真有效值 瞬时值和频率 8 位 RISC 低功耗 MCU,49 条指令,6 级堆栈, 在 2.097MHz

SD3101 带 UART 和 I 2 C 的三差分通道计量 SOC 特点 高精度 ADC,24 位数据输出, 输入增益可选 和 16,3 个差分或 5 个伪差分通道, 可测量信号的真有效值 瞬时值和频率 8 位 RISC 低功耗 MCU,49 条指令,6 级堆栈, 在 2.097MHz 带 UART 和 I C 的三差分通道计量 SOC 特点 高精度 ADC, 位数据输出, 输入增益可选 8 和 6, 个差分或 个伪差分通道, 可测量信号的真有效值 瞬时值和频率 8 位 RISC 低功耗 MCU, 条指令,6 级堆栈, 在.0MHz 工作时钟,MCU 部分在.V 工作电压下电流典型值为 00uA;kHz 时钟待机模式下工作电流为.6uA, 休眠模式电流小于 ua 6k Bytes

More information

Keysight B2900A / Keysight B2961A 6 Keysight B2962A 6 Keysight B2961A B2962A nano-voltmeter / nv/10 fa 10 µvrms 210 V/ 3 A 10.5 A GUI nv 2

Keysight B2900A / Keysight B2961A 6 Keysight B2962A 6 Keysight B2961A B2962A nano-voltmeter / nv/10 fa 10 µvrms 210 V/ 3 A 10.5 A GUI nv 2 Keysight B2961A/B2962A 6 1 /2 210 V 3 A /10.5 A 31.8W 100 nv/10 fa Keysight B2900A / Keysight B2961A 6 Keysight B2962A 6 Keysight B2961A B2962A nano-voltmeter / 6 100 nv/10 fa 10 µvrms 210 V/ 3 A 10.5

More information

HM53XXA/B 35V,1.6μA 超低静态电流,200mA, 低压差线性稳压器 概述 HM53XX 系列是丏为功耗敏感应用研发设计的一款高输入电压 超低功耗的低压差线性稳压器 最大允许的输入电压可达 35V, 丐输出 100mA 电流时输入输出电压差仅 300mV 典型情况下, 静态电流 1.

HM53XXA/B 35V,1.6μA 超低静态电流,200mA, 低压差线性稳压器 概述 HM53XX 系列是丏为功耗敏感应用研发设计的一款高输入电压 超低功耗的低压差线性稳压器 最大允许的输入电压可达 35V, 丐输出 100mA 电流时输入输出电压差仅 300mV 典型情况下, 静态电流 1. 概述 HM53XX 系列是丏为功耗敏感应用研发设计的一款高输入电压 超低功耗的低压差线性稳压器 最大允许的输入电压可达 35V, 丐输出 100mA 电流时输入输出电压差仅 300mV 典型情况下, 静态电流 1.6μA, 具有几个固定的输出电压 1.8V,2.5V,3.0V,3.3V,3.6V,4.0V, 4.2V,5.0V IC 内部集成了短路保护和热关断功能 尽管主要为固定电压调节器而设计,

More information

HMC8 目录 产品特性... 应用... 功能框图... 概述... 修订历史... 技术规格...3 电气规格...3 数字控制电压... 偏置和电源电流... 绝对最大额定值...5 ESD 警告...5 引脚配置和功能描述...6 接口原理图...6 典型性能参数...7 插入损耗 回损和隔

HMC8 目录 产品特性... 应用... 功能框图... 概述... 修订历史... 技术规格...3 电气规格...3 数字控制电压... 偏置和电源电流... 绝对最大额定值...5 ESD 警告...5 引脚配置和功能描述...6 接口原理图...6 典型性能参数...7 插入损耗 回损和隔 高隔离度 硅 SPDT 非反射开关,9 khz 至 3. GHz HMC8 产品特性非反射式 5 Ω 设计正控制电压 : V/3.3 V 低插入损耗 :.68 db (8. GHz) 高隔离度 :8 db (8. GHz) 高功率处理 35 dbm( 通过路径 ) 7 dbm( 端接路径 ) 高线性度 db 压缩 (PdB):37 dbm( 典型值 ) 输入三阶交调截点 (IIP3):6 dbm(

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM3316/3315 MCU 2017.06 FM3316/3315 MCU 2.21 (http://www.fmsh.com/) FM3316/3315 MCU 2.22 ... 3 1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.3.1... 6 2.3.2... 6 2.4... 9 2.5... 10 2.5.1 LQFP64... 10 2.5.2

More information

2 PIC PIC 1 / CPU PIC MCU PIC RC

2 PIC PIC 1 / CPU PIC MCU PIC RC 2 PIC PIC 1 /... 2-2 2... 2-3 3... 2-4 4... 2-4 5... 2-4 6 CPU... 2-5 7 PIC MCU... 2-6 8 PIC16... 2-6 9... 2-7 10... 2-7 11 RC... 2-7 12... 2-8 13... 2-8 14 NOP... 2-9 15 PMD... 2-9 16... 2-10 17 WDTWDT...

More information

MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需

MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需 MS20 方案与 ACPL-C79B,C784 方案和 AMC1200B 方案测评 一 介绍 MS20 电流传感器基于 MAGTRON 公司的 Quadcore TM 传感器技术, 内部具有 PGA 可编程增益单元,TC 温度校正模块, 可编程基准模块和专用 DSP 处理器等功能 单电源供电, 无需检测电阻, 能检测交直流电流和外围电路简单 检测电流方案为电流传感器 APCL-C784 和 C79B

More information

ETA104 数据采集模块数据手册

ETA104 数据采集模块数据手册 Emtronix ETA104 数据采集模块数据手册 1. 概述 1.1 ETA104 介绍 ETA104 模拟数据采集模块 ( 下文简称 :ETA104 模块 ) 是基于英创公司 SBC840 工控应用底板 符合 DM5028 标准应用扩展模块 ETA104 模块上的模数转换功能, 采用 ADS7871 模拟信号转换芯片, 占用 ESMARC 工控主板的 SPI 总线进行通讯, 最高可实现 48K

More information

AN-80 各单通道 ADC 采用先进的 CMOS 工艺制造, 提供 引脚 LFCSP 封装, 额定温度范围为 0ºC 至 +8ºC 工业温度范围 设计和布局考虑因素对于布局设计师, 引脚排列间的唯一差异是数据输出位的分配 所有双通道转换器中, 引脚 和引脚 8 分别为 A 和 B 的 MSB, 该

AN-80 各单通道 ADC 采用先进的 CMOS 工艺制造, 提供 引脚 LFCSP 封装, 额定温度范围为 0ºC 至 +8ºC 工业温度范围 设计和布局考虑因素对于布局设计师, 引脚排列间的唯一差异是数据输出位的分配 所有双通道转换器中, 引脚 和引脚 8 分别为 A 和 B 的 MSB, 该 AN-80 应用笔记 One Technology Way P.O. Box Norwood, MA 00- Tel: 8/-00 Fax: 8/- www.analog.com 利用引脚兼容高速 ADC 简化设计任务 作者 :Robert M. Clarke 简介选择 ADC 可能是系统设计师最棘手的问题 转换器分辨率和采样速率决定系统性能 然而, 设计通常是基于一系列无法验证的假设, 除非原型系统经过测试

More information

CX3300 Series Device Current Waveform Analyzer - Data Sheet

CX3300 Series Device Current Waveform Analyzer - Data Sheet CX3300 CX3322A 2 CX3324A 4 CX1101A CX1102A CX1103A CX1104A CX1105A CX1151A CX1152A ... 03... 05... 07 CX3300... 09 200 MHz 1 X 10 12 CX3300... 11 14 16... 12 1 GSa/s 200 MHz... 14 CX3300... 15... 19 /...

More information

24位模数转换器

24位模数转换器 24 位高精度低电压模数转换器 特征 : 24 位分辨率 ( 无失码 ) 20.7 位有效位 (ENBO 128 倍放大 10Hz 输出 3.0V) 18.2 位不动码 (ENBO 128 倍放大 10Hz 输出 3.0V) 内部集成可编程增益 : 2 4 8 16 32 128 256 1024 2048 倍 输出码率可选 (Hz): 0.625 1.25 2.5 5 10 20( 默认 ) 40

More information

目录范围...1 免责声明...1 修订历史...4 使用 ADuCM360/ADuCM361 硬件用户指南...5 数字记法...5 寄存器访问约定...5 首字母缩写词和缩略语...5 ADuCM360/ADuCM361 简介...6 ADuCM360/ADuCM361 主要特性...7 存储器

目录范围...1 免责声明...1 修订历史...4 使用 ADuCM360/ADuCM361 硬件用户指南...5 数字记法...5 寄存器访问约定...5 首字母缩写词和缩略语...5 ADuCM360/ADuCM361 简介...6 ADuCM360/ADuCM361 主要特性...7 存储器 UG-367 One Technology Way P.O. Box 9106 Norwood, MA 02062-9106, U.S.A. Tel: 781.329.4700 Fax: 781.461.3113 www.analog.com 使用集成双通道 Σ-Δ 型 ADC 和 ARM Cortex-M3 的低功耗精密模拟微控制器 ADuCM360/ADuCM361 范围本用户指南详细说明 ADuCM360/ADuCM361

More information

Intersil精密模拟器件

Intersil精密模拟器件 Intersil 1nV/vHz & 0.00017% 1nV/ Hz Hz IC +125 DCPs VOUT I 2 C Intersil 450nA ( ) 2nA na / IntersilIC 2.8mm 1.6mm / V I SS µa (Max.) V OS (mv) (Max.) I B (pa) @1kHz (nv/ Hz ) khz (Tye.)(dB) (Tye.)(dB)

More information

第 卷 第 期 年 月 半 导 体 学 报! " # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% )

第 卷 第 期 年 月 半 导 体 学 报!  # $%&'%' $!&' #% #$1 /#1 $'! / ?/ ?/ / 3 0,?/ ) * +!!! '!,!! -. & ' $! '! 4% %&1)/1(7%&)03 (% ) 第 卷 第 期 年 月!"# $%&'%' $!&'#%#$1/#1 $'! /18103 2?/03101?/18103 /3 0,?/0301.13 )*+!!! '!,!! -.&' $!'! 4%%&1)/1(7%&)03(%)%&,%*(1&0)%$-0*,%30)17*1*)0(+1(1+&1*+*),)1; &113(%44(10&.0701&0-&00*/)%;()1%-1+%&0)0*1*)%

More information

ADuCM35 目录 产品特性... 应用... 功能框图... 修订历史... 概述... 3 规格... 4 模拟前端规格... 4 开关矩阵规格... 5 跨阻放大器规格... 6 ADC 规格... 6 温度传感器规格... 6 CapTouch... 6 基于 DFT 的阻抗测量... 7

ADuCM35 目录 产品特性... 应用... 功能框图... 修订历史... 概述... 3 规格... 4 模拟前端规格... 4 开关矩阵规格... 5 跨阻放大器规格... 6 ADC 规格... 6 温度传感器规格... 6 CapTouch... 6 基于 DFT 的阻抗测量... 7 6 位精密 低功耗片上计量仪, 内置 Cortex-M3 和连接能力 ADuCM35 产品特性模拟性能 6 ksps 6 位 精密模数转换器 (ADC) 4 条专用电压测量通道 8 条电流测量通道阻抗测量引擎高精度基准电压源电源噪声抑制滤波超低泄漏可配置开关矩阵 位数模转换器 (DAC) 精密仪表放大器控制环路 6 通道 CapTouch 控制器温度传感器模拟硬件加速器自带模拟前端 (AFE) 控制器用于

More information

PCM-3386用户手册.doc

PCM-3386用户手册.doc PCM-3386 BBPC-4x86 10/100M PC/104 (Lanry technology Co. Ltd. Zhuhai) 38 1012836 (Address: Room 1012,Linhai Building,No. 38,west of Shihua Road,Zhuhai City,Guangdong Province,China) (post code)519015 (phone)0756-3366659

More information

大16开产品画册排版.cdr

大16开产品画册排版.cdr 北京圣莱特商贸有限公司 中国 北京 新型产品 XYZ 20A 颜色 黑色 尺寸 210*180*130mm 功能参数 1 使用高转换效率单晶硅片 太阳能转换效率高达16%以上 2 太阳能电池板规格 10W 3 充电器内置高容量可充电电池 20AH 4 输出电压 220V 5 用交流适配器给充电器内置电池充电时间 5小时 (6) 太阳能给充电器内置电池充电时间 20小时

More information

1377_SNAP_Selection_Guide.fm

1377_SNAP_Selection_Guide.fm I/O? PC OptoTerminal Form 377-040325 www.opto-tech.com.cn support@opto-tech.com.cn 2 www.opto-tech.com.cn support@opto-tech.com.cn Form 377-040325 4 3 2 ÎÒ 5 ioproject FactoryFloor ioproject FactoryFloor

More information

DS_MM32P021_Ver1.9.0

DS_MM32P021_Ver1.9.0 产品手册 Datasheet MM32P021 32 位基于 ARM Cortex M0 核心的微控制器 版本 :1.9.0 保留不通知的情况下, 更改相关资料的权利 目录 1. 总介... 5 1.1 概述... 5 1.2 产品特性... 5 2. 规格说明... 6 2.1 器件对比... 6 2.2 功能简述... 6 3. 引脚定义... 7 3.1 引脚配置... 7 3.2 引脚描述...

More information

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg 1 1 a. 0.5V 5V 1Hz 1MHz b. 0.1% 2 : a. 0.5V 5V 1Hz 1MHz b. 0.1% (3) a. 0.5V 5V 100 s b. 1% 4 1 10 5 1MHz 6 1 2 1 0.1Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15

More information

Products catalog

Products catalog 加速度传感器 MA 运动传感器红外线阵列传感器压力传感器 机器用传感器 松下电器的机器用传感器为节能 安全 舒适做出贡献 在各个生活场景中提供传感器 空调 Grid-EYE 人体检测 门灯的ON/OFF Grid-EYE 人体检测 血压计 压力传感器 压力检测 周围明亮时 熄灯 周围变暗时发 出微弱灯光 有人靠近时 Grid-EYE 进行检 测 1%亮灯 人不在时 再次 发出微弱灯光 安防摄像头 Grid-EYE

More information

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B

电子技术基础 ( 第 版 ) 3. 图解单相桥式整流电路 ( 图 4-1-3) 电路名称电路原理图波形图 整流电路的工作原理 1. 单相半波整流电路 u 1 u u sin t a t 1 u 0 A B VD I A VD R B 直流稳压电源 第 4 章 4.1 整流电路及其应用 学习目标 1. 熟悉单相整流电路的组成, 了解整流电路的工作原理. 掌握单相整流电路的输出电压和电流的计算方法, 并能通过示波器观察整流电路输出电压的波形 3. 能从实际电路中识读整流电路, 通过估算, 能合理选用整流元器件 4.1.1 认识整流电路 1. 图解单相半波整流电路 ( 图 4-1-1) 电路名称电路原理图波形图 4-1-1. 图解单相全波整流电路

More information

Microsoft Word - SDI0818用户手册_V1_Agu22_08.doc

Microsoft Word - SDI0818用户手册_V1_Agu22_08.doc 24 位模数转换器 特征 : 24 位分辨率 ( 无失码 ) 19.5 位有效位 (ENBO 128 倍放大 ) 18 位不动码 内部集成 128 倍增益放大器 内部集成震荡器频率 :2.45MHz 偏差 :+/- 5% 具有很高的电源抑制比, 方便在强电源噪声环境下应用 : 10Hz 时对 50Hz 60Hz 噪声抑制 (-100dB) 输出码率 10Hz 80Hz 可选 外部输入参考电压 VREFP

More information

USB解决方案.ppt

USB解决方案.ppt USB USB? RS232 USB USB HID U modem ADSL cable modem IrDA Silabs USB CP210x USB UART USB RS-232 USB MCU 15 USB 12 FLASH MCU 3 USB MCU USB MCU C8051F32x 10 ADC 1.5%, Vref CPU 25MIPS 8051 16KB Flash -AMUX

More information

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6>

<4D F736F F D20C9EEDBDACAD0B6ABB3CFD0C5B5E7D7D3BFC6BCBCD3D0CFDEB9ABCBBEBDE9C9DCBCB0BFE2B4E6> 台湾合泰 HOLTEK 型号品牌封装说明 HT7022A-1#-SOT89 HOLTEK SOT89 2.2V N 沟开漏电压监测器 HT7022A-1-TO92 HOLTEK TO92 2.2V N 沟开漏电压监测器 HT7024A-1#-SOT89 HOLTEK SOT89 2.4V N 沟开漏电压监测器 HT7024A-1-TO92 HOLTEK TO92 2.4V N 沟开漏电压监测器 HT7027A-1#-SOT89

More information

Microsoft Word - HTL7G06S009P_V2.3_CH.doc

Microsoft Word - HTL7G06S009P_V2.3_CH.doc Document Number: HTL7G6S9P Product Data Sheet Rev. 2.3, 1/17 LDMOS 射频功率晶体管 HTL7G6S9P 1. 产品描述 HTL7G6S9P 是一款为 VHF/UHF 频段射频功率放大器而设计的 LDMOS 射频功率晶体管 器件内部集成静电保护电路 1-6MHz, 8W, 7.2V WIDE BAND RF POWER LDMOS TRANSISTOR

More information

DataNet_Solution2.ai

DataNet_Solution2.ai ourtec FOURIERTECHNOLOGIES DataNet 24 / 7 创新的 监控解决方案 DataNet ZigBee DataNet ZigBee 2.4 GHz 65,000 4 20 ma, 0 1 V,, 2, NTC, J 2.4 GHz API www.fourtec.com DataNet 解决方案智能的无线数据记录网络 DataNet 6 DataNet / DataNet

More information

SD3102 带显示驱动 I 2 C 和按键的单差分通道计量 SOC 特点 高精度 ADC,24 位数据输出, 输入增益可选 和 16, 单差分通道, 可测量信号的真有效值和瞬时值 8 位 RISC 低功耗 MCU,49 条指令,6 级堆栈, 在 2.048MHz 工作时钟,MCU 部分

SD3102 带显示驱动 I 2 C 和按键的单差分通道计量 SOC 特点 高精度 ADC,24 位数据输出, 输入增益可选 和 16, 单差分通道, 可测量信号的真有效值和瞬时值 8 位 RISC 低功耗 MCU,49 条指令,6 级堆栈, 在 2.048MHz 工作时钟,MCU 部分 带显示驱动 I C 和按键的单差分通道计量 SOC 特点 高精度 ADC, 位数据输出, 输入增益可选 8 和 6, 单差分通道, 可测量信号的真有效值和瞬时值 8 位 RISC 低功耗 MCU,9 条指令,6 级堆栈, 在.08MHz 工作时钟,MCU 部分在.V 工作电压下电流典型值为 00uA;kHz 时钟待机模式下工作电流为 7.6uA, 休眠模式电流小于 ua 6k Byts OTP 程序存储器,5

More information

深圳明和科技

深圳明和科技 500mA 同步降压 DC/DC 转化器 ME3101 系列 描述 : ME3101 是一款同步整流降压型 DC/DC 内置 0.6ΩPMOS 驱动管和 0.7ΩNMOS 开关管 兼容陶瓷电容, 外部只需一只电感和两只电容, 可高效率的输出 500mA 内置振荡器电路, 振荡频率可达 1.2MHZ ME3101 为 PFM/PWM 型自动开关控制模式, 在满载时也能快速响应, 达到纹波小, 效率高的效果

More information

种类 标准型 (UL 规格 CSA 规格认证型 ) 极数机能接点构成 型号 线圈额定电压 型号 线圈额定电压 最小包装单位 单稳型 a 接点 a 接点 ( 高容量型 ) -4P-US -74P-US DC DC P-FD-US -74P-FD-US DC DC5

种类 标准型 (UL 规格 CSA 规格认证型 ) 极数机能接点构成 型号 线圈额定电压 型号 线圈额定电压 最小包装单位 单稳型 a 接点 a 接点 ( 高容量型 ) -4P-US -74P-US DC DC P-FD-US -74P-FD-US DC DC5 a5a 8Aab 2a 2b5A 98mW -4P-US -74P-US AC3,000VkV UL508 CSA SEV FD AgSnln + 2 RoHS - - - - - - a( ) ab(2 ) 2 2a(2 ) 0 2b(2 ) U ( -4 K 2 ( -4 2 2 7 4 7 P AgCd FD AgSnIn DC ND 77 US UL CSA U P -98 种类 标准型 (UL

More information

截 至 2016 年 3 月 23 日, 农 林 牧 渔 板 块 累 计 涨 幅 为 -13.99%, 在 申 万 28 个 一 级 行 业 分 类 中 排 名 第 八, 在 年 初 至 今 所 有 板 块 全 线 下 跌 的 情 况 下, 农 林 牧 渔 板 块 跌 幅 相 对 较 小 主 要 原

截 至 2016 年 3 月 23 日, 农 林 牧 渔 板 块 累 计 涨 幅 为 -13.99%, 在 申 万 28 个 一 级 行 业 分 类 中 排 名 第 八, 在 年 初 至 今 所 有 板 块 全 线 下 跌 的 情 况 下, 农 林 牧 渔 板 块 跌 幅 相 对 较 小 主 要 原 甘 肃 省 上 市 公 司 研 究 系 列 报 告 行 业 点 评 报 告 行 业 研 究 : 农 林 牧 渔 报 告 日 期 :2016 年 3 月 23 日 猪 价 屡 创 新 高, 禽 价 有 望 接 棒 农 林 牧 渔 行 业 点 评 华 龙 证 券 研 究 员 : 刘 喆 执 业 证 书 编 号 :S0230513080001 TEL:0931-4890521 EMAIL:liuzhe0606@163.com

More information

CS1240/CS1241用户手册

CS1240/CS1241用户手册 用户手册 20-bit Sigma-Delta ADC 通讯地址 : 深圳市南山区蛇口南海大道 1079 号花园城数码大厦 A 座 9 楼邮政编码 :518067 公司电话 :+(86 755)86169257 传真 :+(86 755)86169057 公司网站 :www.chipsea.com 微信号 : 芯海科技微信二维码 : 第 1 页, 共 30 页 版本历史 历史版本 修改内容 版本日期

More information

FM3318产品手册

FM3318产品手册 FM33A0xx 低功耗 MCU 芯片 简单 2017. 10 FM33A0xx 低功耗 MCU 芯片 版本 3.1 1 本资料是为了让用户根据用途选择合适的上海复旦微电子集团股份有限公司 ( 以下简称复旦微电子 ) 的产品而提供的参考资料, 不转让属于复旦微电子或者第三者所有的知识产权以及其他权利的许可 在使用本资料所记载的信息最终做出有关信息和产品是否适用的判断前, 请您务必将所有信息作为一个整体系统来进行评价

More information

基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V 5.5V P

基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V 5.5V P 32 位 MCU ES32F0654 ES32F0653 产品简介 产品简介 数据手册 参考手册 上海东软载波微电子有限公司 2018-11-20 V1.0 1/22 基于 ARM Cortex-M0 的 ES32F065x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM

More information

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 :

SB 系列 / C-Link 产品特点 引用标准 : IEC 结构 : 金属化聚丙烯膜结构 封装 : 塑料外壳 ( UL94 V-0), 树脂填充 电气特性 工作温度 : - 40 至 C 范围 : 1.0 至 150μF 额定电压 : 700 至 1100 VC 偏差 : SA 系列 / C-Link 产品特点 引用标准 : IEC 61071 结构 : 金属化聚丙烯膜结构 封装 : 聚酯胶带, 树脂填充 电气特性 工作温度 : - 40 至 + 85 C 范围 : 15 至 500μF 额定电压 : 500 至 1100 VC 偏差 : ± 5%, ± 10% 损耗因素 : 2 10-3 @100z 20±5 C 预期寿命 : 100,000 小时 @Un, 70

More information

HXJ9005技术资料

HXJ9005技术资料 概 述 一 款 双 通 道 桥 接 的 音 频 功 率 放 大 器, 在 5V 电 源 电 压 4Ω 负 载 时, 可 提 供 3.5W 的 功 率 具 有 低 功 耗 关 断 模 式 和 过 温 保 护 功 能 在 电 路 启 动 时, 具 有 缓 冲 及 防 抖 动 功 能 此 外, 当 接 立 体 耳 机 时, 芯 片 可 以 单 终 端 工 作 模 式 驱 动 立 体 耳 机 具 有 外 部

More information

EMC® VNX® Series VNX8000™ Block 安装指南

EMC® VNX® Series VNX8000™ Block 安装指南 EMC VNX Series VNX8000 Block 安 装 指 南 300-999-791 REV 05 版 权 所 有 2014-2015 EMC Corporation 保 留 所 有 权 利 中 国 印 刷 发 布 日 期 : 2015 年 2 月 EMC 确 信 本 出 版 物 在 发 布 之 日 内 容 准 确 无 误 本 出 版 物 中 的 信 息 可 随 时 更 改 而 不 另

More information

Applied Biosystems StepOne™ Real-Time PCR System Quick Reference Card for Installation

Applied Biosystems StepOne™ Real-Time PCR System Quick Reference Card for Installation Applied Biosystems StepOne Real-Time PCR System StepOne 系统安装 快速参考卡 本文档提供在并置布局中安装 StepOne 系统的简明指导 有关 完整步骤或独立安装步骤 请参阅 Applied Biosystems StepOne Real-Time PCR System 安装 联网和维护指南 目录 1. 安装准备........................................

More information

AD542 目录 特性... 应用... 概述... 配套低功耗产品... 功能框图... 修订历史... 技术规格... 4 交流工作特性... 9 时序特性... 9 绝对最大额定值... 热阻... ESD 警告... 引脚配置和功能描述... 2 典型性能参数... 4 术语... 2 工作

AD542 目录 特性... 应用... 概述... 配套低功耗产品... 功能框图... 修订历史... 技术规格... 4 交流工作特性... 9 时序特性... 9 绝对最大额定值... 热阻... ESD 警告... 引脚配置和功能描述... 2 典型性能参数... 4 术语... 2 工作 6 位 串行输入 环路供电 4 ma 至 2 ma DAC AD542 产品特性 6 位分辨率和单调性引脚可选的 NAMUR 兼容范围 4 ma 至 2 ma.8 ma 至 2 ma.2 ma 至 24 ma NAMUR 兼容报警电流下限报警电流 =.2 ma 上限报警电流 = 22.8 ma/24 ma 总不可调整误差 (TUE):.5%( 最大值 ) 积分非线性 (INL) 误差 :.5% FSR(

More information

Microsoft Word - FM320X简介

Microsoft Word - FM320X简介 FM320X 低压电力线载波通信芯片 2013. 12 FM3203 低压电力线载波通信芯片 版本 1.0 1 本资料是为了让用户根据用途选择合适的上海复旦微电子集团股份有限公司 ( 以下简称复旦微电子 ) 的产品而提供的参考资料, 不转让属于复旦微电子或者第三者所有的知识产权以及其他权利的许可 在使用本资料所记载的信息最终做出有关信息和产品是否适用的判断前, 请您务必将所有信息作为一个整体系统来进行评价

More information

QIANZHENYU 2

QIANZHENYU 2 2009-8-7 QIANZHENYU 1 . 1.1 2001 2001 12 3 2009-8-7 QIANZHENYU 2 9 19 132 19 2009-8-7 QIANZHENYU 3 1.2 GB/T4765-1995 2009-8-7 QIANZHENYU 4 1.3 2009-8-7 QIANZHENYU 5 . 2.1 Electromagnetic Environment 2.2

More information

s00_000_Dummy f.r Titelseite nur f.r Arbeits-PDFs

s00_000_Dummy f.r Titelseite nur f.r Arbeits-PDFs -V- SOEL-RTD-Q50-PU SOE 20m SOEG-RT 10 36 V DC 10 30 V DC SOEG-RTZ SOEG-RTH SOEG-RSP SOEG-RSG SOEG-S SOEG-E SOEG-L 10 36 V DC 10 30 V DC 10 36 V DC 10 30 V DC 10 36 V DC 10 30 V DC 10 36 V DC 10 30 V DC

More information

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小

LED 显示屏驱动 IC2011V1.1 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小 SM16125 概述 SM16125 是专为 LED 显示屏设计的驱动芯片, 内建 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成并行输出数据格式 SM16125 提供 16 个电流源, 可以在每个输出端口提供 3 45mA 的恒定电流, 大小由外接电阻来调整 封装图 SSOP24L-0.635-D1.40 (mm) ( SM16125ES ) 特点 16 通道恒流源输出 电流输出大小不因输出端负载电压变化而变化

More information

DESCRIPTION

DESCRIPTION 集成 12-BIT ADC 的 8 位 MCU 特点 电源和复位 上电复位电路 (POR) 输入 / 输出 4 级可选的欠压复位电路 (BOR) 18 个 I/O 端口, 其中 P2.2 只能开漏输出 8 级可选低压检测 (LVD) 外围设备 LDO 给内核供电, 支持低功耗掉电模式 7 路键盘输入, 可唤醒 PD 模式 看门狗定时器 (WDT) 4 路外部中断输入, 可唤醒 PD 模式 CPU 12

More information

基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V VDD 5.

基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位 芯片工作电压范围 : 2.2V VDD 5. 32 位 MCU ES32F0334 产品简介 产品简介 数据手册 参考手册 上海东软载波微电子有限公司 2018-11-20 V1.0 1/18 基于 ARM Cortex-M0 的 ES32F033x 系列 MCU 内核 ARM 32 位 Cortex-M0 CPU 最高频率可达 48MHz 存储 最大 256K Byte FLASH 存储器 最大 32K Byte SRAM 数据存储电源 复位

More information

02 Keysight 34460A/61A/65A/70A - Truevolt DMM Truevolt DMM 1 µa pico second Truevolt DMM 4.3 Keysight Truevolt DMM Keysight Truevolt 34460A 34461A 344

02 Keysight 34460A/61A/65A/70A - Truevolt DMM Truevolt DMM 1 µa pico second Truevolt DMM 4.3 Keysight Truevolt DMM Keysight Truevolt 34460A 34461A 344 Keysight Technologies 34460A 34461A 34465A (6 ) 34470A (7 ) Truevolt DMM 02 Keysight 34460A/61A/65A/70A - Truevolt DMM Truevolt DMM 1 µa pico second Truevolt DMM 4.3 Keysight Truevolt DMM Keysight Truevolt

More information

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010)

,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN TP36 CIP (2005) : ( 10 ) : : (010 ) : (010) ,,, PCB, AR M VxWorks DSP,,,,,,,,,,, (CIP) /,,.:,2005 ISBN 7-5635-1099-0...............TP36 CIP (2005)076733 : ( 10 ) :100876 : (010 )62282185 : (010)62283578 : publish@bupt.edu.cn : : : 787 mm960 mm 1/

More information

目录 特性... 应用... 功能框图... 修订历史...3 概述...4 详细框图...5 技术规格...6 时序规格...9 绝对最大额定值... 6 ESD 警告... 6 引脚配置和功能描述... 7 ADuC709/ADuC7020/ADuC702/ADuC ADuC7

目录 特性... 应用... 功能框图... 修订历史...3 概述...4 详细框图...5 技术规格...6 时序规格...9 绝对最大额定值... 6 ESD 警告... 6 引脚配置和功能描述... 7 ADuC709/ADuC7020/ADuC702/ADuC ADuC7 精密模拟微控制器, 2 位模拟 I/O,ARM7TDMI MCU ADuC709/20/2/22/24/25/26/27/28/29 产品特性模拟 I/O 多通道 2 位 MSPS ADC 最多 6 个 ADC 通道全差分模式和单端模式模拟输入范围 :0 V 至 V REF 2 位电压输出 DAC 最多 4 路 DAC 输出可用片内基准电压片内温度传感器 (±3 C) 电压比较器微控制器 6 位 /32

More information

Microsoft Word - DW01

Microsoft Word - DW01 用途 / Purpose: 用于锂离子 / 锂聚合物可充电电池组 For lithium ion / lithium polymer rechargeable battery pack 特点 / Features: (1) 高电压检测电路 / Precision voltage detection circuit 过充检测电压 Overcharge detection voltage 4.3 过充恢复电压

More information

<4D6963726F736F667420576F7264202D20C4CFBEA9D0C2B0D9A3A8363030363832A3A9A3BAC7C9BDB3BFAAB3F6BAC3D3F1C0B4A3ACB9D8D7A2D2B5CEF1BDE1B9B9B5F7D5FBA3BBCDB6D7CAC6C0BCB6A1B0BDF7C9F7CDC6BCF6A1B12E646F63>

<4D6963726F736F667420576F7264202D20C4CFBEA9D0C2B0D9A3A8363030363832A3A9A3BAC7C9BDB3BFAAB3F6BAC3D3F1C0B4A3ACB9D8D7A2D2B5CEF1BDE1B9B9B5F7D5FBA3BBCDB6D7CAC6C0BCB6A1B0BDF7C9F7CDC6BCF6A1B12E646F63> 巧 匠 开 出 好 玉 来, 关 注 业 务 结 构 调 整 公 司 调 研 南 京 新 百 (600682) 投 资 评 级 : 谨 慎 推 荐 2009-11-27 市 场 数 据 2009 年 11 月 26 日 当 前 价 格 ( 元 ) 9.46 52 周 价 格 区 间 ( 元 ) 4.48-10.59 总 市 值 ( 百 万 ) 3389.72 流 通 市 值 ( 百 万 ) 2835.22

More information

目录 特性... 应用... 功能框图... 概述... 产品聚焦... 修订历史... 技术规格... 5 V 电气特性.... V 电气特性 V 时序规格 V 时序规格... 绝对最大额定值... 7 ESD 警告... 7 引脚配置和功能描述... 8 术语... 0

目录 特性... 应用... 功能框图... 概述... 产品聚焦... 修订历史... 技术规格... 5 V 电气特性.... V 电气特性 V 时序规格 V 时序规格... 绝对最大额定值... 7 ESD 警告... 7 引脚配置和功能描述... 8 术语... 0 CMOS 0 MHz 三通道 8 位高速视频 DAC ADV75 特性吞吐量 :0 MSPS 三个 8 位 DAC RS-4A/RS-70 兼容输出互补输出 DAC 输出电流范围 :.0 ma 至.5 ma TTL 兼容输入.5 V 内部基准电压源 +5 V/+. V 单电源供电 48 引脚 LQFP 和 LFCSP 封装低功耗 :0 mw( 最小值, V) 低功耗 ( 待机模式 ): mw( 典型值,

More information

FPGAs in Next Generation Wireless Networks WPChinese

FPGAs in Next Generation Wireless Networks WPChinese FPGA 2010 3 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 FPGAs in Next Generation Wireless Networks GSM GSM-EDGE 384kbps CDMA2000

More information

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC

,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC, LCD LC LCD PIC 单片机解决方案 集成 LCD 驱动器模块的 PIC 单片机 www.microchip.com/lcd ,Microchip Technology PIC LCD, PIC16F913/914/ 916/917/946 PIC18F6390/6490/8390/8490 PIC16F65J90/85J90 Microchip LCD LCD, Microchip 的优势 LCD PIC,

More information

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x

ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r X Y Z R0 R1 R2 R13 R14 R15 R16 R17 R26 R27 R28 R29 R30 R31 0x00 0x 115 AVR W.V. Awdrey ATMEL AVR PIC AVR PIC AVR RISC AVR PIC AVR AVR AVR AVR AVR ATtiny15 AVR AVR AVR RAM ROM 121 116 122 ATMEL AT90S8515 AVR CPU AVR AVR AVR ATMEL RISC 32 8 r0 r31 3 16 X Y Z 6-1 118 7 0

More information

发行说明, 7.0.1 版

发行说明, 7.0.1 版 发 行 说 明 Websense Web Security Websense Web Filter 7.0.1 版 本 版 本 的 新 特 点 Websense Web Security 和 Websense Web Filter 的 7.0.1 版 本 均 已 本 地 化 为 以 下 语 言 : 法 语 德 语 意 大 利 语 日 语 葡 萄 牙 语 简 体 中 文 西 班 牙 语 繁 体 中 文

More information

CS1252 用户手册 Rev 1.0 通讯地址 : 深圳市南山区蛇口南海大道 1079 号花园城数码大厦 A 座 9 楼邮政编码 : 公司电话 :+(86 755) 传真 :+(86 755) 公司网站 : Rev 1.0

CS1252 用户手册 Rev 1.0 通讯地址 : 深圳市南山区蛇口南海大道 1079 号花园城数码大厦 A 座 9 楼邮政编码 : 公司电话 :+(86 755) 传真 :+(86 755) 公司网站 :  Rev 1.0 CS1252 用户手册 通讯地址 : 深圳市南山区蛇口南海大道 1079 号花园城数码大厦 A 座 9 楼邮政编码 :518067 公司电话 :+(86 755)86169257 传真 :+(86 755)86169057 公司网站 :www.chipsea.com 第 1 页, 共 22 页 历史修改记录 历史修改记录 时间 记录 版本号 2012-12-19 更换新 LOGO 1.0 第 2 页,

More information

使用说明书 DT4221 DT4222 数字万用表 DIGITAL MULTIMETER 2013 年 11 月第一版 DT4221A982-00(A981-00) 13-11H CN ...1...1...2...3...7 1 11 1.1... 11 1.2...12 1.3...16 1.4...17 2 19 2.1...19 2.2 /...20 2.3...22 2.4...25...

More information

Xear 3D USB CH-IN-2 SPKs 2 6 :

Xear 3D USB CH-IN-2 SPKs 2 6 : 13 6 CH-IN-2 SPKs 2 6 : 13 2003 7 0 13 Notice The content furnished in this document is C-Media audio product knowledge for customers reference However, C-Media Inc assumes no responsibility for the consequences

More information

Cerris IROS

Cerris IROS 上海海栎创微电子有限公司 CSM212/216/224 数据手册 具有专用电容检测模块的 8 位单片机 Rev: V1.2 www.hynitron.com CSM212/216/224 是一款具有高性能精简指令集且集成电容触控功能的 EERPOM 型 8051 单片机 此单 片机集成有硬件触控 CDC 模块, 可多次编程的 EEPROM 存储器和常用通讯接口, 为各种触摸按键的应用 提供了一种简单而又有效的实现方法

More information

ControlSafe TM ControlSafe ControlSafe CSC CPU ControlSafe CPU CPU CPU CPU CPU I/O I/O I/O I/O I/O I/O CPU CPU CSC CPU CSC CSC /ControlSafe ControlSaf

ControlSafe TM ControlSafe ControlSafe CSC CPU ControlSafe CPU CPU CPU CPU CPU I/O I/O I/O I/O I/O I/O CPU CPU CSC CPU CSC CSC /ControlSafe ControlSaf COMPUTING TÜV SÜD COTS SIL4 99.9999% IEC 61373 EN 50155 15 25 ControlSafe SIL4 (COTS) 30 ControlSafe (RAMS) EN 50126 EN 50128 EN50129 SIL4 ControlSafe SIL4 ControlSafe ControlSafe 15 25 ControlSafe / ControlSafe

More information

Autodesk Product Design Suite Standard 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品

Autodesk Product Design Suite Standard 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品 Autodesk Product Design Suite Standard 20122 系统统需求 典型用户户和工作流 Autodesk Product Design Suite Standard 版本为为负责创建非凡凡产品的设计师师和工程师提供供基本方案设计和和制图工具, 以获得令人惊叹叹的产品设计 Autodesk Product Design Suite Standard 版本包包括以下软件产产品

More information

D/A DAC ( 1us) (10~20 ) DAC0832 1

D/A DAC ( 1us) (10~20 ) DAC0832 1 D/A DAC0832 8 ( 1us) (10~20 ) DAC0832 1 1. 20 DI7~DI0 ILE 8 8 DAC 8 D/A LE LE & RFB VREF IOUT2 IOUT1 RFB CS WR1 XFER WR2 & & AGND VCC DGND 2 DI7~DI0 ILE & 8 LE 8 DAC LE 8 D/A RFB V REF IOUT2 IOUT1 R FB

More information

MSP430X1XX 系列微控制器具有以下特征 结构框图 超低功耗结构体系 A 额定工作电流在 1MHz V 工作电压 C11X P11X 和 E11X 为 V 从备用模式唤醒为 6 S 丰富的中断能力减少了查询的需要灵活强大的处理能力源操作数有七种寻址模

MSP430X1XX 系列微控制器具有以下特征 结构框图 超低功耗结构体系 A 额定工作电流在 1MHz V 工作电压 C11X P11X 和 E11X 为 V 从备用模式唤醒为 6 S 丰富的中断能力减少了查询的需要灵活强大的处理能力源操作数有七种寻址模 新一代超低功耗 16 位单片机 TI MSP430 系列 美国 TI 公司的 MSP430 系列单片机可以分为以下几个系列 X1XX X3XX X4XX 等等 而且 在不断发展 从存储器角度 又可分为 ROM C 型 OTP P 型 EPROM E 型 Flash Memory F 型 系列的全部成员均为软件兼容 可以方便地在系列各型号间移植 MSP430 系列单片机 的 MCU 设计成适合各种应用的

More information

Specification of the 13.56MHz RFID card reader/writer

Specification of the 13.56MHz RFID card reader/writer Specification of the 13.56MHz RFID card reader/writer 3.1 RFID RFID card...4 3.2 13.56MHz RFID 13.56MHz RFID card reader/writer...4 4.1...4 4.2...7 4.3...7 4.4...7 4.5...8 4.6...8 4.7...9 4.8...9 4.9...9

More information

XT1861

XT1861 同步升压 DC-DC 变换器 产品概述 XT1861 系列产品是一款低功耗高效率 低纹波 工作频率高的 PFM 控制升压 DC-DC 变换器 XT1861 系列产品仅需要 3 个外部元器, 即可完成低输入的电池电压输入 用途 1~3 个干电池的电子设备 数码相机 电子词典 ED 手电筒 ED 灯 血压计 MP3 遥控玩具 无线耳机 无线鼠标键盘 医疗器械 防丢器 汽车防盗器 充电器 VCR PD 等手持电子设备

More information

物品重量分級器.doc

物品重量分級器.doc Ω Ω Ω Ω Ω Ω Ω 9 A R = Ω Ω + - - + R = A R = - ρ A A R = + A A R = A ρ Ω = + A A A - R + + = + = ρ ) A A ) ( A R ( + + = + + = A ) A R (+ R R = R R = F F Active Dummy V Active Dummy ± ± ± mv = mv ±

More information

ø8 ø10 ø12 ø16 AP LED LED AP8M 100V IDEC AP2M AP6M AP8M AP1M 6V DC 12V DC 24V DC 5V DC 12V AC/DC 24V AC/DC 6V DC 5% 12V DC 10% 24V DC 10% 6V AC/DC 5%

ø8 ø10 ø12 ø16 AP LED LED AP8M 100V IDEC AP2M AP6M AP8M AP1M 6V DC 12V DC 24V DC 5V DC 12V AC/DC 24V AC/DC 6V DC 5% 12V DC 10% 24V DC 10% 6V AC/DC 5% ø8 ø1 ø16 AP LED LED AP8M 1V IDEC AP2M AP6M AP8M AP1M 5% 1% 1% 6V AC/DC 5% 1% 1% 5% 1% 1% 33mA 22mA 11mA 9mA R G A W 9mA R G A W 9mA R G A W 7mA S PW 7mA S PW 7mA S PW 9mA 11mA 11mA 9mA 9mA 9mA R G Y AW

More information

内部结构框图 SDI LSB 16Bit 移位寄存器 (1 16Bit 通道 ) MSB 0 15 LSB MSB 输出开 / 关数据锁存 (1 16Bit 通道 ) 0 15 R-EXT 16 通道恒流输出驱动 OUT0 OUT1 OUT14 OUT15 图 2 管脚信息 SDI OUT0 OUT

内部结构框图 SDI LSB 16Bit 移位寄存器 (1 16Bit 通道 ) MSB 0 15 LSB MSB 输出开 / 关数据锁存 (1 16Bit 通道 ) 0 15 R-EXT 16 通道恒流输出驱动 OUT0 OUT1 OUT14 OUT15 图 2 管脚信息 SDI OUT0 OUT 特性描述 是 D 显示面板设计的驱动 IC, 它内建的 CMOS 位移寄存器与锁存功能, 可以将串行的输入数据转换成平行输出数据格式 具有 16 个电流源, 可以在每个输出端口提供 2~60mA 恒定电流量以驱动 D, 每个 OUT 驱动通道输出可短接后得到更大的电流输出, 且当环境发生变化时, 对其输出电流影响很小 同时可以选用不同阻值 (REXT) 的外接电阻来调整 各输出端口的电流大小, 因此,

More information

MICROMASTER 410/420/440 DA kW 200kW MICROMASTER Eco & MIDIMASTER Eco MICROMASTER, MICROMASTER Vector DA64 MIDIMASTER Vector 90kW (Low-Vol

MICROMASTER 410/420/440 DA kW 200kW MICROMASTER Eco & MIDIMASTER Eco MICROMASTER, MICROMASTER Vector DA64 MIDIMASTER Vector 90kW (Low-Vol s MICROMASTER 410/420/440 0.12kW 200kW DA51.2 2002 MICROMASTER 410/420/440 DA51.2 2002 0.12kW 200kW MICROMASTER Eco & MIDIMASTER Eco MICROMASTER, MICROMASTER Vector DA64 MIDIMASTER Vector 90kW (Low-Voltage

More information

Microsoft Word - hx711中文.doc

Microsoft Word - hx711中文.doc 电子秤专用模拟 / 数字 (A/D) 转换器芯片 简介 HX711 采用了海芯科技集成电路专利技术, 是一款专为高精度电子秤而设计的 24 位 A/D 转换器芯片 与同类型其它芯片相比, 该芯片集成了包括稳压电源 片内时钟振荡器等其它同类型芯片所需要的外围电路, 具有集成度高 响应速度快 抗干扰性强等优点 降低了电子秤的整机成本, 提高了整机的性能和可靠性 该芯片与后端 MCU 芯片的接口和编程非常简单,

More information

数 字 隔 离 器 光 耦 隔 离 器 或 者 电 磁 隔 离 器 用 来 将 系 统 现 场 的 ADC DAC 和 信 号 调 理 电 路 与 数 字 端 的 控 制 器 隔 离 开 来 如 果 模 拟 端 的 系 统 也 必 须 实 现 充 分 隔 离 的 话, 在 输 入 或 者 输 出 的

数 字 隔 离 器 光 耦 隔 离 器 或 者 电 磁 隔 离 器 用 来 将 系 统 现 场 的 ADC DAC 和 信 号 调 理 电 路 与 数 字 端 的 控 制 器 隔 离 开 来 如 果 模 拟 端 的 系 统 也 必 须 实 现 充 分 隔 离 的 话, 在 输 入 或 者 输 出 的 图 1 PLC 系 统 架 构, 示 出 了 各 种 不 同 的 I/O 模 块 功 能 PLC 系 统 包 含 输 入 模 块 输 出 模 块 和 输 入 / 输 出 模 块 因 为 许 多 输 入 和 输 出 都 涉 及 现 实 世 界 中 的 模 拟 变 量 而 控 制 器 是 数 字 式 的 PLC 系 统 硬 件 设 计 任 务 将 主 要 围 绕 如 下 方 面 展 开 : 数 模 转

More information

untitled

untitled 2012/13 2012/13 Agilent 2012/13 (www.agilent.com/ find/mta) ,, 60 : PXI 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 2012/13 1 1 2 2 3 6 4 20 5 32 36 38 44 48 6 52 7 56 8 63 66 69 9 72 10 82 11 87

More information

ESP32-WROOM URL Wi-Fi Wi-Fi Bluetooth SIG 2018

ESP32-WROOM URL Wi-Fi Wi-Fi Bluetooth SIG 2018 ESP32-WROOM-32 2.6 2018 www.espressif.com ESP32-WROOM-32 www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 2018 1 1 2 3 2.1 3 2.2 3 2.3 Strapping

More information

AS141_98_CCR_V1.0_KO.pdf

AS141_98_CCR_V1.0_KO.pdf . www.philips.com/welcome AS141 1 2 2 2 Android 3 3 3 4 3 6 6 6 6 6 4 7 Android 7 Bluetooth 7 DockStudio Bluetooth 8 Android DockStudio 8 DockStudio Bluetooth 11 13 Songbird 13 Songbird Android 14 Songbird

More information

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63>

<4D6963726F736F667420576F7264202D20C7B6C8EBCABDCFB5CDB3C9E8BCC6CAA6BFBCCAD4B4F3B8D92E646F63> 嵌 入 式 系 统 设 计 师 考 试 大 纲 一 考 试 说 明 1 考 试 要 求 : (1) 掌 握 科 学 基 础 知 识 ; (2) 掌 握 嵌 入 式 系 统 的 硬 件 软 件 知 识 ; (3) 掌 握 嵌 入 式 系 统 分 析 的 方 法 ; (4) 掌 握 嵌 入 式 系 统 设 计 与 开 发 的 方 法 及 步 骤 ; (5) 掌 握 嵌 入 式 系 统 实 施 的 方 法

More information

Microsoft Word - LU-C3000-2100记录仪使用说明书V3.0版.doc

Microsoft Word - LU-C3000-2100记录仪使用说明书V3.0版.doc 前 言 感 谢 您 购 买 使 用 LU-R/C3000 系 列 真 彩 液 晶 显 示 与 R/C2100 单 色 液 晶 显 示 过 程 控 制 无 纸 记 录 仪 本 手 册 是 关 于 LU-R/C3000 与 LU-R/C2100 的 功 能 组 态 设 置 接 线 方 法 和 操 作 方 法 等 的 说 明 书 除 此 手 册 之 外 还 有 安 东 无 纸 记 录 仪 U 盘 采 集

More information

ESP32-WROOM-32D ESP32-WROOM-32U URL Wi-Fi Wi-Fi Bluetooth SIG 208

ESP32-WROOM-32D ESP32-WROOM-32U     URL Wi-Fi Wi-Fi Bluetooth SIG 208 ESP32-WROOM-32D & ESP32-WROOM-32U.7 208 www.espressif.com ESP32-WROOM-32D ESP32-WROOM-32U www.espressif.com/zh-hans/subscribe www.espressif.com/zh-hans/certificates URL Wi-Fi Wi-Fi Bluetooth SIG 208 2

More information

Microsoft Word - 山西焦化(600740)--焦炭价格上涨提升业绩,市场整合带来机会 doc

Microsoft Word - 山西焦化(600740)--焦炭价格上涨提升业绩,市场整合带来机会 doc 公 司 研 究 中 国 A 股 市 场 采 掘 煤 炭 开 采 Mining Coal Mining 2010 年 2 月 23 日 Type your comment here 市 场 数 据 20010 年 2 月 23 日 当 前 价 格 ( 元 ) 8.19 52 周 价 格 区 间 ( 元 ) 5.3-12.15 总 市 值 ( 百 万 ) 4672.68 流 通 市 值 ( 百 万 )

More information

HTN7G27S010P

HTN7G27S010P LDMOS 射频功率晶体管 1. 产品特性 无内匹配设计, 方便应用于从 1.8 至 0MHz 频率范围内的各类窄 宽带功放 为适应高 VSWR 应用的增强型鲁棒性设计 最高工作 VDD 可达 50V 适用于 28V 至 50V 范围内供电电压, 方便不同功率等级功放设计 优异的功率线性度 优异的热稳定性 内部集成的增强 ESD 设计 符合 RoHS 规范 HTH7G06P500H 封装 :H2110S-4L

More information