同步网络中的高性能线卡时钟解决方案

Size: px
Start display at page:

Download "同步网络中的高性能线卡时钟解决方案"

Transcription

1 Application Report ZHCA493 JAN 2013 同 步 网 络 中 的 高 性 能 线 卡 时 钟 解 决 方 案 Shawn Han; Steven Shi China Telecom Application Team 摘 要 作 为 一 种 高 性 能 低 成 本 的 线 卡 时 钟 解 决 方 案,LMK04800 可 以 实 现 传 统 的 SDH/SONET 系 统 时 钟 和 分 组 交 换 网 络 中 的 同 步 以 太 网 时 钟 频 率 的 灵 活 转 换, 同 时 提 供 150fs 级 别 的 低 抖 动 性 能, 满 足 Telcordia GR-253 ITU-T G.813 G.8262 抖 动 产 生 规 格 约 束 下 ~10Gbps 高 速 率 线 卡 参 考 时 钟 的 应 用 需 求 同 时, 作 为 一 款 模 拟 锁 相 环, LMK04800 突 破 了 传 统 模 拟 锁 相 环 保 持 性 能 设 计 的 缺 陷, 内 部 集 成 DAC 实 现 高 准 确 度 保 持 性 能 所 需 的 压 控 电 压 控 制, 可 以 辅 助 参 考 时 钟 切 换 下 输 出 时 钟 实 现 平 滑 / 无 缝 地 转 换 本 文 基 于 同 步 定 时 方 案 的 系 统 设 计 要 求, 分 析 了 线 卡 业 务 板 上 的 时 钟 方 案, 重 点 分 析 了 基 于 LMK04800 系 列 高 性 能 时 钟 滤 除 器 实 现 平 滑 切 换 的 解 决 方 案, 尤 其 是 为 了 满 足 高 速 线 速 率 的 应 用 场 景 目 录 1. 介 绍 同 步 网 络 线 卡 时 钟 方 案 设 计 要 求 系 统 方 案 分 析 线 卡 时 钟 关 键 指 标 规 格 主 备 倒 换 的 平 滑 输 出 抖 动 性 能 基 于 LMK04800 时 钟 锁 相 环 的 线 卡 时 钟 方 案 LMK04800 器 件 特 点 功 能 原 理 相 噪 性 能 Holdover 保 持 电 路 特 性 和 无 缝 切 换 关 系 LMK04800 时 钟 电 路 设 计 MHz input, MHz output 电 路 设 计

2 MHz input, MHz output 电 路 设 计 小 结 总 结 参 考 资 料...17 图 1 同 步 网 络 的 时 钟 传 递 系 统 同 步 网 络 设 备 的 时 钟 系 统 方 案 LMK04800 功 能 模 块 LMK04800 噪 声 仿 真 Holdover 电 路 工 作 过 程 LMK04800 线 卡 电 路 设 计 PLL1 电 路 参 数 (19.44MHz 输 入,25MHz VCXO) PLL2 电 路 参 数 (25MHz 输 入,156.25MHz 输 出 ) UI 输 入 相 跳 下 输 出 瞬 态 响 应 UI 相 位 跳 变 引 入 压 控 电 压 波 动 和 输 出 频 率 跳 变 特 性 MHz 输 出 相 噪 PLL1 电 路 参 数 (25MHz 输 入,25MHz VCXO ) UI 输 入 相 跳 下 输 出 瞬 态 响 应 UI 相 位 跳 变 引 入 压 控 电 压 波 动 和 输 出 频 率 跳 变 特 性 表 1 光 口 抖 动 要 求 (SDH/SONET SyncE) 本 例 对 应 的 锁 相 环 环 路 参 数 本 例 对 应 的 锁 相 环 环 路 参 数 同 步 网 络 中 的 高 性 能 线 卡 时 钟 解 决 方 案

3 同 步 网 络 中 的 高 性 能 线 卡 时 钟 解 决 方 案 3

4 1. 介 绍 在 传 统 的 基 于 TDM 的 SDH/SONET 承 载 网 络, 同 时 具 有 数 字 网 同 步 的 功 能,ITU-T G.813 定 义 SDH 设 备 时 钟 (SEC) 实 现 时 钟 同 步 的 具 体 规 格 要 求 随 着 网 络 业 务 IP 化 的 演 进 过 程, 在 分 组 网 络 中 要 保 证 现 存 TDM 业 务 的 同 步 特 性, 以 及 无 线 网 络 中 基 站 之 间 的 业 务 同 步 需 求, 分 组 网 络 实 现 时 钟 和 时 间 同 步 成 为 通 信 网 络 发 展 中 的 一 种 必 然 需 求 目 前, 各 个 厂 家 常 用 的 IP 网 络 同 步 技 术 包 括 :ITU-T G.8261 基 于 物 理 层 的 同 步 以 太 网 技 术, 和 IEEE 1588V2 基 于 分 组 包 实 现 时 钟 和 时 间 同 步 技 术 因 此, 当 前 的 电 信 网 络 还 是 一 个 同 步 网 络 同 步 网 络 一 般 采 用 主 从 同 步 方 式, 即 拥 有 高 精 度 高 稳 定 度 的 主 时 钟 由 设 备 传 送 给 下 游 各 设 备, 下 游 设 备 同 步 于 来 自 上 一 级 的 时 钟 信 号, 从 而 达 到 全 网 时 钟 或 时 间 或 两 者 同 时 同 步 如 下 图 1 所 示, 下 游 设 备 借 助 锁 相 环, 利 用 上 一 级 网 元 发 送 端 传 送 的 串 行 码 流 中 的 时 钟 频 率 时 间 相 位 信 息, 时 钟 锁 相 环 跟 踪 其 中 一 路 线 路 时 钟 或 外 部 参 考 时 钟, 产 生 系 统 时 钟, 通 过 系 统 时 钟 作 为 线 卡 板 上 物 理 层 芯 片 的 发 送 参 考 时 钟 并 可 以 插 入 时 间 信 息 以 实 现 时 钟 时 间 向 下 级 传 递, 完 成 了 同 步 时 钟 提 取 恢 复 再 使 用 图 1 同 步 网 络 的 时 钟 传 递 系 统 德 州 仪 器 的 LMK04800 系 列 产 品 是 两 级 级 联 的 模 拟 锁 相 环 抖 动 滤 除 器 件, 只 需 要 外 加 低 频 低 成 本 的 VCXO 就 能 提 供 在 12kHz 到 20MHz 范 围 内 RMS 抖 动 ~150fs, 非 常 适 合 在 高 速 有 线 网 络 中 线 卡 套 片 解 决 方 案 对 参 考 时 钟 的 特 殊 需 求 同 时, 作 为 一 款 高 性 能 低 成 本 的 模 拟 锁 相 环 解 决 方 案,LMK04800 系 列 器 件 能 提 供 低 至 10Hz 的 环 路 带 4 同 步 网 络 中 的 高 性 能 线 卡 时 钟 解 决 方 案

5 宽, 通 过 外 围 的 电 阻 电 容 可 以 灵 活 设 计 环 路 参 数 以 实 现 时 钟 的 平 滑 切 换 同 时, 借 助 LMK04800 内 部 集 成 高 精 度 的 DAC, 实 现 在 保 持 状 态 下 的 输 出 频 率 的 准 确 性, 可 以 配 合 在 时 钟 切 换 或 时 钟 丢 失 下 时 钟 输 出 的 相 对 精 度 本 文 通 过 分 析 基 于 ITU-T G.813 ITU-T G.8261/2 等 标 准 对 同 步 时 钟 定 时 方 案 设 计 的 要 求, 分 析 了 线 卡 业 务 板 上 的 时 钟 锁 相 环 PLL 方 案, 重 点 介 绍 基 于 LMK04000 系 列 高 性 能 时 钟 滤 除 器 的 解 决 方 案, 尤 其 是 为 了 满 足 高 速 线 速 率 的 应 用 场 景 2. 同 步 网 络 线 卡 时 钟 方 案 设 计 要 求 1588V2 是 网 络 测 量 和 控 制 系 统 的 精 密 时 钟 和 时 间 同 步 协 议 标 准, 同 步 定 时 单 元 需 要 进 行 时 钟 报 文 信 息 处 理 和 系 统 时 钟 产 生, 而 线 卡 时 钟 方 案 是 同 步 于 系 统 时 钟, 下 面 以 SDH/SONET 传 输 网 和 同 步 以 太 网 SyncE( 基 于 物 理 层 时 钟 恢 复 和 插 入 ) 的 时 钟 架 构 展 开 分 析, 重 点 探 讨 线 卡 时 钟 解 决 方 案 需 求 2.1 系 统 方 案 分 析 为 了 兼 容 基 于 SDH/SONET 的 时 钟 同 步 产 生 和 分 配, 目 前 同 步 网 络 的 定 时 方 案 是 同 时 支 持 SDH/SONET 和 同 步 以 太 网 的 各 种 输 入 和 输 出 时 钟 接 口 图 2 所 示 基 于 G.783 的 时 钟 定 时 结 构 模 块, 同 步 设 备 定 时 产 生 (SETG) 输 出 系 统 时 钟 给 外 同 步 时 钟 2.048MHz/2.048Mbps 单 元 和 线 卡 板 实 现 网 络 设 备 同 步, 同 时 具 有 G.813 定 义 的 SDH/SONET 的 定 时 指 标 规 格, 以 及 满 足 G.8262 定 义 同 步 以 太 网 设 备 (EEC) 时 钟 最 小 要 求 ( 类 似 G.813 指 标 ), 如 时 钟 精 度 环 路 带 宽 保 持 性 能 相 位 瞬 变 抖 动 / 漂 移 产 生 / 传 递 / 容 限 等 图 2 中 所 示 的 线 卡 时 钟 方 案,SETG 单 元 输 出 的 系 统 时 钟 作 为 线 卡 业 务 芯 片 的 参 考 时 钟, 常 用 的 系 统 时 钟 频 率 是 19.44MHz(SDH/SONET 时 钟 ) 和 25MHz(Ethernet 时 钟 ) 线 卡 的 时 钟 方 案 可 采 用 锁 相 环 电 路 实 现, 锁 定 时 钟 定 时 模 块 提 供 的 系 统 时 钟, 输 出 125MHz/156.25MHz(Ethernet) MHz(SDH/SONET) 等 给 板 上 业 务 处 理 芯 片, 用 于 保 证 链 路 业 务 的 误 码 指 标, 以 及 在 G.813 和 G.8262 定 义 的 光 口 规 格 要 求 由 于 同 步 定 时 单 元 采 用 主 备 冗 余 保 护 设 计, 为 了 降 低 对 线 卡 时 钟 电 路 设 计 的 要 求, 备 SETG 电 路 通 常 要 锁 定 主 SETG 送 出 的 系 统 时 钟, 同 时 在 相 位 上 要 实 现 同 步 (SETG 电 路 模 块 具 有 输 出 相 位 时 延 可 编 程 特 性 ), 以 保 证 在 主 备 系 统 时 钟 切 换 时, 线 卡 时 钟 方 案 可 以 很 方 便 地 实 现 平 滑 输 出 (hitless), 不 会 产 生 链 路 业 务 误 码 同 步 网 络 中 的 高 性 能 线 卡 时 钟 解 决 方 案 5

6 图 2 同 步 网 络 设 备 的 时 钟 系 统 方 案 2.2 线 卡 时 钟 关 键 指 标 规 格 线 卡 上 的 时 钟 方 案 必 须 能 够 自 动 选 择 合 适 的 参 考 时 钟 输 入, 尽 可 能 地 平 滑 主 备 系 统 时 钟 切 换 时 可 能 引 入 的 输 出 时 钟 瞬 态 跳 变 同 时, 满 足 标 准 要 求 的 各 种 线 速 率 抖 动 产 生 要 求, 保 证 业 务 链 路 的 误 码 性 能 主 备 倒 换 的 平 滑 输 出 当 主 备 系 统 时 钟 相 位 差 控 制 在 较 小 的 误 差 范 围 ( 器 件 和 PCB 板 材 的 波 动 范 围 内 ), 线 卡 上 的 锁 相 环 电 路 可 以 用 模 拟 锁 相 环 对 系 统 时 钟 进 行 锁 相 跟 踪, 通 过 本 板 的 压 控 晶 振 (VCXO) 和 锁 相 环 参 数 设 计 使 得 时 钟 输 出 在 一 定 时 间 段 内 的 较 平 滑 切 换 其 中, 模 拟 锁 相 环 的 环 路 带 宽 和 阻 尼 系 数 是 关 键 规 格, 可 以 通 过 仿 真 和 测 试 加 以 验 证 此 外, 若 主 备 系 统 时 钟 相 位 差 较 大 或 没 有 很 好 地 控 制, 此 时 可 以 通 过 进 一 步 优 化 环 路 参 数 设 计, 尽 量 降 低 切 换 带 来 的 输 出 瞬 态 突 变, 同 时 也 可 利 用 锁 相 环 的 保 持 功 能, 尽 可 能 地 降 低 较 大 的 参 考 输 入 相 位 跳 变 导 致 线 卡 锁 相 环 输 出 频 率 和 相 位 的 突 变 问 题 抖 动 性 能 同 步 网 络 的 相 关 标 准 中, 表 1 列 出 了 G.813/Telcodia GR253 提 出 了 SDH/SONET 相 关 网 络 设 备 接 口 的 抖 动 指 标 要 求,G.8262 对 同 步 以 太 网 的 网 络 接 口 的 抖 动 指 标 要 求 表 1 光 口 抖 动 要 求 (SDH/SONET SyncE) 6 同 步 网 络 中 的 高 性 能 线 卡 时 钟 解 决 方 案

7 Interface Measuring Filter Peak-to-peak Amplitude (UI) STM-1 500Hz to 1.3MHz KHz to 1.3MHz 0.10 STM-4 1KHz to 5MHz KHz to 5MHz 0.10 STM-16/ 5KHz to 20MHz MHz to 20MHz 0.10 STM-64 20KHz to 80MHz MHz to 80MHz GbE 2.5KHz to 10MHz GbE 20KHz to 80MHz 0.50 为 了 保 证 表 1 列 举 的 高 速 线 卡 光 口 的 抖 动 产 生 指 标, 不 少 线 卡 板 上 的 业 务 套 片 或 PHY 芯 片 供 应 商 对 其 内 部 的 高 频 倍 频 合 成 器 (PLL) 参 考 时 钟 有 特 殊 的 要 求 如 10GbE 以 太 网 业 务 处 理 或 PHY 芯 片, 参 考 时 钟 指 标 通 常 要 求 是 <0.3ps 因 此, 线 卡 时 钟 锁 相 环, 必 须 在 跟 踪 系 统 时 钟 实 现 同 步 的 同 时, 必 须 具 有 抖 动 滤 除 功 能, 输 出 的 时 钟 信 号 必 须 能 满 足 业 务 处 理 芯 片 /PHY 芯 片 的 抖 动 规 要 求 3. 基 于 LMK04800 时 钟 锁 相 环 的 线 卡 时 钟 方 案 本 文 重 点 介 绍 了 适 合 主 备 时 钟 相 位 同 步 条 件 下 基 于 LMK04800 时 钟 电 路 设 计, 以 及 其 超 低 抖 动 性 能, 适 合 10Gbps 线 卡 应 用 3.1 LMK04800 器 件 特 点 功 能 原 理 LMK04800 系 列 产 品 带 2 个 高 性 能 的 锁 相 环 (PLL),2 个 PLL 成 级 联 架 构 第 一 个 锁 相 环 (PLL1) 带 有 2 路 冗 余 时 钟 输 入, 可 用 于 参 考 时 钟 的 切 换 当 参 考 时 钟 丢 失,PLL1 可 以 自 动 或 手 动 进 入 时 钟 保 持 (holdover) 模 式 PLL1 会 将 参 考 时 钟 锁 定 到 外 部 压 控 晶 体 振 荡 器 (VCXO) 或 晶 体 (XTAL) 上, 实 现 近 端 相 位 噪 声 的 去 抖 PLL1 的 输 出 会 作 为 第 2 个 锁 相 环 (PLL2) 的 参 考 输 入, 然 后 锁 定 到 内 置 的 压 控 振 荡 器 (VCO) 上, 实 现 较 高 频 率 的 合 成 和 远 端 相 位 噪 声 的 抑 制 然 后 是 时 钟 分 发 模 块, 可 以 实 现 分 频, 相 位 调 整 和 可 编 程 的 不 同 类 型 电 平 (LVPECL, LVDS,LVCMOS) 驱 动 输 出 LMK04800 最 多 可 以 有 14 对 差 分 时 钟 输 出, 或 同 步 网 络 中 的 高 性 能 线 卡 时 钟 解 决 方 案 7

8 者 26 个 单 端 时 钟 输 出 另 外,LMK04800 还 可 以 实 现 零 延 迟 功 能, 这 意 味 着 输 入 参 考 时 钟 和 输 出 时 钟 可 以 有 确 定 的 相 位 关 系, 在 某 些 特 定 应 用 场 景, 可 以 简 化 数 据 通 信 的 时 钟 设 计 = External Pins = Internal Nodes Loop Filter CPout1 VCXO or XTAL OSCin CPout2 CLKin0 CLKin1/Fin/ FBCLKin Fin Div Div Ref Mux PLL1 PLL2 Partially Integrated Loop Filter Internal VCO CLKout 0/2/4/ 6/8/10 6 FB Mux Mode Mux2 Holdover Clock Distribution Path Mode Mux1 VCO Div (1-8) Fin OSCout0 OSC Div (2-8) Clock Groups 0 / 1 / 2 / 5 OSCout1 CLKout 6/8 CLKout 7/9 Clock Groups 3 / 4 Delay Divider (1-1045) Digital Delay Osc Mux Digital Delay Divider (1-1045) Delay CLKout 0/2/4/10 CLKout 1/3/5/11 图 3 LMK04800 功 能 模 块 相 噪 性 能 借 助 于 LMK04800 的 仿 真 工 具 Clock Design Tool ( ), 可 以 很 容 易 地 分 析 在 不 同 参 考 输 入 下 的 时 钟 输 出 噪 声 特 性 图 4 是 一 个 MHz 时 钟 输 出 相 位 噪 声 仿 真 的 例 子, 包 括 了 各 种 不 同 部 件 引 入 的 附 加 噪 声 成 分, 总 的 噪 声 是 图 中 黑 色 的 曲 线, 该 仿 真 结 果 是 考 虑 最 差 相 位 噪 声 的 性 能 8 同 步 网 络 中 的 高 性 能 线 卡 时 钟 解 决 方 案

9 图 4 LMK04800 噪 声 仿 真 Holdover 保 持 电 路 特 性 和 无 缝 切 换 关 系 不 同 于 传 统 的 模 拟 锁 相 环 电 路 设 计,LMK04800 内 部 集 成 了 高 精 度 DAC, 当 时 钟 芯 片 丢 失 了 正 常 工 作 的 控 制 条 件 时, 比 如 丢 失 参 考 时 钟, 或 者 环 路 某 个 部 件 故 障 等 进 入 保 持 模 式 时,LMK04800 会 利 用 保 持 模 式 前 DAC 存 储 的 压 控 电 压 值 控 制 VCXO 的 输 出, 从 而 保 证 了 保 持 模 式 下 的 时 钟 输 出 精 度 在 主 备 参 考 时 钟 进 行 切 换 时, 可 以 借 助 这 个 保 持 特 性, 实 现 线 卡 时 钟 的 平 滑 输 出 ( 或 称 为 无 缝 输 出 ) 下 面 通 过 一 个 例 子 描 述 LMK04800 在 参 考 输 入 有 较 大 跳 变 ( 如 主 备 切 换 ) 条 件 下,LMK04800 是 如 何 利 用 Holdover 电 路 实 现 输 出 的 平 滑 特 性 同 步 网 络 中 的 高 性 能 线 卡 时 钟 解 决 方 案 9

10 图 5 Holdover 电 路 工 作 过 程 图 5 是 LMK04800 器 件 Holdover 电 路 工 作 的 一 个 完 整 例 子 黑 色 粗 线 表 示 参 考 时 钟 的 变 化 特 性, 黄 色 细 线 表 示 VCXO 输 出 频 率 的 变 化, 绿 色 标 线 表 示 PLL1 进 入 锁 定 的 检 测 门 限, 蓝 色 标 线 表 示 退 出 Holdover 保 持 状 态 的 控 制 门 限 在 AB 阶 段, 参 考 时 钟 稳 定, 处 于 锁 定 状 态 ;B 时 刻, 参 考 时 钟 跳 变, PLL1 失 锁 后 进 入 了 Holdover 状 态 ;C 时 刻, 参 考 时 钟 恢 复 稳 定, 或 者 切 换 到 了 备 份 参 考 时 钟 ;CD 阶 段, 虽 然 有 了 参 考 时 钟, 但 是 由 于 PLL1 工 作 在 开 环 保 持 状 态, 新 的 参 考 时 钟 和 Holdover 状 态 下 的 VCXO 在 鉴 相 器 上 还 可 能 是 异 频 异 相 的, 但 是 频 偏 已 经 小 于 蓝 色 线 门 限, 当 鉴 相 器 上 相 差 小 于 PLL1_WND_SIZE 定 义 的 窗 口, 开 始 退 出 Holdover 计 数 ;D 时 刻, 满 足 退 出 Holdover 计 数 条 件,PLL1 闭 环 重 新 进 入 跟 踪 状 态, 电 荷 泵 开 始 工 作 ;DF 阶 段 是 PLL1 捕 捉 锁 定 过 程 ;F 时 刻 之 后 PLL1 正 式 进 入 锁 定 状 态 其 中 : 锁 定 时 允 许 的 最 大 频 偏 : Lock 2e6 PLL1_ WND _ SIZE f Accuracy( PPM ) PLL1_ DLD _ CNT PD1 _ 6.4mV KVCO 1e6 Holdover 时 的 频 率 精 度 : Holdover _ Accuracy( PPM ) VCXO _ Frequency 退 出 Holdover 的 门 限 : Holdover 2e6 PLL1_ WND _ SIZE f Exit _ Threshold( PPM) HOLDOVER_ DLD _ CNT PD1 _ 从 图 5 中 可 以 看 出, 当 参 考 时 钟 跳 变 时,LMK4800 要 准 确 进 入 Holdover 工 作 状 态, 同 时 当 参 考 时 钟 恢 复 稳 定 后 PLL1 要 重 新 捕 捉 跟 踪,LMK04800 必 须 要 及 时 退 出 Holdover 开 环 工 作 状 态, 所 以 图 中 蓝 色 门 限 必 须 比 绿 色 门 限 大, 也 就 是 说 必 须 满 足 HOLDOVER_DLD_CNT < PLL1_DLD_CNT LMK04800 寄 存 10 同 步 网 络 中 的 高 性 能 线 卡 时 钟 解 决 方 案

11 器 25 的 第 6bit 到 第 19bit( 即 :R25[19:6], 总 共 14bits) 和 寄 存 器 15 的 第 6bit 到 第 19bit( 即 : R15[19:6], 总 共 14bits) 分 别 用 于 设 置 PLL1_DLD_CNT 和 HOLDOVER_DLD_CNT 计 数 值, 最 大 可 设 置 为 16383, 在 具 体 电 路 设 计 中, 可 以 根 据 系 统 电 路 的 时 钟 规 格 要 求, 灵 活 设 计 PLL1_DLD_CNT 和 HOLDOVER_DLD_CNT 计 数 值, 确 保 LMK04800 能 正 确 地 进 入 Holdover 工 作 状 态, 同 时 也 能 正 确 地 退 出 Holdover 工 作 状 态 3.2 LMK04800 时 钟 电 路 设 计 在 混 合 的 SDH/SONET 和 SyncE 同 步 网 络 中, 基 于 LMK04800 线 卡 时 钟 方 案 如 下 图 所 示, 借 助 于 外 部 VCXO 的 频 点 ( 如 25MHz, 或 19.44MHz), 可 以 输 出 MHz 或 MHz 的 时 钟, 满 足 线 卡 对 不 同 参 考 时 钟 的 需 求 图 6 LMK04800 线 卡 电 路 设 计 本 文 以 LMK04806 器 件 为 例, 通 过 分 析 仿 真 EVM 板 电 路 性 能 测 试 验 证 了 在 线 卡 应 用 场 景 下 的 两 种 典 型 电 路 设 计, 该 电 路 可 作 为 大 多 数 线 卡 板 时 钟 方 案 设 计 参 考 MHz input, MHz output 电 路 设 计 本 例 参 考 输 入 19.44MHz,PLL1 采 用 25MHz 的 VCXO,Kvco 为 0.75kHz/V,PLL2 的 输 出 频 率 是 MHz PLL1 由 于 受 到 19.44MHz 和 25MHz 最 大 公 因 子 约 束, 最 大 鉴 相 频 率 PDF1 只 能 为 40kHz, 为 降 低 VCXO 压 控 端 漏 电 流 的 影 响, 选 取 最 大 的 电 荷 泵 电 流 1.6mA PLL1 一 般 设 计 为 窄 环 路 带 宽 如 同 步 网 络 中 的 高 性 能 线 卡 时 钟 解 决 方 案 11

12 10Hz~50Hz, 工 作 在 去 抖 状 态, 输 出 的 抖 动 主 要 由 VCXO 决 定,PLL2 工 作 在 频 率 合 成 状 态, 一 般 使 用 宽 环 路 带 宽 如 100kHz~200kHz 借 助 TI 提 供 的 仿 真 工 具 Clock Design Tool, 易 得 LMK04806 的 PLL1 和 PLL2 的 外 围 参 数 和 环 路 输 参 数 图 7 和 图 8 分 别 是 PLL1 和 PLL2 的 电 路 参 数 设 计, 表 2 是 相 应 的 PLL1 和 PLL2 环 路 参 数 图 7 PLL1 电 路 参 数 (19.44MHz 输 入,25MHz VCXO) 图 8 PLL2 电 路 参 数 (25MHz 输 入,156.25MHz 输 出 ) 表 2 本 例 对 应 的 锁 相 环 环 路 参 数 关 键 环 路 参 数 环 路 带 宽 相 位 余 量 阻 尼 系 数 PLL Hz degrees PLL KHz degrees 以 1UI 的 输 入 相 跳 为 例, 仿 真 得 到 的 输 出 时 钟 瞬 态 响 应 特 性 如 图 9 所 示, 输 出 频 率 瞬 变 最 大 在 5.1PPM 左 右 12 同 步 网 络 中 的 高 性 能 线 卡 时 钟 解 决 方 案

13 图 9 1UI 输 入 相 跳 下 输 出 瞬 态 响 应 由 于 LMK04800 的 PLL1 环 路 带 宽 远 低 于 PLL2,PLL2 能 更 好 地 跟 踪 PLL1 输 出 的 变 化, 因 此 整 个 级 联 环 路 的 总 输 出 瞬 态 响 应 特 性 基 本 上 由 PLL1 的 瞬 态 响 应 特 性 决 定 下 面 通 过 实 验 测 试 到 了 PLL1 压 控 电 压 的 变 化 波 形, 如 图 10.a 所 示, 并 把 压 控 电 压 波 形 转 换 为 PLL1 输 出 时 钟 的 频 率 变 化 特 性, 如 图 10.b 所 示 a. 输 入 相 跳 导 致 压 控 电 压 的 变 化 特 性 b. 对 应 压 控 电 压 变 化 下 的 时 钟 输 出 频 率 变 化 特 性 图 10 1UI 相 位 跳 变 引 入 压 控 电 压 波 动 和 输 出 频 率 跳 变 特 性 同 步 网 络 中 的 高 性 能 线 卡 时 钟 解 决 方 案 13

14 图 11 是 LMK04806 输 出 相 位 噪 声, 在 (12KHz~20MHz) 积 分 区 间, 均 值 抖 动 是 122.2fs, 能 很 好 地 满 足 高 速 线 卡 时 钟 方 案 的 抖 动 需 求 图 MHz 输 出 相 噪 MHz input, MHz output 电 路 设 计 本 例 参 考 输 入 为 25MHz,PLL1 采 用 25MHz 的 VCXO,PLL2 的 输 出 频 率 是 MHz 参 考 的 分 析 例 子, 图 12 是 PLL1 的 电 路 参 数 设 计, 由 于 VCXO 没 有 改 变,PLL2 的 电 路 设 计 跟 的 例 子 ( 图 8) 完 全 一 样, 表 3 是 本 例 对 应 的 锁 相 环 环 路 参 数 图 12 PLL1 电 路 参 数 (25MHz 输 入,25MHz VCXO) 14 同 步 网 络 中 的 高 性 能 线 卡 时 钟 解 决 方 案

15 表 3 本 例 对 应 的 锁 相 环 环 路 参 数 关 键 环 路 参 数 环 路 带 宽 相 位 余 量 阻 尼 系 数 PLL Hz degrees PLL KHz degrees 图 13 是 仿 真 得 到 的 在 0.5UI 输 入 切 换 下 的 MHz 时 钟 输 出 波 形, 图 14 是 实 验 测 试 得 到 的 压 控 电 压 波 动 和 相 应 的 输 出 时 钟 瞬 变 特 性 可 以 看 出, 此 时 输 出 时 钟 最 大 频 跳 大 概 在 4PPM 图 UI 输 入 相 跳 下 输 出 瞬 态 响 应 同 步 网 络 中 的 高 性 能 线 卡 时 钟 解 决 方 案 15

16 a. 输 入 相 跳 导 致 压 控 电 压 的 变 化 特 性 b. 对 应 压 控 电 压 变 化 下 的 时 钟 输 出 频 率 变 化 特 性 图 UI 相 位 跳 变 引 入 压 控 电 压 波 动 和 输 出 频 率 跳 变 特 性 3.3 小 结 通 过 上 面 两 个 例 子 的 设 计 分 析, 锁 相 环 是 低 通 特 性, 具 有 对 输 入 切 换 下 相 位 突 变 进 行 平 滑 滤 除 能 力 当 环 路 带 宽 在 15Hz 左 右,1UI 相 跳 引 入 5PPM 左 右 的 输 出 频 跳 ; 当 环 路 带 宽 在 20Hz 时,0.5UI 相 位 跳 变 引 入 4PPM 左 右 的 输 出 频 跳 结 合 具 体 应 用 需 求, 可 进 一 步 优 化 LMK04800 的 环 路 参 数, 包 括 环 路 带 宽 相 位 余 量 / 阻 尼 系 数 等, 控 制 输 出 的 平 滑 特 性, 满 足 各 种 线 卡 时 钟 方 案 设 计 的 要 求 4. 总 结 LMK04800 系 列 器 件 集 参 考 时 钟 切 换, 时 钟 抖 动 滤 除, 时 钟 合 成 和 时 钟 分 发 于 一 体, 可 以 简 化 业 务 线 卡 时 钟 的 设 计, 同 时 提 供 150fs rms (12kHz~20MHz) 的 低 抖 动 时 钟 本 文 回 顾 了 同 步 网 络 时 钟 的 设 计 需 求, 然 后 以 LMK04806 为 例, 进 行 参 考 时 钟 切 换 的 仿 真 和 实 测, 通 过 合 理 的 环 路 参 数 配 置 可 以 将 频 偏 控 制 在 目 标 范 围 内, 满 足 系 统 设 计 规 格 此 外, 也 可 以 利 用 LMK04800 自 带 高 精 度 Holdover 功 能 电 路, 在 参 考 时 钟 输 入 跳 变, 如 主 备 参 考 时 钟 切 换 下, 通 过 灵 活 设 计 进 入 和 退 出 Holdover 工 作 条 件, 有 利 于 实 现 LMK04800 输 出 的 平 滑 性 能 通 过 本 文 介 绍 的 两 个 例 子,LMK04800 系 列 时 钟 产 品 能 适 应 不 同 系 统 设 计 规 格 需 求, 是 一 种 高 性 能 低 成 本 的 线 卡 时 钟 方 案 16 同 步 网 络 中 的 高 性 能 线 卡 时 钟 解 决 方 案

17 5. 参 考 资 料 1. Texas Instruments; LMK04800 Datasheet (snas489i.pdf) 2. Texas Instruments; Clock Design Tool v1.1 Instructions (snau082.pdf) 3. International Telecommunication Union; ITU-T Recommendation G.813, Timing characteristics of SDH equipment slave clocks (SEC), 03/ International Telecommunication Union; ITU-T Recommendation G.8261, Timing and synchronization aspects in packet networks, 04/ International Telecommunication Union; ITU-T Recommendation G.8262, Timing characteristics of a synchronous Ethernet equipment slave clock, 07/ Roland E.Best,Phase Locked Loops: Design, Simulation, and Applications,6th ed., McGraw-Hill Inc,2007 同 步 网 络 中 的 高 性 能 线 卡 时 钟 解 决 方 案 17

18 重 要 声 明 德 州 仪 器 (TI) 及 其 下 属 子 公 司 有 权 根 据 JESD46 最 新 标 准, 对 所 提 供 的 产 品 和 服 务 进 行 更 正 修 改 增 强 改 进 或 其 它 更 改, 并 有 权 根 据 JESD48 最 新 标 准 中 止 提 供 任 何 产 品 和 服 务 客 户 在 下 订 单 前 应 获 取 最 新 的 相 关 信 息, 并 验 证 这 些 信 息 是 否 完 整 且 是 最 新 的 所 有 产 品 的 销 售 都 遵 循 在 订 单 确 认 时 所 提 供 的 TI 销 售 条 款 与 条 件 TI 保 证 其 所 销 售 的 组 件 的 性 能 符 合 产 品 销 售 时 TI 半 导 体 产 品 销 售 条 件 与 条 款 的 适 用 规 范 仅 在 TI 保 证 的 范 围 内, 且 TI 认 为 有 必 要 时 才 会 使 用 测 试 或 其 它 质 量 控 制 技 术 除 非 适 用 法 律 做 出 了 硬 性 规 定, 否 则 没 有 必 要 对 每 种 组 件 的 所 有 参 数 进 行 测 试 TI 对 应 用 帮 助 或 客 户 产 品 设 计 不 承 担 任 何 义 务 客 户 应 对 其 使 用 TI 组 件 的 产 品 和 应 用 自 行 负 责 为 尽 量 减 小 与 客 户 产 品 和 应 用 相 关 的 风 险, 客 户 应 提 供 充 分 的 设 计 与 操 作 安 全 措 施 TI 不 对 任 何 TI 专 利 权 版 权 屏 蔽 作 品 权 或 其 它 与 使 用 了 TI 组 件 或 服 务 的 组 合 设 备 机 器 或 流 程 相 关 的 TI 知 识 产 权 中 授 予 的 直 接 或 隐 含 权 限 作 出 任 何 保 证 或 解 释 TI 所 发 布 的 与 第 三 方 产 品 或 服 务 有 关 的 信 息, 不 能 构 成 从 TI 获 得 使 用 这 些 产 品 或 服 务 的 许 可 授 权 或 认 可 使 用 此 类 信 息 可 能 需 要 获 得 第 三 方 的 专 利 权 或 其 它 知 识 产 权 方 面 的 许 可, 或 是 TI 的 专 利 权 或 其 它 知 识 产 权 方 面 的 许 可 对 于 TI 的 产 品 手 册 或 数 据 表 中 TI 信 息 的 重 要 部 分, 仅 在 没 有 对 内 容 进 行 任 何 篡 改 且 带 有 相 关 授 权 条 件 限 制 和 声 明 的 情 况 下 才 允 许 进 行 复 制 TI 对 此 类 篡 改 过 的 文 件 不 承 担 任 何 责 任 或 义 务 复 制 第 三 方 的 信 息 可 能 需 要 服 从 额 外 的 限 制 条 件 在 转 售 TI 组 件 或 服 务 时, 如 果 对 该 组 件 或 服 务 参 数 的 陈 述 与 TI 标 明 的 参 数 相 比 存 在 差 异 或 虚 假 成 分, 则 会 失 去 相 关 TI 组 件 或 服 务 的 所 有 明 示 或 暗 示 授 权, 且 这 是 不 正 当 的 欺 诈 性 商 业 行 为 TI 对 任 何 此 类 虚 假 陈 述 均 不 承 担 任 何 责 任 或 义 务 客 户 认 可 并 同 意, 尽 管 任 何 应 用 相 关 信 息 或 支 持 仍 可 能 由 TI 提 供, 但 他 们 将 独 力 负 责 满 足 与 其 产 品 及 在 其 应 用 中 使 用 TI 产 品 相 关 的 所 有 法 律 法 规 和 安 全 相 关 要 求 客 户 声 明 并 同 意, 他 们 具 备 制 定 与 实 施 安 全 措 施 所 需 的 全 部 专 业 技 术 和 知 识, 可 预 见 故 障 的 危 险 后 果 监 测 故 障 及 其 后 果 降 低 有 可 能 造 成 人 身 伤 害 的 故 障 的 发 生 机 率 并 采 取 适 当 的 补 救 措 施 客 户 将 全 额 赔 偿 因 在 此 类 安 全 关 键 应 用 中 使 用 任 何 TI 组 件 而 对 TI 及 其 代 理 造 成 的 任 何 损 失 在 某 些 场 合 中, 为 了 推 进 安 全 相 关 应 用 有 可 能 对 TI 组 件 进 行 特 别 的 促 销 TI 的 目 标 是 利 用 此 类 组 件 帮 助 客 户 设 计 和 创 立 其 特 有 的 可 满 足 适 用 的 功 能 安 全 性 标 准 和 要 求 的 终 端 产 品 解 决 方 案 尽 管 如 此, 此 类 组 件 仍 然 服 从 这 些 条 款 TI 组 件 未 获 得 用 于 FDA Class III( 或 类 似 的 生 命 攸 关 医 疗 设 备 ) 的 授 权 许 可, 除 非 各 方 授 权 官 员 已 经 达 成 了 专 门 管 控 此 类 使 用 的 特 别 协 议 只 有 那 些 TI 特 别 注 明 属 于 军 用 等 级 或 增 强 型 塑 料 的 TI 组 件 才 是 设 计 或 专 门 用 于 军 事 / 航 空 应 用 或 环 境 的 购 买 者 认 可 并 同 意, 对 并 非 指 定 面 向 军 事 或 航 空 航 天 用 途 的 TI 组 件 进 行 军 事 或 航 空 航 天 方 面 的 应 用, 其 风 险 由 客 户 单 独 承 担, 并 且 由 客 户 独 力 负 责 满 足 与 此 类 使 用 相 关 的 所 有 法 律 和 法 规 要 求 TI 已 明 确 指 定 符 合 ISO/TS16949 要 求 的 产 品, 这 些 产 品 主 要 用 于 汽 车 在 任 何 情 况 下, 因 使 用 非 指 定 产 品 而 无 法 达 到 ISO/TS16949 要 求,TI 不 承 担 任 何 责 任 产 品 数 字 音 频 通 信 与 电 信 放 大 器 和 线 性 器 件 计 算 机 及 周 边 数 据 转 换 器 消 费 电 子 DLP 产 品 能 源 DSP - 数 字 信 号 处 理 器 工 业 应 用 时 钟 和 计 时 器 医 疗 电 子 接 口 安 防 应 用 逻 辑 汽 车 电 子 电 源 管 理 视 频 和 影 像 微 控 制 器 (MCU) RFID 系 统 OMAP 应 用 处 理 器 无 线 连 通 性 德 州 仪 器 在 线 技 术 支 持 社 区 应 用 IMPORTANT NOTICE 邮 寄 地 址 : 上 海 市 浦 东 新 区 世 纪 大 道 1568 号, 中 建 大 厦 32 楼 邮 政 编 码 : Copyright 2013 德 州 仪 器 半 导 体 技 术 ( 上 海 ) 有 限 公 司

時脈樹設計原則

時脈樹設計原則 時 脈 樹 設 計 原 則 在 高 效 能 應 用 中, 例 如 通 訊 無 線 基 礎 設 施 伺 服 器 廣 播 視 訊 以 及 測 試 和 測 量 裝 置, 當 系 統 整 合 更 多 功 能 並 需 要 提 高 效 能 水 準 時, 硬 體 設 計 就 變 得 日 益 複 雜, 這 種 趨 勢 進 一 步 影 響 到 為 系 統 提 供 參 考 時 序 的 電 路 板 設 計 階 段 (board-level)

More information

Si53xx Family Reference Manual (Chinese)

Si53xx Family Reference Manual (Chinese) 任 意 频 率 精 准 时 钟 A NY-FREQUENCY PRECISION CLOCKS Si5316, Si5319, Si5322, Si5323, Si5324, Si5325, Si5326, Si5327, Si5365, Si5366, Si5367, Si5368, Si5369, Si5374, Si5375 系 列 参 考 手 册 FAMILY REFERENCE MANUAL

More information

untitled

untitled Portable Electrode B91901070 B91901133 量 ECG 路 更 量 路 performance RF 量 路 Portable Electrode 便利 量 portable electrode 路 濾 濾 行 electrode 類 FM modulation scheme ECG 類 數 RF RF demodulate 利 Elvis Labview ECG

More information

untitled

untitled MC8630 MC8630 1.6 MC8630 C MC8630 Copyright 2008 by ZTE Corporation 2008 12 1 1 MC8630 CDMA/GPRS 1 2 3PCB 4 E-MAIL module.ztemt.com.cn module@zte.com.cn 0755-86140899 2 MC8630 MC8630 C MC8630 C 5 V1.1

More information

a b c d e f g C2 C1 2

a b c d e f g C2 C1 2 a b c d e f g C2 C1 2 IN1 IN2 0 2 to 1 Mux 1 IN1 IN2 0 2 to 1 Mux 1 Sel= 0 M0 High C2 C1 Sel= 1 M0 Low C2 C1 1 to 2 decoder M1 Low 1 to 2 decoder M1 High 3 BCD 1Hz clk 64Hz BCD 4 4 0 1 2 to 1 Mux sel 4

More information

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg

Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15 LM361 LM361 Zlg 1 1 a. 0.5V 5V 1Hz 1MHz b. 0.1% 2 : a. 0.5V 5V 1Hz 1MHz b. 0.1% (3) a. 0.5V 5V 100 s b. 1% 4 1 10 5 1MHz 6 1 2 1 0.1Hz 10MHz 0.5V 5V 0.01% 10s 2 0.5V 5V 1Hz 1kHz 10% 90% 1% 3 1Hz 1MHz 1% EPM7128SLC84-15

More information

量 來 調 節 體 溫 隨 年 齡 老 化, 真 皮 層 之 厚 度 約 減 少 20%, 其 中 的 血 管 汗 腺 與 神 經 末 梢 的 數 量 也 隨 之 減 少, 造 成 老 人 的 體 溫 調 節 功 能 降 低 發 炎 反 應 減 慢 對 觸 覺 與 痛 覺 感 降 低 提 供 皮 膚

量 來 調 節 體 溫 隨 年 齡 老 化, 真 皮 層 之 厚 度 約 減 少 20%, 其 中 的 血 管 汗 腺 與 神 經 末 梢 的 數 量 也 隨 之 減 少, 造 成 老 人 的 體 溫 調 節 功 能 降 低 發 炎 反 應 減 慢 對 觸 覺 與 痛 覺 感 降 低 提 供 皮 膚 1. 認 識 老 化 在 各 系 統 的 生 理 改 變 2. 認 識 身 體 系 統 老 化 對 老 人 產 生 的 影 響 3. 認 識 如 何 對 老 人 執 行 身 體 評 估 4. 認 識 皮 膚 與 足 部 的 護 理 5. 認 識 老 人 之 活 動 障 礙 問 題 6. 瞭 解 相 關 知 識 對 於 銀 髮 產 業 的 關 係 皮 膚 系 統 的 老 化 改 變 人 類 老 化 的

More information

!"# $%& %!"# $%& %!"#$%& %! ( )***%% ) $)! +**+),,* -)+.* )( ) +, +*.*)+..**! )$,*)+$))$!"!#

!# $%& %!# $%& %!#$%& %! ( )***%% ) $)! +**+),,* -)+.* )( ) +, +*.*)+..**! )$,*)+$))$!!# !"#$%& % ( % )& (% ( % (( )( !"# $%& %!"# $%& %!"#$%& %! ( )***%% ) $)! +**+),,* -)+.* )( ) +, +*.*)+..**! )$,*)+$))$!"!# !"#$%& %!! "! # " $ # % & & ( ) *!+ !"#$%& % ( (*( (*+ "#$% $%%"# (*, (*% + +*(

More information

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC

Chroma 61500/ bit / RMS RMS VA ()61500 DSP THD /61508/61507/61609/61608/ (61500 ) Chroma STEP PULSE : LISTLIST 100 AC DC MODEL 61509/61508/61507/ 61609/61608/61607 PROGRAMMABLE AC POWER SOURCE MODEL 61509/61508/61507/ 61609/61608/61607 61509/61609: 6kVA 61508/61608: 4.5kVA 61507/61607: 3kVA : 0-175V/0-350V/Auto : DC, 15Hz-2kHz

More information

2 A-5VL ( ) 15 Onkyo A-5VL A-5VL Ct

2 A-5VL ( ) 15 Onkyo A-5VL A-5VL Ct 2 A-5VL 3 4 5 10 ( ) 15 Onkyo A-5VL A-5VL 17 18 Ct 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. S3125A 14. 15. A. B. C. D. E. F. 16. 17. 18. 2 0c m 8" 10cm 4" 10cm 4" Ct-2 1. 2. Onkyo 3. 4. AC120V60Hz AC220-240V50/60Hz

More information

<4D6963726F736F667420576F7264202D20B7A2D0D0B9C9B7DDBCB0D6A7B8B6CFD6BDF0B9BAC2F2D7CAB2FAB2A2C4BCBCAFC5E4CCD7D7CABDF0F4DFB9D8C1AABDBBD2D7D6AEB6C0C1A2B2C6CEF1B9CBCECAB1A8B8E6A3A8D0DEB6A9B8E5A3A9>

<4D6963726F736F667420576F7264202D20B7A2D0D0B9C9B7DDBCB0D6A7B8B6CFD6BDF0B9BAC2F2D7CAB2FAB2A2C4BCBCAFC5E4CCD7D7CABDF0F4DFB9D8C1AABDBBD2D7D6AEB6C0C1A2B2C6CEF1B9CBCECAB1A8B8E6A3A8D0DEB6A9B8E5A3A9> 国 信 证 券 股 份 有 限 公 司 关 于 杭 州 远 方 光 电 信 息 股 份 有 限 公 司 发 行 股 份 及 支 付 现 金 购 买 资 产 并 募 集 配 套 资 金 暨 关 联 交 易 之 独 立 财 务 顾 问 报 告 ( 修 订 稿 ) 独 立 财 务 顾 问 签 署 日 期 : 二 O 一 六 年 二 月 独 立 财 务 顾 问 声 明 与 承 诺 国 信 证 券 股 份 有

More information

具备颜色管理功能的发光二极管驱动器:前景一片璀璨

具备颜色管理功能的发光二极管驱动器:前景一片璀璨 LP5520,LP5521,LP5522,LP55281???????????????????????? Literature Number: ZHCA408 POWER designer Expert tips, tricks, and techniques for powerful designs No. 119 Feature Article...1-7 RGB LED Driver Generates

More information

B 6 A A N A S A +V B B B +V 2

B 6 A A N A S A +V B B B +V 2 B 6 A A N A S A +V B B B +V 2 V A A B B 3 C Vcc FT7 B B 1 C 1 V cc C 2 B 2 G G B 3 C 3V cc C B ND ND GND V A A B B C 1 C 3 C 2 C V cc V cc V 220Ωx B 1 B 2 B 3 B GND GND A B A B 1 1 0 0 0 2 0 1 0 0 3 0

More information

2 A-5VL Onkyo A-5VL A-5VL Cs

2 A-5VL Onkyo A-5VL A-5VL Cs 2 A-5VL 3 4 5 10 15 Onkyo A-5VL A-5VL 17 18 Cs 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. S3125A 14. 15. : A. B. C. D. E. F. 16. 17. 18. 20cm 8 " 10c m 4" 10cm 4" Cs-2 1. 2. Onkyo 3. 4. : AC120V 60Hz AC220-240V

More information

RF & MICROWAVE COMPONENTS

RF & MICROWAVE COMPONENTS MICROOT MICROWAVE CO., LTD. RF & MICROWAVE COMPONENTS WWW.MIC-ROOT.COM Catalogue 1. ABOUT MICROOT...3 2. Broadband 90/180deg Hybrid and Coupler...4 3. Broadband Power Divider... 13 4. Filter... 20 5. RF

More information

录 目 录 第 5 章 我 们 的 世 界 第 5.1 节 精 彩 的 世 界 1 第 5.2 节 数 字 化 生 存 3 第 5.3 节 测 量 世 界 探 悉 4 第 5.4 节 取 样 - 量 化 导 致 信 息 丢 失 8 第 5.5 节 数 字 化 世 界 更 精 彩 10 第 6 章 L

录 目 录 第 5 章 我 们 的 世 界 第 5.1 节 精 彩 的 世 界 1 第 5.2 节 数 字 化 生 存 3 第 5.3 节 测 量 世 界 探 悉 4 第 5.4 节 取 样 - 量 化 导 致 信 息 丢 失 8 第 5.5 节 数 字 化 世 界 更 精 彩 10 第 6 章 L LabVIEW 学 习 札 记 第 二 卷 LabVIEW 开 发 技 术 丛 书 录 目 录 第 5 章 我 们 的 世 界 第 5.1 节 精 彩 的 世 界 1 第 5.2 节 数 字 化 生 存 3 第 5.3 节 测 量 世 界 探 悉 4 第 5.4 节 取 样 - 量 化 导 致 信 息 丢 失 8 第 5.5 节 数 字 化 世 界 更 精 彩 10 第 6 章 LabVIEW 最

More information

高频电疗法

高频电疗法 高 频 电 疗 法 高 频 电 疗 法 频 率 大 于 100kHz 的 交 流 电 属 于 高 频 电 流 应 用 高 频 电 流 作 用 于 人 体 以 治 疗 疾 病 的 方 法, 称 高 频 电 疗 法 ( high frequency electrotherapy ) 高 频 电 疗 法 高 频 电 疗 的 作 用 方 式 有 5 种 共 鸣 火 花 放 电 法 直 接 接 触 法 电 容

More information

Microsoft Word - A200911-255.doc

Microsoft Word - A200911-255.doc 硅 片 调 谐 器 (TUNER) 在 PC-TV 上 的 应 用 高 云 北 京 歌 华 有 线 电 视 网 络 股 份 有 限 公 司, 北 京 (100007) E-mail:gaoyun@bgctv.com.cn 摘 要 : 本 文 介 绍 一 款 USB 接 口 的 A+D 电 视 接 收 盒 的 设 计, 该 设 计 采 用 小 尺 寸 的 硅 片 TUNER 与 EM2880 芯 片

More information

untitled

untitled 2014 1 2014 2 2014 4 2014 6 2014 7 2014 7 2014 8 2014 9 2014 10 2014 11 12 13 2014 14 17 24 34 1. 35 2014 1 2014 2014 6 20 6 21 1430 1600 1600 1630 1630 1800 1630 1800 1600 1800 700 800 800 910 910 1020

More information

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi

SPHE8202R Design Guide Important Notice SUNPLUS TECHNOLOGY CO. reserves the right to change this documentation without prior notice. Information provi SPHE8202R Design Guide V2.0 JUN, 2007 19, Innovation First Road Science Park Hsin-Chu Taiwan 300 R.O.C. Tel: 886-3-578-6005 Fax: 886-3-578-4418 Web: www.sunplus.com SPHE8202R Design Guide Important Notice

More information

目 录 目 录 1.0 用 户 责 任... 1 2.0 手 册 版 本 历 史... 2 3.0 产 品 保 修... 3 4.0 简 介... 4 5.0 安 全 须 知... 5 5.1 电 气 安 全... 5 5.2 爆 炸... 6 5.3 患 者 连 接... 6 5.4 MRI...

目 录 目 录 1.0 用 户 责 任... 1 2.0 手 册 版 本 历 史... 2 3.0 产 品 保 修... 3 4.0 简 介... 4 5.0 安 全 须 知... 5 5.1 电 气 安 全... 5 5.2 爆 炸... 6 5.3 患 者 连 接... 6 5.4 MRI... 7600/7800 型 操 作 手 册 病 人 监 护 仪 7800 型 病 人 监 护 仪 的 外 观 2015 IVY Biomedical Systems Inc. 保 留 所 有 权 利 部 件 号 3232-01-16 部 件 号 2718-55-16 Rev.03 CS 目 录 目 录 1.0 用 户 责 任... 1 2.0 手 册 版 本 历 史... 2 3.0 产 品 保 修...

More information

國家圖書館典藏電子全文

國家圖書館典藏電子全文 I Abstract II III ... I Abstract...II...III... IV... VI 1...1 2...3 2-1...3 2-2...4 2-3...6 2-4...6 3...8 3-1...8 3-2...10 4...12 5...15 5-1...15 5-2...17 IV 5-3...18 6...21 6-1...21 6-2...22 6-3...22

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM33A0xx MCU 2017. 05 2.0 1 (http://www.fmsh.com/) 2.0 2 ... 3 1... 4 1.1... 4 1.2... 4 1.3... 5 1.3.1... 5 1.3.2... 5 1.4... 8 1.4.1 LQFP100... 8 1.4.2 LQFP80... 9 1.4.3... 9 2... 15 2.1 LQFP100... 15

More information

...2 SK 100 G SK 100 G / /

...2 SK 100 G SK 100 G / / SK 100 ...2 SK 100 G3...3... 3... 4...5...6 SK 100 G3... 6... 7...8... 8... 8 /... 8... 9... 10... 11 /... 11... 12... 13... 15... 16... 16... 17... 18... 20 Menu... 20 Advanced Menu... 23... 26... 26...

More information

第十五号 上市公司变更募集资金投资项目公告

第十五号 上市公司变更募集资金投资项目公告 证 券 代 码 :600885 证 券 简 称 : 宏 发 股 份 公 告 编 号 : 临 2016-014 宏 发 科 技 股 份 有 限 公 司 关 于 变 更 部 分 募 集 资 金 投 资 项 目 的 公 告 本 公 司 董 事 会 及 全 体 董 事 保 证 本 公 告 内 容 不 存 在 任 何 虚 假 记 载 误 导 性 陈 述 或 者 重 大 遗 漏, 并 对 其 内 容 的 真 实

More information

甄試報告1125.PDF

甄試報告1125.PDF LabVIEW LabVIEW Laboratory Virtual Instrument Engineering Workbench G LabVIEW DAQ LabVIEW LabVIEW LabVIEW LabVIEW ph LabVIEW DAQ LabVIEW PZT LabVIEW / =2 10-8 1 LabVIEW DAQ LabVIEW DAQ DAQ LabVIEW DAQ

More information

Chap2.ppt

Chap2.ppt 2! PSTN Internet 2/ 73 ! 2/ 73 twisted pair! 8 UTP100 m Cat310 MbpsCat5100 Mbps 2/ 73 ! 2/ 73 ! 50Ω 50Ω 75Ω 75Ω 75Ω 2/ 73 ! 2/ 73 ! 2 km 10 km 2/ 73 ! 2/ 73 ! 2/ 73 ! ! f(hz) 10 0 10 2 10 4 10 6 10 8 10

More information

<4D F736F F F696E74202D AD4955D89BF8FDA8DD790E096BE C835B E707074>

<4D F736F F F696E74202D AD4955D89BF8FDA8DD790E096BE C835B E707074> e-trace 132 1617 1872 p32-12 1/71 2/71 . GPS AIST 17 1-12 p32-39 3/71 GPS NMIJGPSGPS time GPS #N 1 GPS #N 2 GPS GPS #N 3 TA TA GPS _ time TA T T GPS _ time T T AB B A B T T T T ( T TB) B A B B A 4/71 16

More information

...2 SK 500 G SK 500 G / /

...2 SK 500 G SK 500 G / / SK 500 ...2 SK 500 G3...3... 3... 4...5...6 SK 500 G3... 6... 7...8... 8... 8 /... 8... 9... 11... 12 /... 12... 13... 14... 16... 17... 17... 18... 19... 21 Menu... 21 Advanced Menu... 24... 28... 28...

More information

Specification of the 13.56MHz RFID card reader/writer

Specification of the 13.56MHz RFID card reader/writer Specification of the 13.56MHz RFID card reader/writer 3.1 RFID RFID card...4 3.2 13.56MHz RFID 13.56MHz RFID card reader/writer...4 4.1...4 4.2...7 4.3...7 4.4...7 4.5...8 4.6...8 4.7...9 4.8...9 4.9...9

More information

untitled

untitled TD3200 V1.3 2005-02-25 BOM 31050155 518057 www.emersonnetworkpower.com.cn 800-820-6510 800021-230171410755-86010800 E-mailinfo@emersonnetwork.com.cn ... 1 1.1...1 1.2...2 1.3...4... 5 2.1...5 2.2...5

More information

HyperLink 编程和性能考量

HyperLink 编程和性能考量 应用手册 July, 2012 HyperLink 编程和性能考量 冯华亮 /Brighton Feng Communication Infrastructure 摘要 HyperLink 为两个 KeyStone 架构 DSP 之间提供了一种高速, 低延迟, 引脚数量少的通信接口 HyperLink 的用户手册已经详细的对其进行了描述 本文主要是为 HyperLink 的编程提供了一些额外的补充信息

More information

FM1935X智能非接触读写器芯片

FM1935X智能非接触读写器芯片 FM3316/3315 MCU 2017.06 FM3316/3315 MCU 2.21 (http://www.fmsh.com/) FM3316/3315 MCU 2.22 ... 3 1... 4 2... 5 2.1... 5 2.2... 5 2.3... 6 2.3.1... 6 2.3.2... 6 2.4... 9 2.5... 10 2.5.1 LQFP64... 10 2.5.2

More information

untitled

untitled SIMEAS Q80 SR 10.2.1 V1.0 Answers for energy. / SIMEAS Q80 3 3 4 8 10 11 12 14 15 16 20 24 25 30 31 32 CE 33 SIMEAS Q80 SR10.2.1 V1.0 2 / SIMEAS Q80 IEC 61000-4-15, IEC 61000-4-7 IEC 61000-4-30 (A) SIMEAS

More information

Optical Transport Networks for 100G Implementation in FPGAs

Optical Transport Networks for 100G Implementation in FPGAs FPGA 100G WP-01115-1.1 100G 100G 100G FPGA Altera Stratix IV GT FPGA 40-nm1.3-Gbps 100G 100G Altera Stratix IV GX Arria II GX Arria II GZ FPGAHardCopy ASIC OTN FPGA 100G OTN 2007 2012 IP 6 2012 522 (10

More information

Ps22Pdf

Ps22Pdf ,,, 30,, 1.,,, 1530, 50 ; 10,, ; ; 2.,, 1 ,,,,,, 520, 5979%, 1536 %, 3.,,,, 4.,,,,,,,,,! 2 ,,,,,,,,,,,,,, ;,,,, 3 ,,,,, ;,,,,,,,,,,,,,,,,,,,,,,,,,,, 4 60,,,,,,,,,,,,,,,,,,,,,,, ;,, 5 ,,,,,,, 20ppm,, ;

More information

国家信息中心2012年部门预算

国家信息中心2012年部门预算 2015 年 目 录 第 一 部 分 国 家 信 息 中 心 概 况... 3 一 主 要 职 能... 1 二 单 位 构 成... 1 第 二 部 分 国 家 信 息 中 心 2015 年 度 部 门 决 算 表... 3 一 收 入 支 出 决 算 总 表... 4 二 收 入 决 算 表... 5 三 支 出 决 算 表... 6 四 财 政 拨 款 收 入 支 出 决 算 总 表... 7

More information

GE德鲁克Druck DPI620 Genii多功能校准器

GE德鲁克Druck DPI620 Genii多功能校准器 GE Measurement & Control DPI 620 Genii T / HAR Foundation Fieldbus GE imagination at work Druck DPI 620 Genii HART / Fieldbus DPI 620G - HART/Fieldbus PM 620 - MC 620G - PV 62XG - DPI 620/G PM620 MC 620/G

More information

CSA SONET/SDH GR 253-CORE ITU-T G.703 ANSI T Ethernet IEEE Std ANSI X Fibre Channel Optical Fibre Channel Electrical U

CSA SONET/SDH GR 253-CORE ITU-T G.703 ANSI T Ethernet IEEE Std ANSI X Fibre Channel Optical Fibre Channel Electrical U CSA7000 2.5 Gb/s CSA7000 (OSI) CSA7000 2.5 Gb/s CSA7000 DPO ( ) 1 www.tektronix.com/csa7000 CSA7000 1 SONET/SDH GR 253-CORE ITU-T G.703 ANSI T1.102-1993 Ethernet IEEE Std 802.3 ANSI X3.263-1995 Fibre Channel

More information

untitled

untitled CN LUCAS TM 2 100901-20 A CO J2665 2014 Jolife AB LUCAS 2 100901-20 A CO J2711 2014 Jolife AB 2 LUCAS 2! LUCAS 2 Physio-Control JOLIFE AB JOLIFE AB Scheelevägen 17 Ideon Science Park SE-223 70 LUND Sweden

More information

无线通讯实验室测试系统方案.doc

无线通讯实验室测试系统方案.doc 110 Wi-Fi3G 1 QPSK64QAM 2 3 4 3 1 2 3 1.1 I/Q OFDM MIMO : 1 WCDMACDMA2000TD-SCDMAWLAN 2 3 4 ; : 2.1 ; ; ; ; ; ; ; IQ ; ; 2.2 ; ; ; / ; ; ; / ; ; ; ; ; ; ; ; ; ; / ; ; ; ; ;VCO ; ; ; ; ; ; / Agilent 1.2

More information

附件

附件 附 件 晋 陕 豫 黄 河 金 三 角 区 域 合 作 规 划 2014 年 4 月 目 录 前 言... 1 第 一 章 合 作 背 景... 2 第 一 节 发 展 基 础... 2 第 二 节 重 大 意 义... 3 第 二 章 总 体 思 路... 3 第 一 节 指 导 思 想... 3 第 二 节 基 本 原 则... 4 第 三 节 战 略 定 位... 5 第 四 节 发 展 目

More information

2015 2002 2 11 2002 2 11 346 2005 1 1 2015 4 10 2015 3 10 2015 4 10 2005 1 1 2015 4 10 2015 4 10 86 2000 7 25 2000 9 1 100,000 87 2012 6 18 50% 1995 3 18 2015 12 27 2016 6 1 2003 9 1 2013 6 29 2004 4 1

More information

(02)2809-4742 (02)2809-4742 27 28 (02)2809-4742 85 3 (02)3343-3300 156 12 (02

(02)2809-4742 (02)2809-4742 27 28 (02)2809-4742 85 3 (02)3343-3300  156 12 (02 3475 http://mops.tse.com.tw http://www.ic-fortune.com (02)2809-4742 denis.lee@ic-fortune.com (02)2809-4742 nanhui.lee@ic-fortune.com 27 28 (02)2809-4742 85 3 (02)3343-3300 http://www.fhs.com.tw 156 12

More information

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi

MICROCHIP EVM Board : APP APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pi MICROCHIP EVM Board : APP001 1-1. APP001 PICmicro Microchip APP001 40pin PDIP PICmicro Design Tips Character LCM Temperature Sensor Application I/O Pin 16 I/O Extension Interface 1-2. APP001 Block_A Block_B

More information

描 述 安 装 以 及 结 构 具 有 抵 抗 能 力 的 编 码 器 外 壳 的 法 兰 尺 寸 为 58 mm, 标 配 产 品 是 由 阳 极 氧 化 铝 制 成 标 配 的 绝 对 值 编 码 器 GEL 2035 带 有 夹 紧 法 兰 重 型 夹 紧 法 兰 或 带 有 齿 轮 适 配

描 述 安 装 以 及 结 构 具 有 抵 抗 能 力 的 编 码 器 外 壳 的 法 兰 尺 寸 为 58 mm, 标 配 产 品 是 由 阳 极 氧 化 铝 制 成 标 配 的 绝 对 值 编 码 器 GEL 2035 带 有 夹 紧 法 兰 重 型 夹 紧 法 兰 或 带 有 齿 轮 适 配 磁 性 绝 对 值 编 码 器 GEL 2035 带 有 SSI 或 CANopen 接 口 LENORD +BAUER... automates motion. 技 术 信 息 版 本 09.13 概 述 紧 凑 设 计 的 磁 性 绝 对 值 触 感 器, 最 大 总 分 辨 率 为 24 位 带 一 个 高 容 量 锂 离 子 备 用 蓄 电 池 的 无 磨 损 电 子 变 速 箱 磁 阻 扫

More information

UDC 厦门大学博硕士论文摘要库

UDC 厦门大学博硕士论文摘要库 10384 9924012 UDC 2002 5 2002 2002 2002 5 1 Study on High Speed Switch System and Their ASIC Frontend Design Thesis for MS By Shuicheng Cai Supervisor: Prof. Donghui Guo Department of Physics Xiamen Unviersity

More information

第一章

第一章 1 2 3 4 5 6 7 8 9 10 11 12 13 14 1500 1450 1400 1350 1300 1250 1200 15 16 17 18 19 20 21 22 23 24 25 26 27 28 INPUT2006 29 30 31 32 33 34 35 9000 8500 8000 7500 7000 6500 6000 5500 5000 4500 4000 3500

More information

...1 What?...2 Why?...3 How? ( ) IEEE / 23

...1 What?...2 Why?...3 How? ( ) IEEE / 23 .... IEEE 1588 2010 7 8 ( ) IEEE 1588 2010 7 8 1 / 23 ...1 What?...2 Why?...3 How? ( ) IEEE 1588 2010 7 8 2 / 23 ...1 What?...2 Why?...3 How? ( ) IEEE 1588 2010 7 8 3 / 23 IEEE 1588 ( ) IEEE 1588 2010

More information

Quality of Life 1 TEIJIN CSR Report 2012

Quality of Life 1 TEIJIN CSR Report 2012 2012 CSR 2011 Quality of Life 1 TEIJIN CSR Report 2012 http://www.teijin-china.com 11 3 5 7 8 9 13 15 19 20 21 22 23 25 26 27 28 29 30 30 31 31 32 32 32 33 34 TEIJIN CSR Report 2012 2 3 TEIJIN CSR Report

More information

r_09hr_practical_guide_kor.pdf

r_09hr_practical_guide_kor.pdf PRACTICAL GUIDE TO THE EDIROL R-09HR 3 4 PRACTICAL GUIDE TO THE EDIROL R-09HR 5 Situation 1 6 1 2 3 PRACTICAL GUIDE TO THE EDIROL R-09HR WAV MP3 WAV 24 bit/96 khz WAV 16 bit/44.1 khz MP3 128 kbps/44.1

More information

スライド 1

スライド 1 ALMA ( ALMA ALMA ALMA Antenna 64 elements 12m + ACA (4 elements 12m + 12 elements 7m) Receiver Frequency Band: Band 1~10(43 ~950 GHz) Correlator 1 antenna: 4Gsps 3bit 8IF = 96Gbps 80 antennas: Total Data

More information

<4D6963726F736F667420576F7264202D20312D3520D6F7B0ECC8AFC9CCCDC6BCF6B1A8B8E62DB6A8>

<4D6963726F736F667420576F7264202D20312D3520D6F7B0ECC8AFC9CCCDC6BCF6B1A8B8E62DB6A8> 平 安 证 券 有 限 责 任 公 司 关 于 推 荐 深 圳 市 前 海 圆 舟 网 络 科 技 股 份 有 限 公 司 进 入 全 国 中 小 企 业 股 份 转 让 系 统 挂 牌 并 公 开 转 让 的 推 荐 报 告 根 据 中 国 证 券 监 督 管 理 委 员 会 ( 以 下 简 称 证 监 会 ) 发 布 的 非 上 市 公 众 公 司 监 督 管 理 办 法, 以 及 全 国 中

More information

<4D F736F F D20312D3520D6F7B0ECC8AFC9CCCDC6BCF6B1A8B8E6A3A8B7E2C3E6B2CAD3A12BD5FDCEC4BADAB0D7B4F2D3A1A3A92E646F63>

<4D F736F F D20312D3520D6F7B0ECC8AFC9CCCDC6BCF6B1A8B8E6A3A8B7E2C3E6B2CAD3A12BD5FDCEC4BADAB0D7B4F2D3A1A3A92E646F63> 兴 业 证 券 股 份 有 限 公 司 关 于 推 荐 上 海 新 联 纬 讯 科 技 发 展 股 份 有 限 公 司 进 入 全 国 中 小 企 业 股 份 转 让 系 统 挂 牌 公 开 转 让 的 推 荐 报 告 二 零 一 六 年 六 月 兴 业 证 券 股 份 有 限 公 司 关 于 推 荐 上 海 新 联 纬 讯 科 技 发 展 股 份 有 限 公 司 进 入 全 国 中 小 企 业 股

More information

《米开朗琪罗传》

《米开朗琪罗传》 ! " # ! """"""""""""""""""" """"""""""""""""" """""""""""""""" $% """"""""""""" &# """"""""""""""" %# """"""""""""""" # """""""""""""""!$% """""""""""""""!&!! # $$$$$$$$$$$$$$$$$$ $$$$$$$$$!"#!%& (! "

More information

天主教永年高級中學綜合高中課程手冊目錄

天主教永年高級中學綜合高中課程手冊目錄 天 主 教 永 年 高 級 中 學 綜 合 高 中 課 程 手 冊 目 錄 壹 學 校 背 景. 貳 教 育 理 念 與 教 育 目 標. 3 一 規 劃 理 念...3 二 教 育 目 標...3 參 畢 業 要 求. 5 一 總 學 分 數...5 二 必 選 修 學 分 數...5 三 必 須 參 加 活 動...9 四 成 績 評 量 方 式...9 肆 課 程 概 述.. 9 一 課 程

More information

目 录 检 验 调 试 和 一 致 性 测 试 PCI EXPRESS 设 计...3 结 构 和 规 范 概 述...4 物 理 层 的 特 点...5 物 理 层 一 致 性 测 试 : 数 字 领 域 的 模 拟 视 图...8 检 验 和 一 致 性 测 量...8 幅 度 测 量...9

目 录 检 验 调 试 和 一 致 性 测 试 PCI EXPRESS 设 计...3 结 构 和 规 范 概 述...4 物 理 层 的 特 点...5 物 理 层 一 致 性 测 试 : 数 字 领 域 的 模 拟 视 图...8 检 验 和 一 致 性 测 量...8 幅 度 测 量...9 介 绍 PCI Express 测 量 目 录 检 验 调 试 和 一 致 性 测 试 PCI EXPRESS 设 计...3 结 构 和 规 范 概 述...4 物 理 层 的 特 点...5 物 理 层 一 致 性 测 试 : 数 字 领 域 的 模 拟 视 图...8 检 验 和 一 致 性 测 量...8 幅 度 测 量...9 定 时 测 量...9 抖 动 测 量...10 实 时 眼

More information

目 錄 壹 緒 論... 2 貳 明 時 代 背 景 一 明 代 禮 教 之 於 女 性? 母 德 婦 德... 2 二 明 代 婦 女 之 於 士 人? 經 濟 支 柱... 4 參 歸 有 光 一 仕 途... 7 二 家 庭... 7 肆 歸 有 光 文 學 裡 的 女 性 比 較 一 < 項

目 錄 壹 緒 論... 2 貳 明 時 代 背 景 一 明 代 禮 教 之 於 女 性? 母 德 婦 德... 2 二 明 代 婦 女 之 於 士 人? 經 濟 支 柱... 4 參 歸 有 光 一 仕 途... 7 二 家 庭... 7 肆 歸 有 光 文 學 裡 的 女 性 比 較 一 < 項 淺 談 歸 有 光 的 女 性 側 寫 以 項 籍 軒 志 葬 寒 花 志 及 先 妣 事 略 世 美 堂 後 記 為 例 指 導 教 授 : 陳 慶 元 撰 寫 學 生 : 亷 千 儀 目 錄 壹 緒 論... 2 貳 明 時 代 背 景 一 明 代 禮 教 之 於 女 性? 母 德 婦 德... 2 二 明 代 婦 女 之 於 士 人? 經 濟 支 柱... 4 參 歸 有 光 一 仕 途...

More information

电力信息化2013年第1期.indb

电力信息化2013年第1期.indb 中图分类号 TP319 文献标志码 B 文章编号 1672-4844(213)1-87-6 摘要 SAP ERP 信息是很多大型企业的核心信息 是企业在进行容灾建设时主要关切的 信息 文章以双活方式运行的特点对 SAP ERP 信息进行了分析 推导出了 SAP ERP 信息以双活模式运行时操作响时间的计算公式 提出了影响操作响时间的主要因素是网 络时延 测试了 SAP ERP 产品以服务器双活模式运行的实际效果和以数据库双活

More information

Microsoft Word - AK360 中文說明書 V1.1 _2010-05-21_ - 送ISO13485用_SGS評鑑後最終版_.doc

Microsoft Word - AK360 中文說明書 V1.1 _2010-05-21_ - 送ISO13485用_SGS評鑑後最終版_.doc 亞 星 中 頻 向 量 干 擾 儀 ASTEK INTERMEDIATE FERQUENCY INTERFERENTIAL CURRENT THERAPY 型 號 :AK-360 衛 署 醫 器 製 字 第 002777 號 使 用 前 請 務 必 詳 閱 本 使 用 說 明 書 並 遵 照 指 示 使 用 認 識 中 頻 向 量 干 擾 為 能 正 確 使 用 亞 星 中 頻 向 量 干 擾 儀

More information

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family

Cube20S small, speedy, safe Eextremely modular Up to 64 modules per bus node Quick reaction time: up to 20 µs Cube20S A new Member of the Cube Family small, speedy, safe Eextremely modular Up to 64 modules per bus de Quick reaction time: up to 20 µs A new Member of the Cube Family Murrelektronik s modular I/O system expands the field-tested Cube family

More information

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual

Microsoft Word - LD5515_5V1.5A-DB-01 Demo Board Manual Subject LD5515 Demo Board Model Name (5V/1.5A) Key Features Built-In Pump Express TM Operation Flyback topology with PSR Control Constant Voltage Constant Current High Efficiency with QR Operation (Meet

More information

nbqw.PDF

nbqw.PDF 2 3 4 5 76,010,200 70,837,163.15 21,694,835.69 6,306,522.69-91,305,083.54 77,237,115.30 0 12,237,082.86 0 0 8,169,816.92 20,406,899.78 0 53,541.43 0 0 0 53,541.43 76,010,200 83,020,704.58 21,694,835.69

More information

<313031A4C9BEC7C160BA5DB3E62831303130383135A457BAF4A4BDA769AAA9292E584C53>

<313031A4C9BEC7C160BA5DB3E62831303130383135A457BAF4A4BDA769AAA9292E584C53> 機 械 三 甲 01 811001 王 振 祥 國 立 高 雄 應 用 科 技 大 學 模 具 工 程 系 甄 選 入 學 嘉 義 縣 縣 立 水 上 國 中 機 械 三 甲 02 811002 王 紹 誠 弘 光 科 技 大 學 生 物 醫 學 工 程 系 登 記 分 發 嘉 義 縣 縣 立 水 上 國 中 機 械 三 甲 03 811003 江 彥 廷 中 臺 科 技 大 學 牙 體 技 術 暨

More information

Microsoft Word - QA_0226_08_Rev.doc

Microsoft Word - QA_0226_08_Rev.doc ESCORT 产 品 问 题 与 解 答 汇 总 (Q&A) V1.0 手 持 式 数 字 万 用 表 Q: EDM-163S/EDM-168A/EDM-89S 等 仪 表, 为 何 开 机 后 会 一 直 有 响 声? A: 仪 表 ma 或 A 的 输 入 端 子 有 异 物 短 路, 以 致 造 成 插 错 的 警 示 声 启 动, 请 清 除 端 子 内 的 异 物 即 可 Q: E-98/99

More information

在Keystone SoC上使用Pktlib加速LTE层2处理

在Keystone SoC上使用Pktlib加速LTE层2处理 Application Report ZHCA576 October 2013 在 Keystone SoC 上使用 Pktlib 加速 LTE 层 2 处理 Adam Yao Multi-core DSP / FAE 层 2(L2) 在长期演进计划 (LTE) 中由分组数据汇聚协议 (CP), 无线链路控制协议 (RLC) 和介质访问控制协议 (MAC) 组成 Pktlib 是 TI 公司提供的基于其最新的

More information

Support All Industrial Ethernet Standards on Your Next "Drive" Design White Paper

Support All Industrial Ethernet Standards on Your Next Drive Design White Paper FPGA WP-01191-1.0 Coal 18.33 Fossil Fuels 26.10 Conversion Losses 24.61 Petroleum 0.40 Natural Gas 7.29 Other Gases 0.09 Nuclear Electric Power 8.35 Renewable Energy 4.28 Other 0.16 Energy Consumed to

More information

画像処理に新しい価値を提供するUSB3.0カメラ(国際画像機器展2014)

画像処理に新しい価値を提供するUSB3.0カメラ(国際画像機器展2014) December 3, 2014 Toshiaki Iwata Copyright 2014 TOSHIBA TELI CORPORATION, All rights reserved. USB3.0 / USB3 Vision Copyright 2014 TOSHIBA TELI CORPORATION, All rights reserved. 2 Copyright 2014 TOSHIBA

More information

STM32 for sensorless vector control

STM32 for sensorless vector control STM32 PMSM FOC Shanghai, March,2008 Agenda STM32 FOC Clark Parke Circle limitation Mar 08 1 Agenda / Hall PMSM PLL MC_State_observer_param.h Mar 08 2 Agenda MC_Control_param.h / PI Mar 08 3 Plan STM32

More information

untitled

untitled Answers for energy. - aplc) dplc aplc dplc - TCP/IP TCP/IP 2 24 khz 1000 khz 2 32 khz 256 kbit/s 32 khz 20/50/100 W X.212 RS2328 TCP/IP21 E1 (2 Mbit/s) G703.1 64 kbit/s VF (VFM, VFO, VFS), 8 RS2324 FSK

More information

<4D6963726F736F667420576F7264202D20CEDECEFDD0C5BDDDB5E7C6F8B9C9B7DDD3D0CFDEB9ABCBBECAD7B4CEB9ABBFAAB7A2D0D0B9C9C6B1D5D0B9C9CBB5C3F7CAE9A3A8C9EAB1A8B8E532303136C4EA36D4C238C8D5B1A8CBCDA3A92E646F63>

<4D6963726F736F667420576F7264202D20CEDECEFDD0C5BDDDB5E7C6F8B9C9B7DDD3D0CFDEB9ABCBBECAD7B4CEB9ABBFAAB7A2D0D0B9C9C6B1D5D0B9C9CBB5C3F7CAE9A3A8C9EAB1A8B8E532303136C4EA36D4C238C8D5B1A8CBCDA3A92E646F63> 无 锡 信 捷 电 气 股 份 有 限 公 司 WuXi Xinje Electric Co.,Ltd. ( 无 锡 市 滨 湖 区 胡 埭 工 业 园 北 区 刘 塘 路 9 号 ) 首 次 公 开 发 行 股 票 招 股 说 明 书 ( 申 报 稿 ) 保 荐 人 ( 主 承 销 商 ) ( 深 圳 市 红 岭 中 路 1012 号 国 信 证 券 大 厦 16-26 层 ) 声 明 本 公 司

More information

MICROMASTER DA51-2 MICROMASTER 410/420/430/ KW250KW E20001-K4260-C100-V5-5D J CA01 SD CA01 SD CD M17 E20001-K5690- C100-V2-5

MICROMASTER DA51-2 MICROMASTER 410/420/430/ KW250KW E20001-K4260-C100-V5-5D J CA01 SD CA01 SD CD M17 E20001-K5690- C100-V2-5 D11.1 2006.01 sinamics G110 SINAMICS G110 1AC200V240V 0.12 kw3 kw MICROMASTER DA51-2 MICROMASTER 410/420/430/440 0.12KW250KW E20001-K4260-C100-V5-5D00 122-J903448-050320 CA01 SD CA01 SD CD M17 E20001-K5690-

More information

(陈其工、凌有铸)安徽省高等学校质量工程项目进展报告 自动化专业教学团队.doc

(陈其工、凌有铸)安徽省高等学校质量工程项目进展报告  自动化专业教学团队.doc 附 件 2: 项 目 类 别 : 教 学 团 队 安 徽 省 高 等 学 校 质 量 工 程 项 目 进 展 报 告 项 目 名 称 : 自 动 化 专 业 教 学 团 队 项 目 负 责 人 : 陈 其 工 凌 有 铸 联 系 方 式 : 0553-2871262 所 在 单 位 : 安 徽 工 程 大 学 立 项 时 间 : 2010 年 11 月 9 日 填 表 时 间 : 2012 年 8

More information

Microsoft PowerPoint - 6-B.ppt

Microsoft PowerPoint - 6-B.ppt A1 艾 群 教 授 提 供 投 影 片 1 A1 Aquarius, 2008/3/27 波 與 振 動 所 謂 振 動, 就 是 隨 著 時 間 而 進 行 的 擺 動 隨 著 時 間 進 行 且 穿 越 空 間 的 擺 動 就 叫 做 波 (wave) 波 不 會 固 定 在 一 個 地 點 上, 而 必 定 是 經 一 個 地 點 前 進 到 另 一 個 地 點 單 擺 的 振 動 伽 利

More information

您 對 本 產 品 的 選 擇 充 分 顯 示 了 您 對 音 響 設 備 的 精 通, 我 們 十 分 感 謝 您 的 惠 顧, 並 為 本 公 司 提 供 優 質 產 品 一 貫 傳 統 而 感 到 無 比 的 自 豪 為 使 您 的 裝 置 與 使 用 能 得 到 最 好 的 發 揮, 我 們

您 對 本 產 品 的 選 擇 充 分 顯 示 了 您 對 音 響 設 備 的 精 通, 我 們 十 分 感 謝 您 的 惠 顧, 並 為 本 公 司 提 供 優 質 產 品 一 貫 傳 統 而 感 到 無 比 的 自 豪 為 使 您 的 裝 置 與 使 用 能 得 到 最 好 的 發 揮, 我 們 NT-503 USB DAC/Network Player 關 於 此 機 器 的 網 路 功 能, 請 看 網 路 說 明 書 使 用 者 說 明 書 與 網 路 說 明 書 可 以 從 TEAC Global Site (http://www.teac-global.com/) 下 載 USB D/A 轉 換 器 / 網 路 播 放 機 使 用 說 明 書 欲 播 放 USB 快 閃 記 憶 體

More information

Pin Configurations Figure2. Pin Configuration of FS2012 (Top View) Table 1 Pin Description Pin Number Pin Name Description 1 GND 2 FB 3 SW Ground Pin.

Pin Configurations Figure2. Pin Configuration of FS2012 (Top View) Table 1 Pin Description Pin Number Pin Name Description 1 GND 2 FB 3 SW Ground Pin. Features Wide 3.6V to 32V Input Voltage Range Output Adjustable from 0.8V to 30V Maximum Duty Cycle 100% Minimum Drop Out 0.6V Fixed 300KHz Switching Frequency 12A Constant Output Current Capability Internal

More information

NFFG NF FGNFFG 20Vp-p/ 110MHz20Vp-p 0.1mVp-p0Vp-p Range Hold / DC 25 1Mi Mi2 20 = (IEC / IEEE P.7 DC / FMFSKPMPSKAMDCPWM DC 2 2

NFFG NF FGNFFG 20Vp-p/ 110MHz20Vp-p 0.1mVp-p0Vp-p Range Hold / DC 25 1Mi Mi2 20 = (IEC / IEEE P.7 DC / FMFSKPMPSKAMDCPWM DC 2 2 200MHz / & NFFG NF FGNFFG 20Vp-p/ 110MHz20Vp-p 0.1mVp-p0Vp-p Range Hold / DC 25 1Mi Mi2 20 =1048576(IEC 60027-2/ IEEE 1541-2002 P.7 DC / FMFSKPMPSKAMDCPWM DC 2 222 2 DC 2DC P.8 2 DC100MHz 200MHz/ 0.01Hz200MHz

More information

Copyright iresearch Inc. 1

Copyright iresearch Inc. 1 Copyright iresearch Inc. 1 Copyright iresearch Inc. 2 Copyright iresearch Inc. 3 Copyright iresearch Inc. 4 Copyright iresearch Inc. 5 Copyright iresearch Inc. 6 Copyright iresearch Inc. 7 Copyright iresearch

More information

内 容 简 介 华 北 东 北 华 东 华 中 华 南 西 南 西 北 以 及 新 疆 八 个 区 域 气 候 变 化 评 估 报 告 由 中 国 气 象 局 组 织 八 个 区 域 气 象 中 心 实 施, 共 有 43 个 单 位 的 169 位 专 家 参 与 了 评 估 报 告 的 编 写

内 容 简 介 华 北 东 北 华 东 华 中 华 南 西 南 西 北 以 及 新 疆 八 个 区 域 气 候 变 化 评 估 报 告 由 中 国 气 象 局 组 织 八 个 区 域 气 象 中 心 实 施, 共 有 43 个 单 位 的 169 位 专 家 参 与 了 评 估 报 告 的 编 写 华 南 区 域 气 候 变 化 评 估 报 告 决 策 者 摘 要 2012 华 南 区 域 气 候 变 化 评 估 报 告 编 写 委 员 会 编 著 内 容 简 介 华 北 东 北 华 东 华 中 华 南 西 南 西 北 以 及 新 疆 八 个 区 域 气 候 变 化 评 估 报 告 由 中 国 气 象 局 组 织 八 个 区 域 气 象 中 心 实 施, 共 有 43 个 单 位 的 169

More information

untitled

untitled Copyright iresearch Inc. Copyright iresearch Inc. Copyright iresearch Inc. Copyright iresearch Inc. Copyright iresearch Inc. Copyright iresearch Inc. Copyright iresearch Inc. Copyright iresearch Inc. Copyright

More information

iresearch Copyright iresearch Inc. 1

iresearch Copyright iresearch Inc. 1 iresearch Copyright iresearch Inc. 1 iresearch Copyright iresearch Inc. 2 iresearch Copyright iresearch Inc. 3 iresearch Copyright iresearch Inc. 4 iresearch Copyright iresearch Inc. 5 iresearch Copyright

More information

音響論壇 A Report dcs Bartók dcs dcs 響論壇162 值得大聲喝采音

音響論壇 A Report dcs Bartók dcs dcs 響論壇162 值得大聲喝采音 音響論壇 A Report dcs dcs 響論壇162 值得大聲喝采音 04 05 06 09 13 16 24bit DAC 950 9 Hifiman 50 20-50 07 08 10 11 1214 15 17 18 19 20 01 02 0310-20 5-10 5 dcsdelta Conversion Meridian 1977 Systems 1987 Allen 1997972

More information

Ps22Pdf

Ps22Pdf X T T 10 1 J T 12 13 14 15 16 17 18 19 20 21 2 23 24 25 26 27 28 29 30 31 32 3 34 35 36 37 38 39 40 41 42 43 4 45 46 47 48 49 50 51 52 53 54 5 56 57 58 59 60 61 62 63 64 65 6 67 68 69 70 71 72 73 74 75

More information

SKP300_544494_1211_Sp9.book

SKP300_544494_1211_Sp9.book SKP 300 Instruction manual Bedienungsanleitung Notice d emploi Istruzioni per l uso Instrucciones de uso Gebruiksaanwijzing Manual de utilização Инструкция по эксплуатации Tastensymbole / Button icons

More information

·sª¾125´Á

·sª¾125´Á 5 GHz U-NII (bit error rate, BER) (error vector magnitude, EVM) (adjacent channel power (RF/microwave) ratio, ACPR) EVM ( ) (gain compression) (LO feedthrough) IQ (gain imbalance) (carrier frequency offset)

More information

行业

行业 PCL-1800 PCL-1800 1.1...2 1.1.1 1K FIFO...2 1.1.2...2 1.1.3 16...3 1.1.4...3 1.1.5...3 1.1.6...3 1.2...3 1.3...4 1.4...5 2.1...5 2.2...6 2.2.1...6 2.2.2...6 2.2.3 D/A...7 2.2.4...7 2.2.5 TRIG0 GATE0...8

More information

幻灯片 1

幻灯片 1 Digital Signal Processing(DSP) : 203 : 0531-88364509 Email: jiangmingyan@sdu.edu.cn : ---- ---- JMY Copyright Reserved, SDU, 1 / 69 : (,, 2007 64 48 16 1 8 1. 2 2. 6 3. 6 4. 8 5., FFT 8 6. 6 7. 8 8. 4

More information

投影片 1

投影片 1 中 國 文 學 學 與 教 系 列 照 顧 學 生 的 多 樣 性 教 學 經 驗 分 享 會 與 教 系 列 照 顧 學 生 的 多 樣 性 地 利 亞 修 女 紀 念 學 校 ( 協 和 ) 李 浩 芝 老 師 教 學 經 驗 分 享 會 1 學 校 背 景 直 資 學 校 只 收 新 移 民 全 年 收 生 2 中 三 推 介 困 難 : 欠 缺 興 趣, 認 為 與 日 常 生 活 無 關

More information

... 2 SK SK Command KA 9000 COM... 9 SK / SK / Autolock SK

... 2 SK SK Command KA 9000 COM... 9 SK / SK / Autolock SK SK 9000 ... 2 SK 9000... 4... 4... 5 SK 9000... 7... 9 Command KA 9000 COM... 9 SK 9000... 10 / SK 9000... 10 / Autolock... 12... 13... 14 SK 9000... 17... 18... 19... 19... 20 SK 9000... 20 ZH RU PT NL

More information

中国数字测震台网技术规程_050711_.doc

中国数字测震台网技术规程_050711_.doc Stipulation on China Digital Seismograph Network I JSGC-01 7 A D E G III 1 2 GB/T 18207.1-2000 GB/T 19531.1-2004 GB 17740-1999 GB50057-94 GB/T 6587.1-1986 DB/T 1-2000 DB/T 11.1-2000 DB/T 2-2003 DB/T

More information